CN1328755C - 低污染、高密度等离子蚀刻腔体及其加工方法 - Google Patents

低污染、高密度等离子蚀刻腔体及其加工方法 Download PDF

Info

Publication number
CN1328755C
CN1328755C CNB998112860A CN99811286A CN1328755C CN 1328755 C CN1328755 C CN 1328755C CN B998112860 A CNB998112860 A CN B998112860A CN 99811286 A CN99811286 A CN 99811286A CN 1328755 C CN1328755 C CN 1328755C
Authority
CN
China
Prior art keywords
cavity
lining tile
plasma treatment
support
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB998112860A
Other languages
English (en)
Other versions
CN1319247A (zh
Inventor
托马斯·E·维克
罗伯特·A·马拉欣
威廉·S·肯尼迪
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN1319247A publication Critical patent/CN1319247A/zh
Application granted granted Critical
Publication of CN1328755C publication Critical patent/CN1328755C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/022Avoiding or removing foreign or contaminating particles, debris or deposits on sample or tube
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10S156/914Differential etching apparatus including particular materials of construction
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10S156/916Differential etching apparatus including chamber cleaning means or shield for preventing deposits
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12347Plural layers discontinuously bonded [e.g., spot-weld, mechanical fastener, etc.]

Abstract

本发明公开了一种高密度等离子处理腔,包括一用于保持一晶片的静电吸盘以及高耐蚀刻、不易产生污染并且可以温控的消耗件。消耗件包括一个腔体衬瓦,腔体衬瓦具有一个下支撑部以及一个围绕静电吸盘的壁。消耗件还包括一个衬瓦支撑结构,具有一下延伸部、一柔性壁以及一上延伸部。柔性壁构造成围绕腔体衬瓦的壁的外表面,而衬瓦支撑柔性壁与腔体衬瓦的壁隔离开。但是衬瓦支撑的下延伸部构造成与腔体衬瓦的下支撑部直接热接触。消耗件可以由对正在蚀刻的晶片上的材料无害的材料制成。

Description

低污染、高密度等离子蚀刻腔体及其加工方法
技术领域
本发明通常涉及半导体晶片的加工,而且更具体地涉及具有在处理过程中减少颗粒和金属污染的衬瓦材料的高密度等离子蚀刻腔体以及相关的腔体衬瓦结构。
背景技术
当集成电路装置的几何尺寸以及其工作电压都连续减小时,其相关的加工生产容易受颗粒和金属杂质的污染。因此,加工具有较小尺寸的集成电路需要颗粒和金属污染级别应低于以前可以接受的级别。
通常,集成电路(表现为晶片的形式)的加工包括使用等离子蚀刻腔体,它们可以蚀刻由一个光阻材料罩限定的选定层。处理腔构造成可以承接处理气体(即蚀刻化学物质),同时一射频功率(RF power)施加到处理腔的一个或多个电极上。还针对具体的处理控制处理腔体中的压力。当向电极施加所需的射频功率时,腔体中的处理气体受激,结果产生等离子。等离子可以进行对选定的半导体层进行所需的蚀刻。
通常,同在加工过程中蚀刻的其他薄膜相比,用于蚀刻材料例如氧化硅的处理腔体需要相对高的能量以取得所需的蚀刻结果。这种氧化硅包括例如导热生成的二氧化硅(SiO2)、TEOS,PSG,BPSG,USG,LTO等。对高能量的需求来自轰击并断开氧化硅膜的键并且促进化学反应以形成挥发性蚀刻产品。这些腔体因此被称为“高密度氧化物蚀刻腔体”,它们能够产生高等离子密度以向晶片提供高离子流并在低气压下取得高蚀刻率。
尽管高密度氧化物蚀刻腔体在蚀刻所需的晶片表面时效果很好。因此,来自蚀刻腔体内表面的材料由于离子轰击的结果根据材料的成分以及蚀刻气体的成分通过物理喷射或化学喷射被去除。
由于认识到蚀刻腔体的内表面在高密度氧化物腔体中暴露给等离子,腔体则设计成可以利用简单的衬瓦部件,如盘、环以及筒体。由于这些部件构造成可以将等离子限定在正在处理的晶片上,这些部件连续暴露并由处理等离子能量冲击。由于这种暴露,这些部件最终腐蚀或积累成聚合物,从而需要更换或完全清洗。最终,所有部件被磨损以至于不能再使用。这些部件因此称为“消耗件”。因此,如果部件的寿命很短,则消耗件的成本就很高(即部件成本/部件寿命)。
由于这些部件是消耗件,则需要具有对等离子能抗腐蚀的表面,从而减小消耗件的成本。现有技术减小消耗件成本的试图包括从氧化铝(Al2O3)和石英材料中加工这些部件。尽管这些材料可以抵抗少许等离子能,但在高密度氧化物蚀刻腔体中,等离子的高能离子轰击具有不能接受的下侧污染生产级别(例如颗粒污染和金属杂质污染)。例如,如果消耗件的表面是氧化铝(即刚玉),当等离子轰击表面时,铝可以释放并且与位于晶片之上的等离子混合。其中一些铝会嵌入在蚀刻过程中沉积在晶片上以及消耗件表面(例如腔体衬瓦、盖等)上的有机聚合物中。当发生这种情况时,消耗件表面上的聚合物就不能在常规的现场等离子清理或“排灰”步骤中完全被清除。这样,在现场等离子清除之后就会留下一个包括C、Al、O的易碎的脆膜或涂层,因此产生大量的颗粒。沉积在正在被蚀刻的结构中的铝以及硅晶片上的薄膜会例如通过增大DRAM电池中的漏电而使随后形成的装置质量下降。
如上所述,石英也用做消耗件内表面的材料。但是,已发现由于石英的低导热性以及在用于蚀刻氧化物的高密度等离子中的高蚀刻率,石英表面成为颗粒源。另外,低导热性的石英使这些部件的表面温度控制很困难。这导致较大的温度循环以及沉积在消耗件表面上的蚀刻聚合物很脆,因此产生污染颗粒。石英消耗件的另一个缺点在于高密度氧化物蚀刻中的高蚀刻率会在石英中产生剥蚀,这会产生石英颗粒的脱落。
根据以上所述,需要一种具有消耗件的高密度等离子处理腔体,它更能抵腐蚀并有助于减小正在处理的晶片表面的污染(例如颗粒和金属杂质)。还需要用于高密度等离子应用中的消耗件,可以经受温度变化并防止消耗件的损坏。
发明内容
本发明通过提供用于等离子处理腔中的温控型、低污染、高耐蚀刻的等离子限定件(即消耗件)满足以上要求。可以理解本发明可以由多种方式实施,包括一种工艺、一种设备、一个系统、一个装置或一种方法。
本发明提供了一种等离子处理腔体,具有一个腔体衬瓦和一个位于等离子处理腔体内部的衬瓦支撑,衬瓦支撑包括一个构造成围绕腔体衬瓦一外表面的柔性壁,柔性壁与腔体衬瓦的外表面隔离开。
本发明提供了一种用于处理等离子处理腔体中的半导体基质的方法,该处理腔体具有一个腔体衬瓦和一个位于等离子处理腔体内部的衬瓦支撑,衬瓦支撑包括一个构造成围绕腔体衬瓦一外表面的柔性壁,柔性壁与腔体衬瓦的外表面隔离开;该方法包括将一半导体晶片传送到腔体中和由高密度等离子处理基质的一外露面。
以下描述本发明的几个创造性实施例。
在一个实施例中,公开了一种等离子处理腔,包括一用于保持一晶片的静电吸盘,并具有高抗蚀刻、不易产生污染并且可以温控的消耗件。消耗件包括一个腔体衬瓦,腔体衬瓦具有一个下支撑部以及一个围绕静电吸盘构成的壁。消耗件还包括一个衬瓦支撑结构,具有一下延伸部、一柔性壁以及一上延伸部。柔性壁构造成围绕腔体衬瓦的壁的外表面,而衬瓦支撑柔性壁与腔体衬瓦的壁隔离开。但是衬瓦支撑的下延伸部构造成与腔体衬瓦的下支撑部直接热接触。另外,一挡环是消耗件的一部分,并构造成可以与腔体衬瓦和衬瓦支撑组装并导热接触。挡环限定一个围绕静电吸盘的等离子筛。一加热器可以与衬瓦支撑导热连接,用于从衬瓦支撑向腔体衬瓦和挡环导热。还包括一个外支撑,外支撑与同腔体一顶板联结的一冷却环导热相连。因此外支撑和冷却环可以提供对一浇铸加热器以及腔体衬瓦精确的温度控制。这种精确的温度控制可以防止温漂,所以可以对第一晶片至最后一个晶片进行相同温度状况的蚀刻。
在一优选实施例中,包括腔体衬瓦和挡环的消耗件完全由下列材料制成或涂有一种下列材料,包括:碳化硅(SiC),氮化硅(Si3N4)碳化硼(B4C)和/或氮化硼(BN)。这样,当这些材料暴露给高密度等离子喷射能时,会产生与在晶片表面层的蚀刻过程中产生的挥发性蚀刻产品相似的挥发性产品。
在另一个实施例中,公开了一种具有消耗件的等离子蚀刻腔体。消耗件包括一个具有一下支撑部和一围绕等离子蚀刻腔体中心的圆柱形壁。一衬瓦支撑可以围绕腔体衬瓦。衬瓦支撑导热连接在腔体衬瓦的下支撑部上。衬瓦支撑环包括将衬瓦支撑分隔成多个指状物的槽。在一优选实施例中,腔体衬瓦由碳化硅(SiC)、氮化硅(Si3N4)、碳化硼(B4C)以及氮化硼(BN)中的一种或多种材料制成,而且衬瓦支撑由铝材制成。
在另一个实施例中,还公开了一种使用用于一高密度等离子蚀刻腔体中的消耗件的方法。此方法包括使用一腔体衬瓦,腔体衬瓦由碳化硅(SiC)、氮化硅(Si3N4)、碳化硼(B4C)以及氮化硼(BN)中的一种或多种材料制成。腔体衬瓦可以具有一个围绕腔体等离子区的壁和一下支撑部。此方法可以包括使用一个铝衬瓦支撑,它可以具有一下延伸部、一柔性壁以及一上延伸部,其中在衬瓦支撑的柔性壁和下延伸部中设有多个槽,使衬瓦支撑在高温下可以膨胀。本发明的方法还包括使用一挡环,挡环由碳化硅(SiC)、氮化硅(Si3N4)、碳化硼(B4C)以及氮化硼(BN)中的一种或多种材料制成。多个槽可以设置在挡环中以限定一等离子筛。此方法可以包括由一个通过衬瓦支撑和挡环的导热路径控制腔体衬瓦。
根据本发明的一个实施例,一种等离子处理腔体包括一个腔体衬瓦和一个衬瓦支撑,衬瓦支撑包括一个构造成可以围绕腔体衬瓦一外表面的柔性壁,柔性壁与腔体衬瓦的壁隔离开。为了可选择地控制衬瓦的温度,一个加热器与衬瓦支撑导热连接,以从衬瓦支撑向腔体衬瓦传导热量。尽管对衬瓦和衬瓦支撑可以使用任何适当的材料,但优选地衬瓦支撑由柔性铝材制成而腔体衬瓦包括一陶瓷材料。
衬瓦支撑可以具有许多特征。例如,柔性壁包括将衬瓦支撑分隔成多个指状物的槽,指状物使柔性壁可以吸收热应力和/或衬瓦支撑的一下延伸部可以固定在腔体衬瓦的一下支撑部上。如果需要,一个与腔体衬瓦和衬瓦支撑热接触的挡环可以用于限定一个等离子筛,等离子筛围绕一个位于腔体中部中的静电吸盘。腔体衬瓦和/或挡环最好由碳化硅(SiC)、氮化硅(Si3N4)、碳化硼(B4C)以及氮化硼(BN)中的一种或多种材料制成。
等离子处理腔体可以包括许多特征。例如,腔体衬瓦可以具有低电阻率并构造成可以提供一个接地的RF路径。如果需要,还包括一个限定在一静电吸盘上的气体分配板,气体分配板具有高电阻率和/或包括一个支撑集中环和静电吸盘的支座。气体分配板、集中环和/或支座由碳化硅(SiC)、氮化硅(Si3N4)、碳化硼(B4C)以及氮化硼(BN)中的一种或多种材料制成。通过一个通过气体分配板感应耦合射频功率并在腔体中产生高密度等离子的射频功率可以在腔体中产生等离子。最好射频功率包括一平面天线。腔体可以用于等离子处理半导体晶片。例如,腔体可以是一个等离子蚀刻腔体。
衬瓦具有许多特征。例如,衬瓦支撑可以包括一个与衬瓦支撑的一下延伸部导热连接的外支撑,而且外支撑可以与安装在腔体上的一水冷顶板热接触。衬瓦支撑可以包括一个上延伸部、一个柔性壁以及一个下延伸壁,其中柔性壁和下延伸部具有多个槽,槽在衬瓦支撑中限定多个指状物。为了进行温度控制,一个浇铸加热器环可以与衬瓦支撑热接触,加热器环包括一电阻加热件,可以加热衬瓦支撑而导热控制腔体衬瓦的温度。
根据本发明的另一个实施例,一种半导体晶片在一种等离子处理腔体中处理,腔体具有一个腔体衬瓦和一个衬瓦支撑,衬瓦支撑包括一个构造成围绕腔体衬瓦一外表面的柔性壁,柔性壁与腔体衬瓦的壁隔离开。其中一半导体晶片传送到腔体中而基质的一外露面由高密度等离子处理。腔体衬瓦最好是一种陶瓷材料而衬瓦支撑包括一在衬瓦支撑和腔体的一温控部件之间延伸的外支撑,外支撑的尺寸做成可以减小在处理一批半导体晶片时腔体衬瓦的温度漂移。在晶片处理过程中,在处理完预定数量的半导体晶片后陶瓷衬瓦从腔体中被取走并由另一个陶瓷衬瓦替换。此外,腔体衬瓦包括一使晶片可以进入腔体中的晶片入口。
附图的简要说明
在结合附图从下面的详细说明中可以更明显地看出本发明的其他方面和优点,其中附图只是示例性地示出本发明的原理。
结合附图从下面的详细描述中可以容易地理解本发明。为了便于这种描述,相同的附图标记表示相同的结构件。
图1示出本发明一个实施例的一高密度等离子蚀刻腔体;
图2A至2C示出本发明一个实施例的一个挡环的细节;
图3A示出本发明一个实施例的一衬瓦支撑的详细的剖视图;
图3B示出根据本发明一个实施例在图3A中沿A-A所做的衬瓦支撑的一个侧视剖视图;
图3C示出根据本发明一个实施例当衬瓦支撑经受温度应力时衬瓦支撑的柔性;
图4示出根据本发明一个实施例腔体衬瓦如何装有衬瓦支撑;
图5A示出本发明一个实施例组装的腔体衬瓦、衬瓦支撑和挡环的局部剖视图;
图5B示出本发明一实施例的外支撑的侧视图;
图6示出根据本发明一个实施例腔体衬瓦、挡环和衬瓦支撑的一个三维组装图;
图7示出根据本发明一个实施例组装的腔体衬瓦、衬瓦支撑以及挡环的另一个三维组装图;而
图8示出根据本发明一个实施例图1的高密度等离子蚀刻腔体的局部分解图。
具体实施方式
本发明提供了一个或多个用于等离子腔体中的温控型低污染、高耐蚀等离子约束体(即消耗件)。在以下的说明书中,给出具体的细节以便可以完全理解本发明。但是可以理解,本领域的普通技术人员不用这些具体的细节也可以实施本发明。在其他情况中,公知的操作过程就不详细描述,以便不会不必要地使本发明变得模糊。
本发明的等离子约束体最好表现为例如腔体衬瓦、挡环、气体分散板、集中环、衬瓦支撑以及其他的非电驱动件。这些部件最好构造成可以基本无污染并耐腐蚀,并且它们最好为温控型而不会损坏这些部件。等离子约束体最好由对在晶片上加工的装置无害的元素组成的材料制成,如硅(Si),碳(C),氮(N)或氧(O)。以此方式,当等离子约束体由离子轰击(即由等离子喷射)时,则产生与处理气体混合的挥发性产品。这些挥发性产品则可以使用一个真空泵从腔体中排出并不会附着在晶片上引起污染。在一优选实施例中,其中等离子约束体在一个等离子蚀刻腔体中,这些部件可以抵抗蚀刻气体而且部件的寿命可以延长。
本发明的等离子约束体最好由一种或多种材料制成,如碳化硅(SiC)、氮化硅(Si3N4)、碳化硼(B4C)以及氮化硼(BN)。这些材料都具有高耐腐蚀、无污染元素以及挥发性腐蚀产品的所需特性。在一个优选的实施例中,等离子约束体(也称为消耗件)由固态碳化硅(SiC)制成,因此会减少对加工的晶片的金属和/或颗粒污染。用于挡环132和衬瓦130的SiC最好为导电性,这样当它与等离子接触时则对RF电流形成良好的接地路径。高耐腐蚀的SiC可以用做一个气体分散板(“GDP”)(即图1中的120),以允许射频功率的感应耦合通过。如上所述,SiC还由等离子较慢地蚀刻,使其成为一个低成本的消耗件。
此外,由于SiC具有高纯度,可以减小由等离子化学喷射SiC产生的晶片污染。而且,通过对任何非碳化硅表面的等离子势能以及离子轰击能而使接地的SiC可以减少在腔体中喷射其他表面,SiC成分还提供了一个很稳定的等离子势能,这样在各自腔体中并从一个腔体到另一个腔体可以多次重复蚀刻结果。对于可以减小污染的高密度等离子处理的等离子约束件的情况,可以参考于1998年3月31日递交的已转让的美国专利申请No.09/050,902,题目为“用于等离子处理腔的污染控制方法和设备”。此申请在此做为参考。下面参照图1-8描述本发明的各个实施例。
图1示出本发明一个实施例的高密度等离子蚀刻腔体100。其中示出腔体外壳102包含一个半导体基质,如硅晶片104,它可以经受一个等离子蚀刻处理。在此实施例中,蚀刻操作最好是一种高密度等离子操作,它可以腐蚀形成在晶片104表面上的材料如氧化硅。通过确保腔体保持在约80mTorr之下的低压下使高密度等离子(即具有约1011-1012个离子/cm3之间密度的等离子)形成在腔体中,而且最好在约1mTorr和约40mTorr之间。通常通过在腔体底部施加一个适当的真空泵而保持腔体中的压力。
晶片104支撑在一个静电吸盘106上。一个下部电极108位于静电吸盘106之下,它包含一个后侧冷却环110,用于控制静电吸盘106的温度。静电吸盘106由一个支座112和一个围绕晶片104的集中环114限定。在本发明一个实施例中,支座112和集中环114最好由从以下一组中选出的材料构成,包括:(a)碳化硅(SiC),(b)氮化硅(Si3N4),(c)碳化硼(B4C),或(d)氮化硼(BN)。在一个优选实施例中,选用Si3N4做为支座112和集中环114的材料。
根据一个实施例,一个绝缘铝环116位于铝支座118和下部电极108以及碳化硅支座112之间。腔体衬瓦130最好是一个可以连在一挡环132上的圆柱形衬瓦。挡环132通常包括一个内环132a,它与腔体衬瓦130有良好的电接触和良好的热接触。挡环132还具有一排整体齿132b,对此将参照图2A至2C详细描述。
一个气体分散板(GDP)120位于晶片104之上,它起一个将腐蚀气体化学物质释放到处理腔体中的喷头的作用。一个陶瓷窗口122位于气体分散板120之上。一个RF线圈128(即一个RF天线)位于陶瓷窗口122之上,用于将一个顶部射频功率供入反应器腔体100中。RF线圈128最好由一个在RF线圈128中心集成的冷却通道冷却。在此简化示意图中,一个气体供给口126用于将处理气体供入限定在陶瓷窗口122和气体分配板120之间的通道中。关于处理腔体的更多资料可以参考TCP9100TM等离子蚀刻反应器,它从加州Fremont的LAM研究公司中可以获得。
一个RF阻抗匹配系统127构造成可以安装在处理腔体之上并与RF线圈128适当接触,以控制功率的传递以及其他反应器控制参数。如上所述,陶瓷窗口122设计成可以与安装在顶板124中的气体分配板接触。顶板124限定大气压力和高密度蚀刻腔室100中的所需真空状况的一个接口。本领域普通技术人员可以理解,通过在腔体外壳102、顶板124、GDP120、陶瓷窗口122以及RF匹配系统127之间设置适当数量的O形圈而形成所需的压力接口。
在高密度等离子蚀刻腔体100中还设有一个衬瓦支撑134,以便向腔体衬瓦130和挡环132精确控制和传递所需的温度。在此实施例中,衬瓦支撑134由铝制成,以有利于其柔性和改进其导热性。衬瓦支撑134包括一上延伸部134a、一柔性壁134b、一下延伸部134c以及一衬瓦支撑延伸部134d。下延伸部134c安装成与腔体衬瓦130和挡环132直接导热接触。在此实施例中,柔性壁134b略微与腔体衬瓦130隔开。加热器140可以安装成与衬瓦支撑134的上延伸部134a直接导热接触。为了对加热器140供能并对其进行控制,一个能量连接件142连在加热器能源129上。因此衬瓦支撑适当定位以控制传递给腔体衬瓦130和挡环132的所需温度而不会损坏(很脆弱的)腔体衬瓦130或挡环132。
还示出一个外支撑131,它导热地连接在衬瓦支撑134的下延伸部134c上。外支撑还导热连接在顶板124上,它设计成可以承接一冷却环121。从以下参照图5A和5B的详细描述中可知,外支撑131用于在晶片处理操作(即蚀刻)中精确控制腔体衬瓦130的位置。由外支撑131和冷却环121提供的精确的温度控制可以有利于帮助防止腔体衬瓦温度的逐渐上升(由于等离子能)快于衬瓦能向其周围辐射热量的速度。
如上所述,腔体衬瓦130和挡环132最好由纯碳化硅材料制成。另外,气体分配板120、集中环114以及支座112也由一种纯氮化硅或碳化硅材料制成,或至少镀有碳化硅。以此方式,基本上所有限定高密度等离子的表面都为纯碳化硅或涂有碳化硅。推而广之,可以使用其他只包含对在正在处理的晶片上的装置无害的元素的材料,例如硅(Si)、碳(C)、氮(N)或氧,它们与蚀刻气体形成易挥发的蚀刻产品。以此方式,当限定等离子的内表面被轰击时,产生的挥发性产品与从腔体中排出的多余的蚀刻气体(使用一个真空泵等)混合。由于当等离子轰击腔体的内表面(即消耗体)时产生的产品易挥发,这些产品既不会落在晶片表面上引起污染,也不会埋嵌在沉积在消耗件中的聚合物中。
图2A至2C示出本发明一个实施例的挡环132的细节。如图1中所示,挡环132起一个气体和副产品通过至连在腔体102底部上的一真空泵的等离子筛的作用。如图所示,挡环132具有一排帮助维护在腔体102顶部半侧中的等离子的齿132b,其中(消耗件的)碳化硅表面将等离子基本限制在晶片104之上。挡环132还具有一个内环132a,用于与腔体衬瓦130良好地导热接触。
图2B是一对齿132b的三维图。通常,由空间132c提供的开口区构造成保持50%-70%的开口区,以便从腔体102泵出的气体和副产品充分通过。为了形成每个空间132c,如图2C中所示,固态的碳化硅材料(或镀有SiC的材料)必须进行加工以保持至少1.5或更大的适当的幅形比。在此优选结构中,空间132c的宽度最好为约0.13英寸,而高度约为0.28英寸。因此这些优选尺寸提供了一个约2.0的幅形比。
在此200mm晶片腔体实施例中,挡环132的内径(ID)约为10.75英寸,这样在图1所示的支座112之间形成约1/16英寸的间隙。但是,取决于正在处理的晶片的尺寸内径(ID)当然可以更大。例如,对于一个300mm的晶片,内径可以约为14英寸这么大。
在一变化的实施例中,挡环132可以加工成齿132b由一排孔或槽代替。当加工一排孔或槽代替齿132b时,仍然希望保持一个在约50%一70%之间的开口区(即通路)。挡环132还具有多个螺纹孔150,它们设计成围绕内环132a。如图1中所示,螺纹孔150构造成可以承接一适当的螺栓,以相互连接挡环132至腔体衬瓦130和衬瓦支撑134。可以使用其他的紧固件如夹具,以提供必要的接触力进行充分的热传递。
图3A示出本发明一个实施例的衬瓦支撑134的一个更详细的剖视图。如上所述,衬瓦支撑134具有一个柔性壁134b,当加热器140施加所需的热量时柔性壁可以响应于可能产生的热变形弯曲。最好柔性壁134b是圆柱形并切入多个指状物。如上所述,衬瓦支撑最好由铝材制成,因为铝具有良好的导热性,并且当加热器140施加所需的温度时还可以提供很好的柔性。由于下延伸部134c由螺栓固定在腔体衬瓦130和挡环132上,下延伸部134c将保持到位,而在一导热界面141连在加热器140上的上延伸部134a可以如图3C所示向外弯曲。
最好使用数量适当的螺栓144将加热器140安装到上延伸部134a上,以确保导热界面141总是保持围绕上延伸部134a。在一优选实施例中,螺栓144可以保持加热器140以约1,000磅/英寸2的压力与上延伸部134a接触。
当高密度等离子蚀刻腔体100处理一个8英寸的晶片时(即200mm的晶片),衬瓦支撑134可以具有一个约14 1/2英寸的内径。柔性壁134b的厚度170可以在约1/16英寸和约3/32英寸之间。对处理温度约300℃最好使用1/16英寸的尺寸,而对具有高达约1000℃的处理温度的腔体使用3/32英寸的尺寸。
根据腔体高度下延伸部134c和上延伸部134a之间的间隔176最好设置成约2 1/2英寸。但是间隔176越大,衬瓦支撑134中的热阻力越大。因此,间隔176尽可能短,这样衬瓦支撑的铝材在越过300℃以及以上时不会应力太大。上延伸部134a优选的厚度172最好设置成约9/16英寸,而下延伸部134c的优选厚度约为5/8英寸。
图3B示出根据本发明一个实施例的图3A中沿A-A所做的衬瓦支撑134的一个侧剖视图。为了便于衬瓦支撑134的弯曲,在衬瓦支撑134的侧面中形成槽152,以限定多个指状物。槽152通过柔性壁134b并通过下延伸部134c竖直延伸。因为衬瓦支撑134最好为一个圆柱形部件,槽152之间的间隔必须构造成在柔性壁134b中可以保持适当水平的柔性。因此,槽152之间的间隔最好设置成约为15°。但是,根据衬瓦支撑134和所需程度的柔性,槽152之间的实际间隔可以变化和改变。而且图中示出螺纹孔150,形成在下延伸部134c中。
为了示出由衬瓦支撑134提供的柔性,图3C示出从一Y轴(相对于一水平X轴)向外延伸以取得一个间隔133的衬瓦支撑。在某些场合下,间隔可以为1/16英寸或更大。因此,衬瓦支撑134可以承受设置在衬瓦支撑134的铝材上的热应力,同时隔绝柔性小的腔体衬瓦130和挡环132免受温度变形应力。
图4示出根据本发明一个实施例腔体衬瓦130如何与衬瓦支撑134组装。在此实施例中,当腔体衬瓦130由碳化硅制成时,可以为供能的电极108(底部电极)提供一个接地的高集成度RF返回路径。本领域的普通技术人员公知的是,在处理腔体中提供一个高集成度的RF接地路径带来极好的处理重复性的优点。此外,接地的SiC可以通过减小等离子势能以及任何非硅碳化物表面上的离子轰击能而减小在腔体中其他表面的喷射。
另外,用于腔体衬瓦130的材料如SiC的电阻率可以变化很大。例如,可以针对具体的应用调整SiC的电阻率。当用于腔体衬瓦130和挡环132时,SiC调整成可以提供低电阻率,可以便于用于射频功率的良好的接地导电路径。另一方面,当部件必须具有通过它感应耦合的射频功率以减少在部件中的能量耗散时,就必须具有高电阻率。
如图所示,螺栓孔150构造成可以在下支撑部通过腔体衬瓦130并进入衬瓦支撑134中。通常,使用适当数量的螺栓相互连接腔体衬瓦130和衬瓦支撑134,这样可以保持良好导热界面156。这样,通过衬瓦支撑134传导的热量可以导热地连通到腔体衬瓦130和挡环132上。
在此优选实施例中,衬瓦支撑134最好与腔体衬瓦130隔开一个间距154。间距154最好设置成约1/16英寸。通常需要这种间隔,因为衬瓦支撑134构造成可以弯曲,如参照图3C所述。对一个200mm的晶片,腔体衬瓦130的直径179约14英寸。腔体衬瓦130的厚度最好在此实施例中在约0.1英寸和约0.3英寸之间,更好地约为0.2英寸。此优选腔体衬瓦的高度177可以在约3英寸和约12英寸之间,最好约5英寸。
还示出一个外支撑131,它导热地连在衬瓦支撑134的下延伸部134c上。最好外支撑与柔性壁134b隔开,这样它可以基本不受阻碍地弯曲。外支撑131的外侧具有一个带有一表面123′的上延伸壁,表面123′构造成可以与顶板124进行良好的热接触。以此方式,在图5A中详细示出的冷却环121可以用于控制腔体衬瓦130和腔体内区的温度。因此,通过加热器140和冷却环121的联合即时控制,腔体衬瓦130的温度可以从没有等离子的状况至承受等离子的状况保持在小于±10℃中。这样,蚀刻的第一晶片可以以与最后一个蚀刻的晶片相同的腔体衬瓦130温度蚀刻,变化在±10℃内。
图5A示出根据本发明一个实施例组装的腔体衬瓦130、衬瓦支撑134以及挡环132的局部剖视图。如图中所示,腔体衬瓦130和衬瓦支撑134组装成可以如上所述取得良好的导热界面156。
如上所述,外支撑131通过多个螺栓135导热连接到下延伸部134c上。在一个最优选的实施例中,外支撑131具有一个柔性壁131a,柔性壁将导热连接到顶板124上。在图5B中还示出外支撑131的一个侧视图,以示出由多个槽131c隔开的多个指状物131d如何帮助向柔性壁131a提供必要的柔性。顶板124还构造成可以在顶板124的一个顶边上承接冷却环121。当然,可以使用用于向顶板124施加冷却环121或其它类型的冷却系统的其它结构。
在此实施例中,加热器140和冷却环121的联合使用可以在很窄的温度范围中精确进行温度控制。例如,腔体衬瓦130通常在高温下工作,如200℃或更高,而热量主要通过辐射损失到周围环境中。当产生等离子时,等离子通过离子轰击将更多热量排入腔体衬瓦130中。腔体衬瓦130的温度缓慢增加,因为通常它不能象从等离子获取热量那样快地通过辐射将此热量传递给其周围环境。这样,与冷却环121导热连接的外支撑131可以避免腔体衬瓦温度的骤降。在此实施例中,从衬瓦支撑134至外支撑131的热量损失可以通过调整外支撑131的横截面和长度而固定。因此这种调整可以用于控制从衬瓦支撑134到温控顶板124的热量损失路径。
如图所示,腔体衬瓦130还可以提供与挡环132良好的导热界面157。为了取得此良好的传导界面,挡环132、腔体衬瓦130以及衬瓦支撑134使用多个螺栓150′安装在一起。最好,螺栓150′通过一个与挡环132的内环132a直接接触的间隔圈131b、一隔片131a′以及腔体衬瓦130安装。
间隔圈131b和隔片131a′最好由铝制成,并提供一个良好的表面用于向螺栓150′和挡环132的脆表面以及腔体衬瓦130施加压力。即,由于挡环132最好是陶瓷,用螺栓直接向挡环施加太大的压力会使挡环或腔体衬瓦130破裂。一旦螺栓150′围绕腔体安装,腔体衬瓦、挡环以及衬瓦支撑(即消耗件)可以方便地用于图1的高密度等离子蚀刻腔体100中。当用于其中时,这些部件称为消耗件,但是当碳化硅(或其他在此所述的变化的材料)用做限定高密度等离子的部件时,这些部件具有较长的寿命,因此成为低成本的消耗件。
当需要更换时,这些部件必须由替换件快速更换(即使用一种快捷洁净的工具)。因为衬瓦支撑134没有设计成与高密度等离子接触,它不会象腔体衬瓦130和挡环132那样很快地磨损。这样,衬瓦支撑134可以从磨损的消耗件上取下(可以离线清洁并重新使用或抛弃),然后使用替换的消耗件。当腔体用于小批量生产时,能够快速替换这些消耗件则可以减少清洗腔体的平均时间。
图6示出根据本发明一个实施例腔体衬瓦130、挡环132以及衬瓦支撑134的一个三维组装图。如图所示,衬瓦支撑134的上延伸部134a的顶面具有多个可以承接加热器140的螺纹孔。沿衬瓦支撑134的壁设有多个限定指状物的槽152,指状物构造成可以响应于温度变化而弯曲。一晶片入口160形成在腔体衬瓦130的壁中,以使晶片可以进出腔体100。通常,最好使用一个机器人臂将晶片放入腔体中,机器人臂必须局部安装在入口160中,并在静电吸盘106上释放晶片一次。因此,入口160应该足够大,以承接晶片和机器人臂,但还应足够小以不会干扰晶片上的等离子构形。如图7所示,一个带有表现为口160形状的槽的插体连在衬瓦外侧。同其他消耗件一样,插体可以由SiC、Si3N4、B4C和/或BN构成。
衬瓦支撑134通常还包括也形成在腔体衬瓦130中的通孔162。通孔162可以包括用于在处理过程中检测腔体中压力以及光学检测一个具体处理过程终点的孔。而且示出孔161的细节,孔161用于承接向下将加热器140保持在衬瓦支撑134的上延伸部134a上的螺栓144。
图7示出另一个组装的腔体衬瓦130、衬瓦支撑134以及挡环132的三维图。在此图中详细示出用于向静电吸盘106传送晶片的开口160。还示出挡环132的齿132b。因此齿132b延伸接近支座112,以过滤如图1所示来自腔体下部的等离子。
图8示出根据本发明一个实施例的图1的高密度等离子蚀刻腔体100的局部分解图。图中示出用于挡环132、腔体衬瓦130以及衬瓦支撑134的组件中的间隔圈131b。此立体图还示出加热器140是如何施加到衬瓦支撑134的上延伸部134a上的。如图所示,加热器140最好是一个浇铸的加热器。当然其他类型的加热系统也可以工作。当加热器140适当安装时,可以形成与衬瓦支撑134良好的热接触。
图中还示出能量连接件142,它穿过顶板124中的一个孔124a。顶板124可以承接气体分配板120。气体分配板120具有通道120a,通道可以将由气体供给口126提供的处理气体导入腔体100中。尽管在此例子中未示出,但陶瓷窗口122可以降低到气体分配板120上。
在本发明一个优选实施例中,高密度等离子蚀刻腔体100可以蚀刻氧化硅材料,例如导热生成的二氧化硅(SiO2)、TEOS、PSG、BPSG、USG、LTO等,同时减少产生不想要的污染物。只为了优选的目的,为了在腔体100中取得高密度等离子状况,腔体中的压力最好保持在80mTorr下,而且RF线圈128(即顶部电极)最好设置在约2500瓦和约400瓦之间,并且最好约1,500瓦。底部电极108最好保持在约2500瓦和约700瓦之间,而且最好约为1,000瓦。在通常的高密度氧化物蚀刻处理中,处理气体例如CHF3、C2HF5和/或C2F6被导入腔体中以产生所需的蚀刻特性。
如前所述,可以用做等离子约束件(即消耗件,包括腔体衬瓦130、挡环132、GDP120、集中环114以及支座112)的材料通常对在晶片104之上的层无损害。即,当消耗件由等离子性轰击(即喷射)时来自蚀刻晶片104表面的挥发性蚀刻产品与产生的挥发性产品相性。结果有利的是,这些由离子轰击消耗件产生的挥发性产品可以加入到正常的挥发性蚀刻产品中。
因此这样便于通过使用连接到腔体上的一个真空泵从腔体100的内区中去除这些组合的挥发性产品。由于来自消耗件的挥发性产品可以迅速从晶片处理区中去除,基本上很少有颗粒和金属污染物会干扰在晶片104表面上加工的装置。尽管结合几个优选的实施例对本发明进行了描述,但本领域的普通技术人员可以理解,通过阅读以前的说明书和研究附图可以进行不同的变化、增加、修改和替换。因此,尽管针对减少半导体晶片的污染提供了具体的细节,但这些优点也可以应用到平板显示基质等上。此外,尽管用于消耗件的优选的材料是纯碳化硅(SiC),但材料也可以是镀有SiC的材料如镀有SiC的石墨,或主要是SiC,其中加有10~20%的Si,以在反应物粘结的SiC中填充空洞。而且如上所述,消耗件还可以由例如氮化硅(Si3N4)、碳化硼(B4C)以及氮化硼(BN)制成。这些材料都具有高抗腐蚀、无污染元素以及挥发性蚀刻产品的特性。
因此本发明包括所有落入本发明权利要求范围中的这些变化、增加、修改和替换。

Claims (24)

1.一种等离子处理腔体,具有一个腔体衬瓦和一个位于等离子处理腔体内部的衬瓦支撑,腔体衬瓦位于等离子处理腔体的侧壁内侧,衬瓦支撑包括一个构造成围绕腔体衬瓦一外表面的柔性壁,柔性壁与腔体衬瓦的外表面隔离开。
2.如权利要求1所述的等离子处理腔体,其特征在于,还包括一个加热器,该加热器与衬瓦支撑导热连接以从衬瓦支撑向腔体衬瓦传导热量。
3.如权利要求1所述的等离子处理腔体,其特征在于,衬瓦支撑由柔性铝材制成而腔体衬瓦由碳化硅、氮化硅、碳化硼和氮化硼中的一种或多种制成。
4.如权利要求3所述的等离子处理腔体,其特征在于,柔性壁包括将衬瓦支撑分隔成多个指状物的槽,指状物使柔性壁吸收热应力。
5.如权利要求4所述的等离子处理腔体,其特征在于,衬瓦支撑的一下延伸部固定在腔体衬瓦的一下支撑部上。
6.如权利要求1所述的等离子处理腔体,其特征在于,还包括一个与腔体衬瓦和衬瓦支撑热接触的挡环,挡环限定一个等离子筛,等离子筛围绕一个位于腔体中部中的静电吸盘。
7.如权利要求6所述的等离子处理腔体,其特征在于,挡环由碳化硅、氮化硅、碳化硼以及氮化硼中的一种或多种制成。
8.如权利要求1所述的等离子处理腔体,其特征在于,腔体衬瓦由碳化硅、氮化硅、碳化硼以及氮化硼中的一种或多种制成。
9.如权利要求1所述的等离子处理腔体,其特征在于,腔体衬瓦具有低电阻率并构造成提供一个接地的射频路径。
10.如权利要求1所述的等离子处理腔体,其特征在于,还包括一个限定在一个静电吸盘上的气体分配板,气体分配板具有高电阻率。
11.如权利要求10所述的等离子处理腔体,其特征在于,气体分配板由碳化硅、氮化硅、碳化硼以及氮化硼中的一种或多种制成。
12.如权利要求1所述的等离子处理腔体,其特征在于,还包括一个构造成围绕准备在等离子处理腔体内处理的半导体基底的集中环、一个支撑集中环的支座、以及一个支撑在支座上的静电吸盘。
13.如权利要求12所述的等离子处理腔体,其特征在于,集中环和支座由碳化硅、氮化硅、碳化硼以及氮化硼中的一种或多种制成。
14.如权利要求1所述的等离子处理腔体,其特征在于,还包括一个构造成围绕准备在等离子处理腔体内处理的半导体基底的集中环、一个支撑集中环的支座、和一个位于集中环和支座上方的气体分配板,所述集中环、支座和气体分配板由碳化硅、氮化硅、碳化硼以及氮化硼中的一种或多种制成。
15.如权利要求11所述的等离子处理腔体,其特征在于,还包括一个射频功率源,该射频功率源通过气体分配板感应耦合射频功率并在腔体中产生高密度等离子。
16.如权利要求15所述的等离子处理腔体,其特征在于,射频功率包括一平面天线。
17.如权利要求1所述的等离子处理腔体,其特征在于,衬瓦支撑还包括一个与衬瓦支撑的一下延伸部导热连接的外支撑,外支撑与安装在腔体上的一水冷却顶板热接触。
18.如权利要求1所述的等离子处理腔体,其特征在于,所述等离子处理腔体是一个等离子蚀刻腔体。
19.如权利要求1所述的等离子处理腔体,其特征在于,衬瓦支撑包括一个上延伸部、一个柔性壁以及一个下延伸壁,其中柔性壁和下延伸部具有多个槽,槽在衬瓦支撑中限定多个指状物。
20.如权利要求1所述的等离子处理腔体,其特征在于,一个浇铸加热器环与衬瓦支撑热接触,加热器环包括一加热衬瓦支撑而导热控制腔体衬瓦温度的电阻加热件。
21.如权利要求1所述的等离子处理腔体,其特征在于,腔体衬瓦包括一使晶片进入腔体中的晶片入口。
22.一种用于处理等离子处理腔体中的半导体基底的方法,包括:将一半导体基底传送到一处理腔体中,该处理腔体具有一个腔体衬瓦和一个位于等离子处理腔体内部的衬瓦支撑,所述腔体衬瓦位于等离子处理腔体的侧壁内侧,衬瓦支撑包括一个构造成围绕腔体衬瓦一外表面的柔性壁,柔性壁与腔体衬瓦的外表面隔离开;
利用高密度等离子来处理基底的一外露面。
23.如权利要求22所述的方法,其特征在于,腔体衬瓦是由碳化硅、氮化硅、碳化硼和氮化硼中的一种或几种制成,并且衬瓦支撑包括一在衬瓦支撑和容纳冷却环的顶板之间延伸的外支撑,外支撑的尺寸做成使在处理一批半导体基底时腔体衬瓦的温度漂移最小化。
24.如权利要求22所述的方法,其特征在于,腔体衬瓦是由碳化硅、氮化硅、碳化硼和氮化硼中的一种或几种制成,并且在处理完预定数量的半导体基底后腔体衬瓦从腔体中被取走并由替换陶瓷衬瓦替换。
CNB998112860A 1998-09-25 1999-09-24 低污染、高密度等离子蚀刻腔体及其加工方法 Expired - Fee Related CN1328755C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/161,074 1998-09-25
US09/161,074 US6129808A (en) 1998-03-31 1998-09-25 Low contamination high density plasma etch chambers and methods for making the same

Publications (2)

Publication Number Publication Date
CN1319247A CN1319247A (zh) 2001-10-24
CN1328755C true CN1328755C (zh) 2007-07-25

Family

ID=22579708

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB998112860A Expired - Fee Related CN1328755C (zh) 1998-09-25 1999-09-24 低污染、高密度等离子蚀刻腔体及其加工方法

Country Status (10)

Country Link
US (3) US6129808A (zh)
EP (1) EP1145273B1 (zh)
JP (1) JP4612190B2 (zh)
KR (1) KR100566908B1 (zh)
CN (1) CN1328755C (zh)
AU (1) AU1440100A (zh)
DE (1) DE69928289T2 (zh)
RU (1) RU2237314C2 (zh)
TW (1) TW460972B (zh)
WO (1) WO2000019481A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI564929B (zh) * 2014-07-24 2017-01-01 科閎電子股份有限公司 用於電漿反應裝置之襯套單元

Families Citing this family (179)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3257328B2 (ja) * 1995-03-16 2002-02-18 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US6482747B1 (en) * 1997-12-26 2002-11-19 Hitachi, Ltd. Plasma treatment method and plasma treatment apparatus
US6464843B1 (en) 1998-03-31 2002-10-15 Lam Research Corporation Contamination controlling method and apparatus for a plasma processing chamber
US6129808A (en) 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US6364954B2 (en) * 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
US20040149214A1 (en) * 1999-06-02 2004-08-05 Tokyo Electron Limited Vacuum processing apparatus
US6972071B1 (en) * 1999-07-13 2005-12-06 Nordson Corporation High-speed symmetrical plasma treatment system
US6451157B1 (en) * 1999-09-23 2002-09-17 Lam Research Corporation Gas distribution apparatus for semiconductor processing
KR100806097B1 (ko) * 1999-09-30 2008-02-21 램 리써치 코포레이션 예비 처리된 가스 분배판
KR20010062209A (ko) * 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
US6673198B1 (en) * 1999-12-22 2004-01-06 Lam Research Corporation Semiconductor processing equipment having improved process drift control
US6363882B1 (en) * 1999-12-30 2002-04-02 Lam Research Corporation Lower electrode design for higher uniformity
US6772827B2 (en) * 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
JP2004507074A (ja) * 2000-04-06 2004-03-04 エーエスエム アメリカ インコーポレイテッド ガラス質材料用バリアコーティング
JP2002134472A (ja) * 2000-10-20 2002-05-10 Mitsubishi Electric Corp エッチング方法、エッチング装置および半導体装置の製造方法
US20040081746A1 (en) * 2000-12-12 2004-04-29 Kosuke Imafuku Method for regenerating container for plasma treatment, member inside container for plasma treatment, method for preparing member inside container for plasma treatment, and apparatus for plasma treatment
US6805952B2 (en) * 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US6613442B2 (en) 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
JP2004519108A (ja) * 2001-02-26 2004-06-24 ユナキス・バルツェルス・アクチェンゲゼルシャフト 部材製造方法と真空処理システム
US20020160620A1 (en) * 2001-02-26 2002-10-31 Rudolf Wagner Method for producing coated workpieces, uses and installation for the method
US6602381B1 (en) 2001-04-30 2003-08-05 Lam Research Corporation Plasma confinement by use of preferred RF return path
US6821378B1 (en) * 2001-05-25 2004-11-23 Lam Research Corporation Pump baffle and screen to improve etch uniformity
KR20020095324A (ko) * 2001-06-14 2002-12-26 삼성전자 주식회사 고주파 파워를 이용하는 반도체장치 제조설비
US6626188B2 (en) 2001-06-28 2003-09-30 International Business Machines Corporation Method for cleaning and preconditioning a chemical vapor deposition chamber dome
EP1274113A1 (en) * 2001-07-03 2003-01-08 Infineon Technologies SC300 GmbH & Co. KG Arrangement and method for detecting sidewall flaking in a plasma chamber
JP3990881B2 (ja) * 2001-07-23 2007-10-17 株式会社日立製作所 半導体製造装置及びそのクリーニング方法
KR100431660B1 (ko) * 2001-07-24 2004-05-17 삼성전자주식회사 반도체 장치의 제조를 위한 건식 식각 장치
US20030092278A1 (en) * 2001-11-13 2003-05-15 Fink Steven T. Plasma baffle assembly
DE10156407A1 (de) * 2001-11-16 2003-06-05 Bosch Gmbh Robert Haltevorrichtung, insbesondere zum Fixieren eines Halbleiterwafers in einer Plasmaätzvorrichtung, und Verfahren zur Wärmezufuhr oder Wärmeabfuhr von einem Substrat
US6730174B2 (en) * 2002-03-06 2004-05-04 Applied Materials, Inc. Unitary removable shield assembly
US6780787B2 (en) * 2002-03-21 2004-08-24 Lam Research Corporation Low contamination components for semiconductor processing apparatus and methods for making components
US6613587B1 (en) * 2002-04-11 2003-09-02 Micron Technology, Inc. Method of replacing at least a portion of a semiconductor substrate deposition chamber liner
US7093560B2 (en) * 2002-04-17 2006-08-22 Lam Research Corporation Techniques for reducing arcing-related damage in a clamping ring of a plasma processing system
US8703249B2 (en) * 2002-04-17 2014-04-22 Lam Research Corporation Techniques for reducing arcing-related damage in a clamping ring of a plasma processing system
US7086347B2 (en) 2002-05-06 2006-08-08 Lam Research Corporation Apparatus and methods for minimizing arcing in a plasma processing chamber
US6825051B2 (en) * 2002-05-17 2004-11-30 Asm America, Inc. Plasma etch resistant coating and process
KR20030090305A (ko) * 2002-05-22 2003-11-28 동경엘렉트론코리아(주) 플라즈마 발생장치의 가스 배기용 배플 플레이트
US20050121143A1 (en) * 2002-05-23 2005-06-09 Lam Research Corporation Pump baffle and screen to improve etch uniformity
FR2842387B1 (fr) * 2002-07-11 2005-07-08 Cit Alcatel Chemisage chauffant pour reacteur de gravure plasma, procede de gravure pour sa mise en oeuvre
US7252738B2 (en) * 2002-09-20 2007-08-07 Lam Research Corporation Apparatus for reducing polymer deposition on a substrate and substrate support
US7166166B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US6837966B2 (en) * 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US7204912B2 (en) 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US7147749B2 (en) 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US7137353B2 (en) * 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
KR100470999B1 (ko) * 2002-11-18 2005-03-11 삼성전자주식회사 유도 결합 플라즈마 식각장치의 챔버구조
US7780786B2 (en) 2002-11-28 2010-08-24 Tokyo Electron Limited Internal member of a plasma processing vessel
US20060226003A1 (en) * 2003-01-22 2006-10-12 John Mize Apparatus and methods for ionized deposition of a film or thin layer
US6844260B2 (en) * 2003-01-30 2005-01-18 Micron Technology, Inc. Insitu post atomic layer deposition destruction of active species
KR100918528B1 (ko) 2003-03-31 2009-09-21 도쿄엘렉트론가부시키가이샤 처리부재 상에 인접한 코팅을 결합시키는 방법
WO2004095532A2 (en) 2003-03-31 2004-11-04 Tokyo Electron Limited A barrier layer for a processing element and a method of forming the same
US20040256215A1 (en) * 2003-04-14 2004-12-23 David Stebbins Sputtering chamber liner
US7972467B2 (en) * 2003-04-17 2011-07-05 Applied Materials Inc. Apparatus and method to confine plasma and reduce flow resistance in a plasma reactor
US20040206213A1 (en) * 2003-04-18 2004-10-21 Chih-Ching Hsien Wrench having a holding structure
US6953608B2 (en) * 2003-04-23 2005-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Solution for FSG induced metal corrosion & metal peeling defects with extra bias liner and smooth RF bias ramp up
JP3940095B2 (ja) * 2003-05-08 2007-07-04 忠弘 大見 基板処理装置
CN101068950A (zh) * 2003-05-30 2007-11-07 阿维扎技术公司 气体分配系统
US7083702B2 (en) * 2003-06-12 2006-08-01 Applied Materials, Inc. RF current return path for a large area substrate plasma reactor
US7182816B2 (en) * 2003-08-18 2007-02-27 Tokyo Electron Limited Particulate reduction using temperature-controlled chamber shield
US8460945B2 (en) * 2003-09-30 2013-06-11 Tokyo Electron Limited Method for monitoring status of system components
US6974781B2 (en) * 2003-10-20 2005-12-13 Asm International N.V. Reactor precoating for reduced stress and uniform CVD
US7107125B2 (en) * 2003-10-29 2006-09-12 Applied Materials, Inc. Method and apparatus for monitoring the position of a semiconductor processing robot
US7267741B2 (en) * 2003-11-14 2007-09-11 Lam Research Corporation Silicon carbide components of semiconductor substrate processing apparatuses treated to remove free-carbon
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
JP3962722B2 (ja) 2003-12-24 2007-08-22 三菱重工業株式会社 プラズマ処理装置
JP4698251B2 (ja) * 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け
JP4426342B2 (ja) 2004-03-08 2010-03-03 株式会社日立ハイテクノロジーズ 真空処理装置
US8540843B2 (en) * 2004-06-30 2013-09-24 Lam Research Corporation Plasma chamber top piece assembly
US8349128B2 (en) * 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
US20060000802A1 (en) * 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
KR101173324B1 (ko) * 2004-07-09 2012-08-10 에너제틱 테크놀로지 아이엔씨. 유도성 구동 플라즈마 광원
US20060037702A1 (en) * 2004-08-20 2006-02-23 Tokyo Electron Limited Plasma processing apparatus
US7534301B2 (en) * 2004-09-21 2009-05-19 Applied Materials, Inc. RF grounding of cathode in process chamber
US7375027B2 (en) 2004-10-12 2008-05-20 Promos Technologies Inc. Method of providing contact via to a surface
US7959984B2 (en) 2004-12-22 2011-06-14 Lam Research Corporation Methods and arrangement for the reduction of byproduct deposition in a plasma processing system
US8038796B2 (en) * 2004-12-30 2011-10-18 Lam Research Corporation Apparatus for spatial and temporal control of temperature on a substrate
KR100737311B1 (ko) 2005-01-19 2007-07-09 삼성전자주식회사 반도체 제조장치
DE602006019844D1 (de) * 2005-03-02 2011-03-10 Roamware Inc Dynamische erzeugung von csi für ausgehende roamer
US7430986B2 (en) * 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
US9659758B2 (en) 2005-03-22 2017-05-23 Honeywell International Inc. Coils utilized in vapor deposition applications and methods of production
US20060213437A1 (en) * 2005-03-28 2006-09-28 Tokyo Electron Limited Plasma enhanced atomic layer deposition system
US20060278520A1 (en) * 2005-06-13 2006-12-14 Lee Eal H Use of DC magnetron sputtering systems
KR100672828B1 (ko) * 2005-06-29 2007-01-22 삼성전자주식회사 챔버 인서트 및 이를 포함하는 기판 가공 장치
WO2007016701A2 (en) * 2005-07-29 2007-02-08 Aviza Technology, Inc. Deposition apparatus for semiconductor processing
US7641762B2 (en) * 2005-09-02 2010-01-05 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
US20070079936A1 (en) * 2005-09-29 2007-04-12 Applied Materials, Inc. Bonded multi-layer RF window
CN100369192C (zh) * 2005-12-26 2008-02-13 北京北方微电子基地设备工艺研究中心有限责任公司 半导体加工系统反应腔室
US8440049B2 (en) * 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
EP2022872A4 (en) * 2006-05-09 2010-07-28 Ulvac Inc THIN FILM PRODUCTION EQUIPMENT AND INTERIOR BLOCK CORRESPONDING
US7879184B2 (en) * 2006-06-20 2011-02-01 Lam Research Corporation Apparatuses, systems and methods for rapid cleaning of plasma confinement rings with minimal erosion of other chamber parts
US20080118663A1 (en) * 2006-10-12 2008-05-22 Applied Materials, Inc. Contamination reducing liner for inductively coupled chamber
US7776178B2 (en) * 2006-10-25 2010-08-17 Applied Materials, Inc. Suspension for showerhead in process chamber
US7909961B2 (en) * 2006-10-30 2011-03-22 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7943005B2 (en) 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US8004293B2 (en) * 2006-11-20 2011-08-23 Applied Materials, Inc. Plasma processing chamber with ground member integrity indicator and method for using the same
US7942112B2 (en) * 2006-12-04 2011-05-17 Advanced Energy Industries, Inc. Method and apparatus for preventing the formation of a plasma-inhibiting substance
KR100847890B1 (ko) * 2006-12-13 2008-07-23 세메스 주식회사 챔버 라이너를 포함하는 밀폐형 반도체 공정 시스템 및그것을 이용한 웨이퍼 가공 방법
US8043430B2 (en) 2006-12-20 2011-10-25 Lam Research Corporation Methods and apparatuses for controlling gas flow conductance in a capacitively-coupled plasma processing chamber
SG10201703432XA (en) 2007-04-27 2017-06-29 Applied Materials Inc Annular baffle
KR101204496B1 (ko) * 2007-05-18 2012-11-26 가부시키가이샤 아루박 플라즈마 처리 장치 및 방착 부재의 제조 방법
US7874726B2 (en) * 2007-05-24 2011-01-25 Asm America, Inc. Thermocouple
US8034410B2 (en) 2007-07-17 2011-10-11 Asm International N.V. Protective inserts to line holes in parts for semiconductor process equipment
US9184072B2 (en) * 2007-07-27 2015-11-10 Mattson Technology, Inc. Advanced multi-workpiece processing chamber
US20090052498A1 (en) * 2007-08-24 2009-02-26 Asm America, Inc. Thermocouple
US7807222B2 (en) * 2007-09-17 2010-10-05 Asm International N.V. Semiconductor processing parts having apertures with deposited coatings and methods for forming the same
US7993057B2 (en) * 2007-12-20 2011-08-09 Asm America, Inc. Redundant temperature sensor for semiconductor processing chambers
US20090194414A1 (en) * 2008-01-31 2009-08-06 Nolander Ira G Modified sputtering target and deposition components, methods of production and uses thereof
JP2009200184A (ja) * 2008-02-20 2009-09-03 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理装置のバッフル板
US7987814B2 (en) 2008-04-07 2011-08-02 Applied Materials, Inc. Lower liner with integrated flow equalizer and improved conductance
US7946762B2 (en) * 2008-06-17 2011-05-24 Asm America, Inc. Thermocouple
KR100995700B1 (ko) 2008-07-14 2010-11-22 한국전기연구원 3차원 표면형상을 갖는 원통형 가공물을 위한 유도 결합형플라즈마 공정 챔버 및 방법
CN101656194B (zh) * 2008-08-21 2011-09-14 北京北方微电子基地设备工艺研究中心有限责任公司 一种等离子腔室及其温度控制方法
US8293016B2 (en) * 2008-10-07 2012-10-23 Applied Materials, Inc. Apparatus for efficient removal of halogen residues from etched substrates
WO2010042860A2 (en) * 2008-10-09 2010-04-15 Applied Materials, Inc. Rf return path for large plasma processing chamber
US8262287B2 (en) * 2008-12-08 2012-09-11 Asm America, Inc. Thermocouple
US9337004B2 (en) * 2009-04-06 2016-05-10 Lam Research Corporation Grounded confinement ring having large surface area
US9297705B2 (en) 2009-05-06 2016-03-29 Asm America, Inc. Smart temperature measuring device
US8100583B2 (en) * 2009-05-06 2012-01-24 Asm America, Inc. Thermocouple
US8382370B2 (en) 2009-05-06 2013-02-26 Asm America, Inc. Thermocouple assembly with guarded thermocouple junction
JP5595795B2 (ja) 2009-06-12 2014-09-24 東京エレクトロン株式会社 プラズマ処理装置用の消耗部品の再利用方法
US8360003B2 (en) * 2009-07-13 2013-01-29 Applied Materials, Inc. Plasma reactor with uniform process rate distribution by improved RF ground return path
JP5443096B2 (ja) * 2009-08-12 2014-03-19 株式会社ニューフレアテクノロジー 半導体製造装置および半導体製造方法
JP5397215B2 (ja) * 2009-12-25 2014-01-22 ソニー株式会社 半導体製造装置、半導体装置の製造方法、シミュレーション装置及びシミュレーションプログラム
WO2011114940A1 (ja) * 2010-03-16 2011-09-22 東京エレクトロン株式会社 成膜装置
US20110226739A1 (en) * 2010-03-19 2011-09-22 Varian Semiconductor Equipment Associates, Inc. Process chamber liner with apertures for particle containment
TWI503907B (zh) * 2010-04-14 2015-10-11 Wonik Ips Co Ltd 基板處理設備
TWI502617B (zh) * 2010-07-21 2015-10-01 應用材料股份有限公司 用於調整電偏斜的方法、電漿處理裝置與襯管組件
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
KR101297264B1 (ko) * 2011-08-31 2013-08-16 (주)젠 이중 유도 결합 플라즈마 소스를 갖는 플라즈마 반응기
US20130105085A1 (en) * 2011-10-28 2013-05-02 Applied Materials, Inc. Plasma reactor with chamber wall temperature control
SG11201402058TA (en) * 2011-11-24 2014-09-26 Lam Res Corp Symmetric rf return path liner
WO2013078434A1 (en) * 2011-11-24 2013-05-30 Lam Research Corporation Plasma processing chamber with flexible symmetric rf return strap
CN103177954B (zh) * 2011-12-26 2015-12-16 中芯国际集成电路制造(上海)有限公司 使用温度可控的限制环的刻蚀装置
US9896769B2 (en) 2012-07-20 2018-02-20 Applied Materials, Inc. Inductively coupled plasma source with multiple dielectric windows and window-supporting structure
US10249470B2 (en) 2012-07-20 2019-04-02 Applied Materials, Inc. Symmetrical inductively coupled plasma source with coaxial RF feed and coaxial shielding
US10170279B2 (en) 2012-07-20 2019-01-01 Applied Materials, Inc. Multiple coil inductively coupled plasma source with offset frequencies and double-walled shielding
US9082590B2 (en) 2012-07-20 2015-07-14 Applied Materials, Inc. Symmetrical inductively coupled plasma source with side RF feeds and RF distribution plates
US9928987B2 (en) 2012-07-20 2018-03-27 Applied Materials, Inc. Inductively coupled plasma source with symmetrical RF feed
US20140097752A1 (en) * 2012-10-09 2014-04-10 Varian Semiconductor Equipment Associates, Inc. Inductively Coupled Plasma ION Source Chamber with Dopant Material Shield
CN103151235B (zh) * 2013-02-20 2016-01-27 上海华力微电子有限公司 一种提高刻蚀均匀性的装置
USD702188S1 (en) 2013-03-08 2014-04-08 Asm Ip Holding B.V. Thermocouple
US9761416B2 (en) * 2013-03-15 2017-09-12 Applied Materials, Inc. Apparatus and methods for reducing particles in semiconductor process chambers
JP6359627B2 (ja) 2013-03-15 2018-07-18 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高対称四重ガス注入によるプラズマリアクタ
TWI627305B (zh) * 2013-03-15 2018-06-21 應用材料股份有限公司 用於轉盤處理室之具有剛性板的大氣蓋
CN105210173A (zh) * 2013-05-23 2015-12-30 应用材料公司 用于半导体处理腔室的经涂布的衬里组件
US20140356985A1 (en) 2013-06-03 2014-12-04 Lam Research Corporation Temperature controlled substrate support assembly
US20150041062A1 (en) * 2013-08-12 2015-02-12 Lam Research Corporation Plasma processing chamber with removable body
CN103646872A (zh) * 2013-11-26 2014-03-19 上海华力微电子有限公司 一种去胶设备
WO2015084487A1 (en) * 2013-12-06 2015-06-11 Applied Materials, Inc. Apparatus for self centering preheat member
JP6230900B2 (ja) * 2013-12-19 2017-11-15 東京エレクトロン株式会社 基板処理装置
GB201518756D0 (en) 2015-10-22 2015-12-09 Spts Technologies Ltd Apparatus for plasma dicing
CN106711006B (zh) * 2015-11-13 2019-07-05 北京北方华创微电子装备有限公司 上电极组件及半导体加工设备
KR102151631B1 (ko) * 2016-01-22 2020-09-03 세메스 주식회사 기판 처리 장치 및 방법
US10763082B2 (en) * 2016-03-04 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Chamber of plasma system, liner for plasma system and method for installing liner to plasma system
KR102206515B1 (ko) 2016-03-25 2021-01-22 어플라이드 머티어리얼스, 인코포레이티드 고온 프로세싱을 위한 챔버 라이너
WO2017207144A1 (en) * 2016-06-03 2017-12-07 Evatec Ag Plasma etch chamber and method of plasma etching
US10886113B2 (en) * 2016-11-25 2021-01-05 Applied Materials, Inc. Process kit and method for processing a substrate
US11004662B2 (en) * 2017-02-14 2021-05-11 Lam Research Corporation Temperature controlled spacer for use in a substrate processing chamber
JP2020512691A (ja) * 2017-03-21 2020-04-23 コンポーネント リ−エンジニアリング カンパニー インコーポレイテッド 高い腐食性又は浸食性の半導体処理用途に使用するためのセラミック材料アセンブリ
US20190048467A1 (en) * 2017-08-10 2019-02-14 Applied Materials, Inc. Showerhead and process chamber incorporating same
US11183373B2 (en) 2017-10-11 2021-11-23 Honeywell International Inc. Multi-patterned sputter traps and methods of making
US11810766B2 (en) * 2018-07-05 2023-11-07 Applied Materials, Inc. Protection of aluminum process chamber components
JP6965313B2 (ja) * 2018-08-13 2021-11-10 エスケーシー ソルミックス カンパニー,リミテッド エッチング装置用リング状部品及びこれを用いた基板のエッチング方法
KR20210055786A (ko) * 2018-10-05 2021-05-17 램 리써치 코포레이션 플라즈마 프로세싱 챔버
CN110012928A (zh) * 2019-04-24 2019-07-16 四川长虹电器股份有限公司 一种可移动平行板电容器解冻腔体及射频解冻装置
CN112071733B (zh) * 2019-06-10 2024-03-12 中微半导体设备(上海)股份有限公司 用于真空处理设备的内衬装置和真空处理设备
USD913979S1 (en) 2019-08-28 2021-03-23 Applied Materials, Inc. Inner shield for a substrate processing chamber
US20210066050A1 (en) * 2019-08-28 2021-03-04 Applied Materials, Inc. High conductance inner shield for process chamber
CN112802729A (zh) * 2019-11-13 2021-05-14 中微半导体设备(上海)股份有限公司 带温度维持装置的隔离环
US11380524B2 (en) 2020-03-19 2022-07-05 Applied Materials, Inc. Low resistance confinement liner for use in plasma chamber
USD943539S1 (en) 2020-03-19 2022-02-15 Applied Materials, Inc. Confinement plate for a substrate processing chamber
USD979524S1 (en) 2020-03-19 2023-02-28 Applied Materials, Inc. Confinement liner for a substrate processing chamber
CN111471980B (zh) * 2020-04-15 2022-05-27 北京北方华创微电子装备有限公司 适于远程等离子体清洗的反应腔室、沉积设备及清洗方法
KR102549935B1 (ko) * 2021-04-28 2023-06-30 주식회사 월덱스 플라즈마 에칭장치용 다체형 한정 링
FI129948B (en) * 2021-05-10 2022-11-15 Picosun Oy SUBSTRATE PROCESSING APPARATUS AND METHOD
CN114360999B (zh) * 2021-12-30 2023-06-27 武汉华星光电半导体显示技术有限公司 等离子处理设备

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5089441A (en) * 1990-04-16 1992-02-18 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafers
US5366585A (en) * 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5460684A (en) * 1992-12-04 1995-10-24 Tokyo Electron Limited Stage having electrostatic chuck and plasma processing apparatus using same
US5494523A (en) * 1993-05-13 1996-02-27 Applied Materials, Inc. Controlling plasma particulates by contouring the plasma sheath using materials of differing RF impedances
US5494713A (en) * 1994-02-03 1996-02-27 Tokyo Electron Limited Method for treating surface of aluminum material and plasma treating apparatus
US5641375A (en) * 1994-08-15 1997-06-24 Applied Materials, Inc. Plasma etching reactor with surface protection means against erosion of walls
WO1998014980A1 (en) * 1996-09-30 1998-04-09 Lam Research Corporation Particle controlling method and plasma processing chamber
US5788799A (en) * 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4399546A (en) * 1979-09-28 1983-08-16 Dresser Industries, Inc. Silicon carbide furnace
EP0063273B1 (en) * 1981-04-02 1986-02-12 The Perkin-Elmer Corporation Discharge system for plasma processing
JPS59151084A (ja) * 1983-02-18 1984-08-29 株式会社日立製作所 核融合装置
US4981551A (en) * 1987-11-03 1991-01-01 North Carolina State University Dry etching of silicon carbide
JPH0662344B2 (ja) * 1988-06-03 1994-08-17 株式会社日立製作所 セラミツクスと金属の接合体
JPH0814633B2 (ja) * 1989-05-24 1996-02-14 株式会社日立製作所 核融合炉
US5367139A (en) * 1989-10-23 1994-11-22 International Business Machines Corporation Methods and apparatus for contamination control in plasma processing
US6063233A (en) * 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US5444217A (en) * 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
JP3181473B2 (ja) * 1993-08-19 2001-07-03 東京エレクトロン株式会社 プラズマ処理装置
US5865896A (en) * 1993-08-27 1999-02-02 Applied Materials, Inc. High density plasma CVD reactor with combined inductive and capacitive coupling
JPH07273086A (ja) * 1994-03-30 1995-10-20 Sumitomo Metal Ind Ltd プラズマ処理装置及び該装置を用いたプラズマ処理方法
EP0680072B1 (en) * 1994-04-28 2003-10-08 Applied Materials, Inc. A method of operating a high density plasma CVD reactor with combined inductive and capacitive coupling
US5538230A (en) * 1994-08-08 1996-07-23 Sibley; Thomas Silicon carbide carrier for wafer processing
US5891350A (en) * 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
JP3257328B2 (ja) * 1995-03-16 2002-02-18 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US5569356A (en) * 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
JPH09153481A (ja) * 1995-11-30 1997-06-10 Sumitomo Metal Ind Ltd プラズマ処理装置
US5584936A (en) * 1995-12-14 1996-12-17 Cvd, Incorporated Susceptor for semiconductor wafer processing
US6095084A (en) * 1996-02-02 2000-08-01 Applied Materials, Inc. High density plasma process chamber
JPH09246238A (ja) * 1996-03-01 1997-09-19 Nippon Eng Kk プラズマエッチング用平板状基台およびその製造方法
US5725675A (en) * 1996-04-16 1998-03-10 Applied Materials, Inc. Silicon carbide constant voltage gradient gas feedthrough
JPH10130872A (ja) * 1996-10-29 1998-05-19 Sumitomo Metal Ind Ltd プラズマ処理方法
US5904800A (en) * 1997-02-03 1999-05-18 Motorola, Inc. Semiconductor wafer processing chamber for reducing particles deposited onto the semiconductor wafer
US6035868A (en) * 1997-03-31 2000-03-14 Lam Research Corporation Method and apparatus for control of deposit build-up on an inner surface of a plasma processing chamber
US6189484B1 (en) * 1999-03-05 2001-02-20 Applied Materials Inc. Plasma reactor having a helicon wave high density plasma source
JP4554815B2 (ja) * 1998-03-31 2010-09-29 ラム リサーチ コーポレーション 汚染制御方法およびプラズマ処理チャンバ
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US6464843B1 (en) * 1998-03-31 2002-10-15 Lam Research Corporation Contamination controlling method and apparatus for a plasma processing chamber
US6074953A (en) * 1998-08-28 2000-06-13 Micron Technology, Inc. Dual-source plasma etchers, dual-source plasma etching methods, and methods of forming planar coil dual-source plasma etchers
US6230651B1 (en) * 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5089441A (en) * 1990-04-16 1992-02-18 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafers
US5460684A (en) * 1992-12-04 1995-10-24 Tokyo Electron Limited Stage having electrostatic chuck and plasma processing apparatus using same
US5366585A (en) * 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5494523A (en) * 1993-05-13 1996-02-27 Applied Materials, Inc. Controlling plasma particulates by contouring the plasma sheath using materials of differing RF impedances
US5494713A (en) * 1994-02-03 1996-02-27 Tokyo Electron Limited Method for treating surface of aluminum material and plasma treating apparatus
US5641375A (en) * 1994-08-15 1997-06-24 Applied Materials, Inc. Plasma etching reactor with surface protection means against erosion of walls
US5788799A (en) * 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
WO1998014980A1 (en) * 1996-09-30 1998-04-09 Lam Research Corporation Particle controlling method and plasma processing chamber

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI564929B (zh) * 2014-07-24 2017-01-01 科閎電子股份有限公司 用於電漿反應裝置之襯套單元

Also Published As

Publication number Publication date
JP4612190B2 (ja) 2011-01-12
WO2000019481A2 (en) 2000-04-06
DE69928289D1 (de) 2005-12-15
EP1145273B1 (en) 2005-11-09
US6394026B1 (en) 2002-05-28
KR20010075264A (ko) 2001-08-09
US6129808A (en) 2000-10-10
KR100566908B1 (ko) 2006-03-31
US20020102858A1 (en) 2002-08-01
TW460972B (en) 2001-10-21
AU1440100A (en) 2000-04-17
EP1145273A3 (en) 2002-03-27
JP2002533911A (ja) 2002-10-08
US6583064B2 (en) 2003-06-24
DE69928289T2 (de) 2006-08-10
EP1145273A2 (en) 2001-10-17
WO2000019481A9 (en) 2002-01-31
CN1319247A (zh) 2001-10-24
WO2000019481A3 (en) 2001-12-20
RU2237314C2 (ru) 2004-09-27

Similar Documents

Publication Publication Date Title
CN1328755C (zh) 低污染、高密度等离子蚀刻腔体及其加工方法
CN100508103C (zh) 用于等离子体加工系统中的改进的波纹管罩的方法和装置
US6464843B1 (en) Contamination controlling method and apparatus for a plasma processing chamber
EP1869228B1 (en) Plasma confinement ring assemblies having reduced polymer deposition characteristics
US6838012B2 (en) Methods for etching dielectric materials
KR100939464B1 (ko) 저오염의 플라즈마 챔버 부품 및 그 제조방법
US6451157B1 (en) Gas distribution apparatus for semiconductor processing
EP3171393B1 (en) Sealed elastomer bonded si electrodes and the like for reduced particle contamination in dielectric etch and method of manufacturing such electrodes
US7927455B2 (en) Plasma processing apparatus
TWI662148B (zh) 含矽之氣體分配構件及其製造方法、噴淋頭電極、與半導體基板的處理方法
JPH10189296A (ja) 平行板電極プラズマリアクタ
KR20040111691A (ko) 반도체 공정용 플라즈마 반응기를 위한 다중부재 전극 및다중부재 전극의 일부를 교체하는 방법
KR20080015364A (ko) 표면 프로세싱 장치들
JP4554815B2 (ja) 汚染制御方法およびプラズマ処理チャンバ
JPH05109664A (ja) プラズマエツチング装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20070725

Termination date: 20170924

CF01 Termination of patent right due to non-payment of annual fee