CN1449572A - 转换的均匀性控制 - Google Patents

转换的均匀性控制 Download PDF

Info

Publication number
CN1449572A
CN1449572A CN01814889A CN01814889A CN1449572A CN 1449572 A CN1449572 A CN 1449572A CN 01814889 A CN01814889 A CN 01814889A CN 01814889 A CN01814889 A CN 01814889A CN 1449572 A CN1449572 A CN 1449572A
Authority
CN
China
Prior art keywords
component
gas
processing
processing room
district
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN01814889A
Other languages
English (en)
Other versions
CN100372971C (zh
Inventor
R·A·戈特肖
R·J·斯特格尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN1449572A publication Critical patent/CN1449572A/zh
Application granted granted Critical
Publication of CN100372971C publication Critical patent/CN100372971C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Feeding, Discharge, Calcimining, Fusing, And Gas-Generation Devices (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Branching, Merging, And Special Transfer Between Conveyors (AREA)

Abstract

这里公开了一种用来在加工室内部分配分量的分量供给机构。此分量被用来对加工室中的工件进行加工。此分量供给机构包括多个用来将分量输出到加工室的所希望区域的分量输出。此分量供给机构还包括耦合到多个分量输出的空间分配开关。此空间分配开关被设置成将分量引导到多个分量输出中的至少一个。此分量供给机构还包括耦合到空间分配开关的单一分量源。此单一分量源被设置成将分量供应到空间分配开关。

Description

转换的均匀性控制
发明背景
本发明涉及到用来加工诸如用于IC制造的半导体衬底或用于平板显示器的玻璃平板之类的衬底的设备和方法。更确切地说,本发明涉及到改进了的加工系统,此加工系统能够在衬底表面上以高的加工均匀性对衬底进行加工。
在以半导体为基础的产品,例如平板显示器或集成电路的制造过程中,可以在衬底表面上进行多个淀积和/或腐蚀步骤,以便形成诸如晶体管、电容器、电阻器、互连之类的器件。在淀积过程中,各种材料层被相继淀积在衬底的表面上以形成叠层。例如,可以在衬底表面上形成绝缘层、导电层、半导体层。相反,可以进行腐蚀,以便从衬底,更确切地说是从叠层的预定区域选择性地清除各种材料。例如,可以在衬底的各个层中形成诸如通孔、接触、或沟槽之类的被腐蚀的特征图形。
腐蚀和淀积工艺及其相关的反应器已经存在有些时候了。例如,包括化学气相淀积(CVD)、热CVD、等离子体增强化学气相淀积(PECVD)、诸如溅射之类的物理气相淀积(PVD)的淀积工艺,以及包括用于干法腐蚀、等离子体腐蚀、反应离子刻蚀(RIE)、磁增强反应离子刻蚀(MERIE)、电子回旋共振(ECR)的腐蚀工艺,已经被不同程度地引入并用来加工半导体衬底和显示平板。
在加工衬底的过程中,工程人员试图努力改进的一个最重要的参数是加工的均匀性。例如,在腐蚀环境中,腐蚀均匀性是均匀的器件性能和器件成品率的一个重要的决定因素,亦即,高的腐蚀均匀性有利于提高无缺陷加工衬底的百分比,这就会降低制造成本。如此处所用的术语,腐蚀均匀性指的是跨越衬底表面的整个腐蚀过程的均匀性,包括腐蚀速率、微负载、掩模选择性、下层选择性、临界尺寸控制、以及像侧壁角度和粗糙性这样的分布特性。例如,若腐蚀是高度均匀的,则可望衬底上不同点处的腐蚀速率倾向于基本上相等。在此情况下,衬底的一个区域不适当地被过度腐蚀而其它区域仍然未被恰当地腐蚀,是不太可能的。虽然没有具体地描述,但应该理解的是,在作为均匀的器件性能和器件成品率的一个重要的决定因素方面,淀积均匀性也相似于腐蚀均匀性那样。
此外,在许多应用中,在衬底加工的不同阶段中,这些严格的工艺要求可以是相互矛盾的。这常常是由于存在着必须以极为不同的加工要求来加工的多个层。例如,当对单个衬底进行加工以达到所希望的加工性能时,可能需要大幅度地改变包括功率、温度、压力、气体化学、以及气流的腐蚀方法。而且,由于工艺的本性,材料可能积累在周围的表面上,亦即加工室的壁上,其结果是工艺可能偏离。
除了均匀性之外,还存在着关系到半导体工业的其它问题。在对制造厂家来说重要的问题中,有加工工具的拥有成本,这包括例如获取和维护系统的成本、保持可接受的加工性能所需的加工室清洗频度、系统元件的寿命等。于是,所希望的工艺常常是一种在不同的拥有成本与工艺参数之间找到正确的平衡以用比较低的成本来获得质量比较高的加工的工艺。而且,随着衬底上的特征变得更小且工艺变得要求更多(例如更小的临界尺寸、更高的形状比、更快的产出等),工艺工程师正在不断地寻找新的方法和设备来以更低的成本达到质量更高的加工结果。
考虑到上述情况,希望有改进了的技术以便在衬底表面上产生均匀的加工。
发明概述
在一个实施方案中,本发明涉及到一种用来在加工室内部分配分量的分量供给机构。分量被用来对加工室中的工件进行加工。此分量供给机构包括多个用来将分量输出到所希望的加工室区域的分量输出。此分量供给机构还包括耦合到多个分量输出的空间分配开关。空间分配开关被设置成将分量引导到多个分量输出中的至少一个。此分量供给机构还包括耦合到空间分配开关的单一分量源。单一分量源被设置成将分量馈送到空间分配开关。
在另一个实施方案中,本发明涉及到一种用加工方法的分量对工件进行加工的方法。此方法包括提供加工室,工件在此加工室中被加工,且加工室包括至少第一加工区和第二加工区。各个区域代表被加工的工件的一部分。此方法还包括将分量输出到加工室的第一加工区中。此方法还包括从第一加工区转换到第二加工区。此方法还包括将分量输出到加工室的第二加工区中。
在另一个实施方案中,本发明涉及到一种用来加工衬底的空间受控等离子体反应器。此反应器包括其中点燃并保持等离子体以便进行加工的加工室。此反应器还包括功率供给机构,此功率供给机构具有单一功率源以及通过功率分配开关耦合到功率源的电极。单一功率源被用来产生强度足以点燃并保持等离子体的能量。电极包括第一线圈和第二线圈。第一线圈被设置成产生加工室第一功率区中的电场,而第二线圈被设置成产生加工室第二功率区中的电场。
而且,功率分配开关被设置成在内线圈和外线圈之间引导功率源的能量。反应器还包括气体供给机构,它具有单一的气体源、第一气体注入口、第二气体注入口、以及气体分配开关。
单一气体源被用来产生部分地用来形成等离子体并用来加工衬底的加工气体。第一气体注入口通过气体分配开关被耦合到气体源,并被设置成将加工气体释放到加工室的第一气体区中。第二气体注入口也通过气体分配开关被耦合到气体源,并被设置成将加工气体释放到加工室的第二气体区中。而且,气体分配开关被设置成在内气体注入口与外气体注入口之间引导气体源的加工气体。
在另一个实施方案中,本发明涉及到一种分量供给机构,用来在加工室中分配分量。此分量被用来对加工室中的工件进行加工。此分量供给机构包括用来提供分量的单一分量源。此分量供给机构还包括空间分配开关,它具有用来从单一分量源接收分量的一个分量入口和用来分配分量的多个分量输出。空间分配开关被设置成在多个分量输出的一个或更多个之间引导接收到的分量。
附图的简要说明
在附图中,用举例的方法而不是限制的方法,说明了本发明,在附图中,相似的附图标记表示相似的元件,其中:
图1是根据本发明一个实施方案的等离子体反应器。
图2A和B是根据本发明一个实施方案的空间分配开关图。
图2C是时间对方向的示意图,示出了根据本发明一个实施方案的开关随时间的操作。
图3是根据本发明一个实施方案的与图2的分量供给机构的开关有关的操作的流程图。
图4是一个表格,示出了根据本发明一个实施方案的部分方法的设定。
图5是根据本发明一个实施方案的功率供给机构图。
图6是根据本发明一个实施方案的功率供给机构图。
图7是根据本发明一个实施方案的气体供给结构图。
图8是根据本发明一个实施方案可以用于图7的气体供给结构的气体分配板。
本发明的详细描述
本发明涉及到一种用来对衬底进行均匀加工的改进了的方法和设备。本发明借助于对用来形成在加工室中加工衬底的反应物的分量的分配增强控制而获得加工均匀性。这些分量通常是工艺方法的一部分,并可以包括功率、气流、温度等。本发明在离子和中性粒子(例如反应物)都被用来加工衬底的等离子体加工系统中特别有用。本发明的一种情况涉及到将分量的分配在加工室内空间分离成多个独立的区域。本发明的另一种情况涉及到在各个独立的区域之间转换(或空间调制)馈自单一源的分量的分配。本发明的另一种情况涉及到在各个空间区域之间改变分量的幅度和/或分量构成。本发明的还一种情况涉及到改变分量在各个独立区域中停留的时间。
在一个实施方案中,本发明涉及到一种用来在加工室内分配分量的分量供给机构。此系统能够被应用于各种各样的分量,包括功率、气流、温度等。正如应该理解的那样,各个分量可以被用来形成加工衬底的反应物,或可以被用来控制加工条件以增强工艺。在一种装置中,分量供给机构被设置成改变加工室各个区域中形成的反应物的量。由于加工室内反应物的量的改变而能够获得加工均匀性。
分量供给机构通常包括多个独立的分量输出、单一的分量源、空间分配开关、以及控制器。独立的分量输出被构造成将分量输出到加工室的所希望的区域。例如,独立的分量输出可以被构造成将分量输出到加工室的内区和外区。如应该理解的那样,内区和外区可以分别对应于衬底的中心和边沿。单一的分量源被设置成将分量馈送到独立的分量输出。单一的分量源意味着分量源具有用来输出分量的单一的出口。分量本身可以不是单个的,而可以由许多组成部分组成。例如,在气体供给机构的情况下,分量可以由单个气体供应源预先混合并输出的多种气体组成。空间分配开关被排列在单一源与独立的分量输出之间,并适合于具有多个位置来将分量引导到多个独立的分量输出之一。例如,开关的第一位置可以将分量引导到第一分量输出,而开关的第二位置可以将分量引导到第二分量输出。
而且,控制器与空间开关和单一分量源二者连通。控制器的一种情况被构造成用来选择性地移动空间开关到其多个位置中的每一个。“选择性地”意味着控制器被设置成在加工的某个时间移动开关,并在预定的时间内将开关保持在独立的分量输出之一处。例如,在气流的情况下,气流可以在时间T1被允许流到第一出口而在时间T2流到第二出口和/或与第二出口相比流到第一出口的时间更长或更短。控制器的另一种情况被构型成改变分量的幅度、分量的构成、以及分量各个构成部分的比率。例如,在气流的情况下,能够在各个受控开关之间调节释放的气体的流速、以及气体和总气流的各个组成部分的流速比。
根据本发明的一种情况,借助于改变分量的方向和/或上述参数中的一个或更多个以提高/降低加工过程中靠近衬底边沿的反应物或通量相对于衬底中心的量,提高了加工的均匀性。根据本发明的另一种情况,借助于改变分量的方向和/或上述参数中的一个或更多个以提高/降低加工过程中靠近衬底中心的反应物相对于衬底边沿的量,提高了加工的均匀性。下面将更详细地描述这些实施方案。
在一个实施方案中,公开了一种等离子体加工系统,它包括具有多个分量供给机构的空间供给系统。此空间供给系统被设置成提供开关均匀性控制。通常,借助于将加工气体输入到加工室中,然后产生电场,对存在于加工室内的少量电子进行加速,引起它们与加工气体的气体分子碰撞,从而产生等离子体。这些碰撞导致离子化和开始放电或等离子体。如本技术领域众所周知的那样,加工气体的中性气体分子在承受这一强电场时失去了电子,并留下带正电的离子。结果,带正电的离子、带负电的电子、以及中性气体分子被包含在加工室内。相应地,离子向着衬底被加速,在衬底处与中性粒子结合,对衬底进行加工。作为变通,由电子附着造成的负离子也能够被用来加工衬底。例如,加工可以包括腐蚀、淀积等。
衬底加工系统中的一个众所周知的问题是,例如由于在反应物注入点与(跨越衬底到)泵口之间反应物的耗尽,或由于对衬底边沿比对中心影响更大的反应物和产物在加工室表面上的吸附和解吸附,难以获得空间均匀的加工。借助于在空间上改变加工室中的加工条件,此处公开的空间供给系统试图修正这些固有的不均匀效应。常规的技术包括诸如多功率和气体注入区之类的方法。这些设计采用很靠近的多个源(发生器和气体释放系统)。此处公开的方法采用单一源的简单方法,但采用时间复用来产生馈送到反应器中多个供应区的时间段。
空间供给系统被设置成对加工室中的离子源和中性粒子源进行空间分离。对于离子,利用加工室中在多个独立的功率区中产生电场的功率供给机构,能够完成空间分离。在一个实施方案中,功率供给机构包括单一功率源、第一线圈、第二线圈、以及功率分配开关。功率分配开关被设置成在第一与第二线圈之间选择性地引导单一功率源的能量。以这种方式,借助于在二个线圈之间进行转换,能够将离子的产生控制在加工室的所希望的区域。在一个实施方案中,二个线圈与加工室的内区和外区有关,更确切地说是与衬底的中心和边沿有关。此外,能够控制诸如被开关的功率的幅度和时间长度之类的参数来进一步影响二个区域中的离子的产生。通常,随着更多的功率被施加到给定量的气体,得到更大的离子化。当给定量的功率在更长的时间内被施加到给定量的气体时,通常也得到更大的离子化。
对于中性粒子,利用将加工气体注入在多个独立的气体注入区的气体供给结构,能够完成空间分离。在一个实施方案中,气体供给结构包括单一气体源、第一气体注入口或第一组注入口、第二气体注入口或第二组注入口、以及气体分配开关。气体分配开关被设置成在第一和第二气体注入口之间选择性地引导气体源的气流。以这种方式,借助于按时间在二个注入口之间进行转换(时间复用),能够控制加工室的所希望区域中的中性粒子的数量。在一个实施方案中,二个注入口与加工室的内区和外区有关,更确切地说是与衬底的中心和边沿有关。
与上述相似,能够改变诸如开关幅度(例如流速)和停留时间之类的参数,以便进一步影响二个区域中的气体量。通常,在流速被提高和/或气流延长一定时间量(时间段)的区域中,能够发现更大的中性粒子数量。而且,在气体的情况下,在各个空间区域或时间段之间能够修正气体化学组成,以便进一步影响加工条件。例如,由1/2气体A和1/2气体B组成的加工气体能够被改变成由1/3气体A、1/3气体B、和1/3气体C组成的气体,或它们的各个比率能够被改变成由3/4气体A和1/4气体B组成的气体。如本技术领域熟练人员应该理解的那样,不同的化学组成产生不同的加工结果。亦即,可以改变化学组成来进一步提高/降低加工室中的活性反应物。
下面参照图1-8来讨论本发明的各个实施方案。但本技术领域的熟练人员可以容易地理解此处相对于这些附图给出的详细描述是为了解释的目的,本发明超出了这些有限的实施方案。
图1是根据本发明一个实施方案的等离子体反应器10的示意图。等离子体反应器10包括加工室12,其一部分被加工室壁确定,并在其中点燃和保持等离子体用来加工衬底18。衬底18代表待要加工的工件,它可以代表例如待要腐蚀或加工的半导体衬底或待要加工成平板显示器的玻璃平板。在所示实施方案中,加工室2被安排成基本上为圆柱形状,而加工室壁被安排成基本上垂直。但应该理解的是,本发明不局限于此,而是可以采用各种构造的加工室,包括加工室壁。
在大多数实施方案中,衬底18被引入到加工室12中,并被置于衬底托20上,衬底托20被构型成在加工过程中支持和夹持衬底18。衬底托20通常包括底部电极22、边沿环24、以及吸盘26。在一个实施方案中,底部电极22经由匹配网络29被RF电源28偏置。RF电源28被构型成将RF能量提供给底部电极22。在大多数情况下,电极/电源装置被构型成产生强度足以通过吸盘26、边沿环24、和衬底18来耦合能量的电场。举例来说,由底部电极22产生的能量可以被安排成在衬底18的表面与等离子体16之间形成表面电位,亦即用来向着衬底18加速等离子体中的离子。而且,虽然示出了电极并描述为耦合到RF电源,但应该理解的是,也可以采用其它的构型成适应不同的加工室或适应为了耦合能量而必须的其它外部因素。例如,在有些单一频率的等离子体反应器中,衬底托可以被耦合到地。
关于边沿环24,边沿环24被设置成改善靠近衬底边沿处的电学性质和机械性质以及将底部电极22和吸盘26屏蔽于反应物(亦即离子轰击)。为此,边沿环被安排成环绕衬底18的边沿,并被排列在底部电极22上方和环绕吸盘26。在大多数情况下,边沿环24被构造成在过度磨损之后被替换的消耗件。边沿环24可以由诸如硅、二氧化硅、氮化硅、碳化硅、石英(例如二氧化硅形式)、陶瓷(例如Al2O3)之类的适当介质材料组成。
关于吸盘26,吸盘26被耦合到底部电极22的上表面,并在衬底18被置于衬底托20上以便加工时,通常被构型成接收衬底18的背面。在所示的实施方案中,吸盘26代表一种ESC(静电)吸盘,它利用静电力将衬底18固紧到吸盘表面。但应该理解的是,也可以采用机械式吸盘。在有些实施方案中,也可以将氦冷却气体释放到衬底的背面和/或边沿环的背面以协助控制加工过程中衬底和边沿环的温度,从而确保均匀而可重复的加工结果。
此外,衬底托20被安排成基本上为圆柱形状并与加工室轴向对准,使加工室与衬底托圆柱对称。但应该指出的是,这不是一种限制,衬底托的放置可以根据各个等离子体加工系统的特定设计而改变。衬底托也可以被构造成在用来装卸衬底18的第一位置(未示出)与用来加工衬底18的第二位置(已示出)之间移动。或者,可以用图钉来将衬底18从用于装卸衬底18的第一位置移动到用来加工衬底18的第二位置。这些类型的传输系统在本技术领域中是众所周知的,为简洁起见,不再赘述。
而且,排气口30被排列在加工室壁14与衬底托20之间。排气口30被构型成抽出加工过程中形成的气体,且通常被耦合到位于加工室12外面的涡轮分子泵(未示出)。在大多数实施方案中,涡轮分子泵被设置成保持加工室12中的适当的压力。而且,虽然排气口被示为排列在加工室壁与衬底托之间,但排气口的实际位置可以根据各个等离子体加工系统的具体设计而改变。例如,从建立在加工室壁内的排气口也可以完成气体的排出。
在加工室12外面,更确切地说是在介质窗口32的外面,排列有功率供给机构34,用来分配强度足以在加工室12内部点燃和保持等离子体16的能量。功率供给机构34包括单一RF电源36、感应电极38、以及功率分配开关40。RF电源36被构型成通过匹配网络37将RF能量提供给感应电极38,而感应电极38被构型成在加工室12中产生电场。根据一个实施方案,感应电极38被分成多个单独的空间分开的线圈。在所示的实施方案中,感应电极38被分成内线圈38A和外线圈38B。内线圈38A被设置成在加工室12的内加工区42中产生电场,而外线圈38B被设置成在加工室12的外加工区44中产生电场。如应该理解的那样,内加工区42通常对应于衬底18的内区46(即中心),而外加工区44通常对应于衬底18的外区48(即外边沿)。因此,内线圈38A通常控制离子和反应中性粒子在衬底18的内区46上的形成,而外线圈38B通常控制离子和反应中性粒子在衬底18的外区48上的形成。
各个线圈38A和38B通过功率分配开关40被分别地耦合到RF电源36和匹配网络37。功率分配开关40被设置成在内线圈38A与外线圈38B之间从RF电源36引导能量。亦即,功率分配开关40被构造有用来将能量引导到内线圈38A的第一位置以及用来将能量引导到外线圈38B的第二位置。于是,根据功率分配开关40的位置,内线圈38A或外线圈38B被耦合到RF电源36。功率分配开关40还经由信号连接76与控制器75连通。在一个实施方案中,控制器75被设置成告知功率分配开关40何时从第一位置移动到第二位置(反之亦然)和/或移动到另一位置之前在该位置停留多长时间。控制器75还被设置成控制有关功率馈送的各种操作,包括但不局限于控制RF电源36的幅度(例如瓦)。如所示,控制器75经由信号连接77被耦合到电源36。在图2和3中将更详细地描述空间分配开关。
等离子体反应器10还包括用来将加工气体分配到加工室12中的气体注入机构50。气体注入机构50通常包括单一气体箱52、气体注入口54、以及气体分配开关56。气体箱52被设置成将气态源材料供给到气体注入口54(经由气管58),而气体注入口54被构型成将气态源材料释放到加工室12中,更确切地说是释放到介质窗口32与衬底18之间的RF感应等离子体区域中。如所示,气体注入口54沿加工室12的内边沿排列,更确切地说是通过介质窗口32(即气体分配板)。或者,可以从建立在加工室本身的壁中的注入口或通过安置在介质窗口中的喷淋头来释放气态源材料。而且,气体箱52通常包括气流控制系统(图1中未示出),气流控制系统被设置成控制流速、待要使用的气态源材料的类型、以及各个气态源材料的比率。气体箱52通常被耦合到多个气瓶(未示出),这些气瓶被用来经由多个外部气管60而提供各种气态源材料。气态源材料在本技术领域中是众所周知的,不再赘述。
根据一个实施方案,气体注入口54被分成多个单独的空间分离的注入口。在所示的实施方案中,气体注入口54由内注入口54A和外注入口54B组成。内注入口54A被设置成将气态源材料释放到加工室12的内加工区42中,而外注入口54B被设置成将气态源材料释放到加工室12的外加工区44中。如所示,内加工区42通常对应于衬底18的内区46,而外加工区44通常对应于衬底18的外区48。于是,内注入口54A通常控制衬底18内区46上方的中性粒子的数量,而外注入口54B通常控制衬底18外区48上方的中性粒子的数量。
各个注入口54A和54B通过气体分配开关56被分别耦合到气体箱52。气体分配开关56被设置成在内注入口54A与外注入口54B之间引导馈自气体箱52的气态源材料。亦即,气体分配开关56被构造成具有用来将气态源材料引导到内注入口54A的第一位置以及用来将气态源材料引导到外注入口54B的第二位置。于是,根据气体分配开关56的位置,内注入口54A或外注入口54B被耦合到气体箱52。气体分配开关56还经由信号连接78与控制器75连通。在一个实施方案中,控制器75被设置成告知气体分配开关56何时从第一位置移动到第二位置(反之亦然)和/或移动到另一位置之前在该位置停留多长时间。控制器75还经由信号连接79被耦合到气体箱52。控制器75被设置成控制有关气体箱52的各种操作,包括但不局限于控制气态源材料混合物中各种气体的流速和气流比率。在图2和3中将更详细地描述空间分配开关。
简而言之,为了产生等离子体16,加工气体(例如单一气态源材料或各种气态源材料的混合物)通常通过至少一个气体注入口54被输入到加工室12中。然后用RF电源36将功率馈送到至少一个电极38,从而在加工室12内产生强电场。此电场对存在于加工室12中的少量电子进行加速,引起它们与加工气体的气体分子发生碰撞。这些碰撞导致离子化并产生等离子体16。如本技术领域众所周知的那样,加工气体的中性气体分子在经受这些强电场时失去电子并留下带正电的离子。结果,带正电的离子、带负电的离子、以及中性气体分子被包含在加工室12内。
当形成等离子体16时,加工室12内的中性气体分子也倾向于送向衬底的表面。举例来说,有助于在衬底处出现中性气体分子的一种机制可能是扩散(亦即分子在加工室内的随机运动)。这样,沿衬底18的表面通常可以发现中性粒子(例如中性气体分子)层。相应地,当底部电极22被加电时,离子倾向于向着衬底18加速,它们在此处与中性粒子结合,激活了衬底加工,亦即腐蚀或淀积。
如应该理解的那样,图1所示的功率供给机构和气体供给结构二者都能够被用来增强加工均匀性。举例来说,功率供给机构借助于在内线圈与外线圈之间进行转换,能够被用来在空间上改变加工室内的离子密度,而气体供给结构借助于在内气体注入口与外气体注入口之间进行转换,能够被用来在空间上改变加工室内的中性粒子密度。此外,还能够在时间段之间改变幅度、转换时间长度、组成、以及组成部分的比率,以便进一步改变离子和中性粒子的密度。因此,现在来讨论与增强沿衬底表面的加工均匀性相关的几个例子。
根据本发明的一种情况,靠近衬底边沿的离子密度被提高或降低,以便改善衬底中心和边沿之间的加工均匀性。可以用各种采用本发明特点的方式来实现这一点。举例来说,一种提高靠近衬底边沿的离子的方法是相对于功率分配在内区的时间量而延长功率分配在外区的时间量。相反,可以缩短时间量以降低靠近衬底边沿的离子。但应该指出的是,由于形成在内区的离子可能向外区扩散,故在外区所需的时间可能少于在内区所需的时间。另一种提高靠近衬底边沿的离子的方法是相对于分配在内区的功率而提高分配在外区的功率。相反,可以降低功率以降低靠近衬底边沿的离子。
根据本发明的一种情况,靠近衬底中心的离子密度被提高或降低,以便改善衬底中心和边沿之间的加工均匀性。也可以用各种采用本发明特点的方式来实现这一点。举例来说,一种提高靠近衬底中心的离子的方法是相对于功率分配在外区的时间量而延长功率分配在内区的时间量。相反,可以缩短时间量以降低靠近衬底中心的离子。但应该指出的是,由于形成在内区的离子可能向外区扩散,故在外区所需的时间可能少于在内区所需的时间。另一种提高靠近衬底中心的离子的方法是相对于分配在外区的功率而提高分配在内区的功率。相反,可以降低功率以降低靠近衬底中心的离子。
根据本发明的另一种情况,靠近衬底边沿的中性粒子密度被提高或降低,以便改善衬底中心和边沿之间的加工均匀性。可以用各种采用本发明特点的方式来实现这一点。举例来说,一种提高靠近衬底边沿的中性粒子的方法是相对于气体分配在内区的时间量而延长气体分配在外区的时间量。相反,可以缩短时间量以降低靠近衬底边沿的中性粒子。但应该指出的是,由于形成在内区的中性粒子可能向外区扩散,故在外区所需的时间可能少于在内区所需的时间。另一种提高靠近衬底边沿的中性粒子的方法是相对于分配在内区的气体的流速而提高分配在外区的气体的流速。相反,可以降低流速以降低靠近衬底边沿的中性粒子。另一种提高靠近衬底边沿的中性粒子的方法是在外区采用化学结构不同于分配在内区的气体的化学结构的气体。借助于改变各个组分气体的气体比率或借助于增加/减少组分气体,可以实现这一点。
根据本发明的另一种情况,靠近衬底中心的中性粒子密度被提高或降低,以便改善加工均匀性。也可以用各种采用本发明特点的方式来实现这一点。举例来说,一种提高靠近衬底中心的中性粒子的方法是相对于气体分配在外区的时间量而延长气体分配在内区的时间量。相反,可以缩短时间量以降低靠近衬底中心的中性粒子。另一种提高靠近衬底中心的中性粒子的方法可以是相对于分配在外区的气体的流速而提高分配在内区的气体的流速。相反,可以降低流速以降低靠近衬底中心的中性粒子。另一种提高靠近衬底中心的中性粒子的方法是在内区采用化学结构不同于分配在外区的气体的化学结构的气体。借助于改变各个组分气体的气体比率或借助于增加/减少组分气体,可以实现这一点。
虽然用几个例子已经描述了本发明,但应该指出的是,在本发明的范围内存在着各种变通、变更、和等效物。例如,虽然上述各个例子被解释为单个参数改变,但应该指出的是,为了进一步影响加工均匀性,也可以同时或不同时地改变多个参数。举例来说,可以同时改变分量的幅度和停留时间。而且,应该指出的是,为了进一步影响加工均匀性,也可以同时或不同时地进行分量重叠。例如,在气体步骤中,可以开始功率步骤,或者相反,在功率步骤中,可以开始气体步骤。也可以同时开始功率步骤和气体步骤。
图2是能够用于功率供给机构34或气体供给结构50的空间分配开关80的图。举例来说,空间分配开关80可以是图1的功率分配开关40或气体分配开关56。通常,空间分配开关80具有用来接收馈自分量源(未示出)的分量83的入口82以及用来释放分配的分量83’的第一出口84和第二出口86。如图2所示,空间分配开关80具有将馈送的分量83分配到第一出口84或第二出口86的能力。第一出口84可以被耦合到用来输出分量到加工室第一区的分量输出,而第二出口86可以被耦合到用来输出分量到加工室第二区的分量输出。
简而言之,馈送的分量83可以由单个组成部分或多个组成部分组成。例如,在馈送是气体的情况下,馈送的气体可以由单个气体或多个混合气体组成。馈送的分量83也可以具有与分量相关的变化的性质。例如,在馈送的能量的情况下,馈送的能量可以具有增大的功率或降低的功率。在馈送的气体的情况下,馈送的气体可以具有提高的或降低的气流、混合气体的不同的比率、或不同的气体混合物。被馈送的分量83最好经由设置成调节整个加工过程中与馈送的分量相关的性质和组分的单一源(未示出)来供给。或者,多个源可以被用来将分量馈送到开关80的入口82。例如,第一源可以被用来将第一分量馈送到开关,而第二源可以被用来将第二分量馈送到开关。但应该指出的是,多个源的成本是非常高的,故通常单一源是可取的。
更详细地说,空间分配开关80主要是一种Y形开关,按定义是一种具有一个输入和二个输出的开关。分量的方向依赖于空间分配开关80的状态。当空间分配开关80从第一状态(如图2A所示)改变到第二状态条件(如图2B所示)时,分量的方向从第一出口84改变到第二出口86。在设计时这种装置正确地产生将过渡状态减为最小的分量稳定分配,首先在一段时间内沿一个方向(决定于开关处于状态A多长时间);然后在一段时间内沿相反的方向(决定于开关处于状态B多长时间)。而且,开关80在整个单一工艺中在这些状态之间连续地调制,以便均匀地加工衬底。
在一种装置中,空间分配开关80由一对具有一个输入和一个输出的阀门或开关组成。在此特定的实施方案中,第一阀门被耦合在单一源与第一区之间,而第二阀门被耦合在单一源与第二区之间。借助于关闭一个阀门同时开通另一个阀门,分量被分配到所希望的位置。例如,为了将分量分配到第一区,第一阀门被开通而第二阀门被关闭。相反,为了将分量分配到第二区,第一阀门被关闭而第二阀门被开通。
虽然开关已被描述为具有一个输入和二个输出,但应该理解的是,这不是一个限制,开关也可以被设置成处置更多的输出(或更多的输入)。例如,具有一个输入和3个输出的开关能够被用来在加工室的3个区段之间分配分量。此时,可以用3个阀门来将分量分配到适当的区段。
而且,虽然关于功率和气体供给结构已经描述了开关,但应该知道的是此概念也可以被应用于其它的分量。例如,此开关可以被用于采用诸如温度、偏置功率、磁力之类的分量的分量供给机构。如应该理解的那样,各个分量供给系统提供了增加了的方法控制。
更详细地说,图2示出了示例性时间对方向图100,示出了根据本发明一个实施方案的开关随时间的操作。如所示,图100包括时间轴T和方向轴D。方向轴D被分成二个不同的方向102和104。举例来说,方向102和104可以分别对应于图2A和2B的第一出口84和第二出口86。而且,时间轴T被分成开始于各个时间t0-t5的多个不同的时间序列106A-F。如应该理解的那样,分量不仅在方向102与104之间被空间上调制,而且还在时间t0-t5之间被时间调制。亦即,此开关在加工过程中的特定时间改变方向。时间序列106可以相等或不相等。例如,如图2C所示,时间序列106A、106B、106E、106F被执行相同的时间,而时间序列106C和106D被执行不同的时间。更具体地说,时间序列106C被执行更短的时间,而时间序列106D被执行更长的时间。于是在整个工艺中能够调节方向(例如102,104)、时刻(例如t0-t5)、以及时间(例如106)来提高加工均匀性。
图3是根据本发明一个实施方案的开关80的操作流程图。为便于讨论,图3的流程图将被描述为一对相连的开关。但应该理解的是,这不是一种限制,在单一工艺中可以有多个开关。开关操作200通常开始于步骤202。在步骤202中,开关80的方向被控制器设定为步骤1加工。亦即,在步骤202中,开关从图2A的第一状态被改变到图2B的第二状态(或反之亦然),从而将分量的分配从第一输出区引导到第二输出区(或反之亦然)。在步骤202中设定方向之后,工艺流程进行到步骤204,其中执行步骤1加工。步骤1加工通常包括用于分量供给机构遵循的预定方法(或指令)。例如,关于功率,被分配的功率大小和分配时间可以被设定到预定数值。关于气流,流速、分配时间、气体化学性质、以及气体比率可以被设定到预定数值。这些预定的数值可以低于、高于、或等于其它区域中的预定数值。在一个例子中,可以在实验过程中通过逐次逼近来确定此预定数值,以便产生稳定而均匀的加工。
步骤1加工之后,工艺流程进行到步骤206,其中开关80的方向被控制器设定为步骤2加工。在步骤206中,开关80从图2B的第二状态被改变到图2A的第一状态(或反之亦然),从而将分量的分配从第二输出区引导到第一输出区(或反之亦然)。在步骤206中设定方向之后,工艺流程进行到步骤208,其中执行步骤2加工。相似于步骤1加工,步骤2加工通常包括用于分量供给机构遵循的预定方法(或指令)。步骤2加工之后,工艺流程进行到步骤210,其中作出继续加工(是)或结束加工(否)的判定。若决定继续加工,则工艺流程返回到步骤202。若决定结束加工,则工艺流程进行到步骤212,表示工艺完成了。
更详细地说,现在参照图4来描述本发明的示例性应用。图4是一个表格,示出了根据本发明一个实施方案的铝金属化腐蚀工艺的部分配方设定值400。举例来说,此配方可以被用于相似于上述图1的等离子体反应器的等离子体反应器。此部分配方设定值通常包括多个步骤402以及在整个工艺过程中,更确切地说是在各个步骤402中可能被调整,以便产生从衬底中心到边沿的均匀腐蚀结果的多个参数404。此例子中的这些参数包括但不局限于时间406、电极功率408、线圈位置412、第一气体流速414、第二气体流速416、第三气体流速418、以及气流入口位置420。
时间406与步骤402中的一个步骤发生的时间相关,从而控制了各个步骤的时间长度。电极功率408与供给到顶部电极无论内线圈还是外线圈的功率(例如W)相关。线圈位置412与供给的功率的方向亦即内线圈或外线圈相关。第一气体流速414与作为主加工气体一部分的第一气体的流速(例如sccm)相关。第二气体流速416与作为主加工气体一部分的第二气体的流速相关。第三气体流速418与作为主加工气体一部分的第三气体的流速相关。举例来说,第一气体可以是CHF3,第二气体可以是BCl3,而第三气体可以是Cl2。气流入口位置420与被分配气体的方向亦即内气体注入口或外气体注入口相关。
工艺开始于步骤1,其中主加工气体被输入到加工室中。如所示,主加工气体具有5/20/80的气流比率,并被分配到内气体注入口。步骤1加工继续5秒钟(例如时间=0开始,时间=5结束)。步骤1之后,工艺进行到步骤2,其中顶部电极的内线圈被加电到700W,且具有相同的气体比率5/20/80的主加工气体继续流到内气体注入口。步骤2加工继续10秒钟(例如时间=5开始,时间=15结束)。
在完成步骤2之后,工艺进行到步骤3,其中700W功率继续被施加到顶部电极的内线圈,且新气体比率为10/20/0的主加工气体改变方向,并开始被供给到外气体注入口。步骤3加工继续5秒钟(例如时间=15开始,时间=20结束)。步骤3之后,工艺进行到步骤4,其中,新功率设定值为500W的分配功率改变方向,并开始被施加到顶部电极的外线圈。此外,新气体比率为5/20/80的主加工气体改变方向,并开始被供给到内气体注入口。步骤4加工继续5秒钟(例如时间=20开始,时间=25结束)。完成步骤4之后,工艺进行到步骤5,其中,新功率设定值为700W的分配功率改变方向,并开始被施加到顶部电极的内线圈。此外,新气体比率为10/20/0的主加工气体改变方向,并开始被供给到外气体注入口。
虽然此例子的目的在于Al的腐蚀工艺,但应该理解的是,此配方能够被改变成包括介质的其它材料的腐蚀工艺。因此,主加工气体可以由其它类型的气体和/或其它气体流速和比率组成,且功率可以被调整为不同的功率电平。此外,虽在上述工艺过程中改变了功率和气体的方向,但应该指出的是,这些分量之一可以保持不变,而其它分量改变。还应该指出的是,各个工艺的时间可以改变,5秒钟或10秒钟不是一种限制。而且,功率和气流二者都可以遵循不同的时间标准,使之在工艺过程的不同时刻改变。此外,应该指出的是,部分配方400中的“部分”意味着此配方仅仅是整个配方的一部分。同样,应该指出的是,5个工艺步骤不是一种限制,也可以进行更多或更少步骤来加工衬底。
图5是根据本发明一个实施方案的功率供给机构500的图。举例来说,功率供给机构500可以分别对应于图1所示的功率供给系统34。功率供给机构500通常包括RF电源(即发生器)502、电极504、匹配网络506、以及大功率RF开关508。电极504包括二个线圈,更确切地说是经由大功率RF开关508耦合到RF电源502的内线圈510和外线圈512。虽然这些线圈被示为二个同心线圈,但应该指出的是,这不是一种限制。各个线圈可以按加工过程中的时间而被转换到RF电源502,从而在空间上改变RF功率的耦合地点。在一种装置中,大功率RF开关被构造成比通常约为毫秒的等离子体建立的时间更快。借助于快速转换,衬底倾向于经受被供给功率的某种复合平均值。转换速率依赖于设计的特性,但0.1Hz-100Hz的速率是普通的。功率分配开关也可以被设置成工作于kHz时间标准。而且,匹配网络506通常被排列在大功率RF开关508与RF电源502之间。匹配网络506被设置成匹配RF电源502的输出与等离子体负载之间的阻抗。
在大多数情况下,当系统转换线圈时,系统的阻抗改变。亦即,内线圈产生的阻抗通常不同于外线圈产生的阻抗。因此,匹配网络506必须能够快速调节,即系统必须被设计成完全不必调节。
在一个实施方案中,匹配网络506是一种固定的匹配网络。亦即,匹配网络被设计成具有设定的电源与等离子体之间的阻抗。在一种装置中,固定的匹配网络被设置成匹配电源与由内线圈产生的等离子体负载之间的阻抗。在此装置中,供给外线圈的功率被提高,以便补偿外线圈处阻抗失配产生的反射功率。在另一种装置中,固定的匹配网络被设置成匹配电源与由外线圈产生的等离子体负载之间的阻抗。在此装置中,供给内线圈的功率被提高,以便补偿内线圈处阻抗失配产生的反射功率。
在另一种装置中,固定的匹配网络被安排成具有二种状态。一种状态匹配电源与由内线圈产生的等离子体负载之间的阻抗,而另一种状态匹配电源与由外线圈产生的等离子体负载之间的阻抗。在此装置中,匹配网络被设置成在阻抗之间进行转换,以便匹配各个不同线圈产生的阻抗。在再一种装置中,内线圈和外线圈被安排成具有相似的阻抗,使匹配网络被设置成匹配内线圈和外线圈之间的阻抗。
在另一个实施方案中,匹配网络506是一种可调的匹配网络,它被构型成匹配变化范围很大的负载阻抗条件下的阻抗。例如,可调的匹配网络能够匹配包括但不局限于功率方向、功率幅度、加电时间、气流速率、加工室压力、加工室温度等的对于各种变化参数的阻抗。可调的匹配网络通常包括设置成确定正向功率和反射功率的功率表(未示出)。如本技术领域众所周知的那样,反射功率证明在发生器输出阻抗与等离子体负载之间存在着失配。因此,功率表被设置成确定系统的阻抗是否已经改变了。当确定已经发生改变时,可调的匹配网络能够调节以匹配改变了的阻抗。
图6是根据本发明一个实施方案的功率供给机构600的图。举例来说,功率供给机构600可以分别对应于图1所示的功率供给机构34。若等离子体和线圈的阻抗明显地不同,则通常采用功率供给机构600。此功率供给机构600通常包括RF电源(即发生器)602、电极604、第一匹配网络606、第二匹配网络608、以及大功率RF开关610。电极604包括二个线圈,更确切地说是经由大功率RF开关610耦合到RF电源602的内线圈612和外线圈614。虽然这些线圈被示为二个同心线圈,但应该指出的是,这不是一种限制。各个线圈可以按加工过程中的时间而被转换到RF电源602,从而在空间上改变RF功率的耦合地点。在一种装置中,大功率RF开关被构造成比等离子体建立的时间更快。借助于快速转换,衬底倾向于经受被供给功率的某种复合平均值。而且,第一匹配网络606通常被排列在外线圈614与大功率RF开关610之间,而第二匹配网络608通常被排列在内线圈612与大功率RF开关610之间。关于第一匹配网络606,第一匹配网络606被设置成匹配RF电源602的输出与外线圈614产生的等离子体负载之间的阻抗。关于第二匹配网络608,第二匹配网络608被设置成匹配RF电源602的输出与内线圈612产生的等离子体负载之间的阻抗。在一个实施方案中,第一和第二匹配网络是可调的匹配网络(如上所述)。在另一个实施方案中,第一和第二匹配网络是固定的匹配网络(也如上所述)。
图7是根据本发明一个实施方案的气体供给机构700的图。举例来说,气体供给机构700可以分别对应于图1所示的气体供给机构50。此气体供给机构700通常包括气体源702(即气体箱)、气体分配板704、以及气体分配开关706。气体分配板704包括二个气体注入口,更确切地说是经由气体分配开关706耦合到气体箱702的内气体注入口708和外气体注入口710。气体源702通过第一气管将气体馈送到气体分配开关706,并根据开关状态,此开关将气体馈送到第二气管714或第三气管716。如所示,第二气管714将气体供给到内气体注入口708,而第三气管716将气体供给到外气体注入口710。各个注入口可以按加工的时间被转换到气体源702,从而在空间上改变气体分配的地点。而且,虽然在图7中未示出,但二个气体注入口都可以包括多个用来释放被馈送的气体的孔。通常,各个注入口的孔被互相连接,使每个注入口仅仅需要一个气管。在本技术领域中,气体分配板是常规的,且一般是众所周知的。但为了便于本发明的讨论,在图8中将更详细地描述气体分配板。
关于气体箱702,气体箱702通常包括具有多个连接到各个气体源(未示出)的进气管716的高压气体管道。举例来说,气体源可以是气瓶或气体钢瓶。虽然示出了4个进气管,但应该理解的是,这不是一种限制,也可以使用更多或更少的进气管。气体的标准数量因而也是进气管的标准数量通常约为8。进气管716通常被耦合到质量流量控制器718,质量流量控制器718被设置成控制和调整有关分配气体的参数,包括但不局限于气流速率、气体混合、气体比率和压力。各个气体具有其自身的质量流量控制器。此质量流量控制器718通常包括阀门(未示出)和流量计(未示出)。流量计被用来控制气体流过的速度因而也就是压力,而阀门被用来将低压气体输出到低压管道720。如所示,低压管道720包括气体混合管。如应该理解的那样,借助于控制各个质量流量控制器,能够调节混合气体的气体化学性质、气体比率以及流速。然后,气体混合物被馈送到阀门722,以便经由第一气管712将混合气体释放到气体分配开关706。
当到达开关706时,气体混合物通过二种开关状态之一种被引导到二个气体注入口708或710中的一个。若开关706处于第一状态,则气体混合物经由第二气管714流到内气体注入口708。若开关706处于第二状态,则气体混合物经由第三气管716流到外气体注入口710。
在某些情况下,开关的过渡可能由于上述状态之间的转换而相冲突。亦即,此转换可能引起气体注入口释放不稳定的或脉冲的气体。这些开关过渡可能对等离子体的形成即放电有不利的作用,并可能导致等离子气体倒流进入气管或在气管中形成颗粒。有许多方法来解决这些效应。例如,一种方法可以是降低气流通过气管的流导。借助于降低流导,有可能在气体排出气管之前进行转换,从而可以可以达到气流的伪稳态。举例来说,降低气管流导的一种方法可以是增加其长度。
图8示出了一种示例性气体分配板800。举例来说,气体分配板800可以分别对应于图1所示的气体分配板32。气体分配板800通常包括内部802和外部804。内部802通常包括多个内孔806,用来将气体释放到加工室的内区中。各个内孔806通过气体分配板800中的通道被相互连接并耦合到内气体注入口(未示出)。同样,外部804通常包括多个外孔808,用来将气体释放到加工室的外区中。各个外孔808通过气体分配板800中的通道被相互连接并耦合到外气体注入口(未示出)。虽然示出了孔806和808的某些构造,但应该指出的是,也可以采用其它的构造。例如,可以在内部和外部中采用单个孔。在本技术领域中,气体分配板是众所周知的,为了简洁起见,不再赘述。
如从上面可见,本发明提供了大量超越现有技术的优点。不同的实施方案或装置具有下列优点中的一个或更多个。本发明的一个优点是增强了加工控制。举例来说,本发明能够被用来控制等离子体加工室中不同位置处的离子和中性粒子的浓度。为了达到对加工的更强的空间控制,本发明提供了在可编程时间内对加工室中不同位置之间的分量的分配进行的空间调制。由于增强了控制,故能够获得比现有技术更高程度的均匀加工。本发明的另一优点是降低了系统的成本和复杂性。借助于提供分配开关,仅仅需要一个分量源,因而降低了设计成本。
虽然已经用几个优选实施方案描述了本发明,但在本发明的范围内存在着各种变更、改变、以及等效物。还应该指出的是,存在着许多变通的方法来实现本发明的方法和设备。例如,虽然用加工半导体衬底的等离子体反应器来描述了分量供给机构,但应该指出的是,其它系统也能够应用此分量供给机构的技术和方法。例如,估计此分量供给机构能够被用于大多数半导体加工系统,包括化学气相淀积(CVD)、热CVD、等离子体增强化学气相淀积(PECVD)、诸如溅射之类的物理气相淀积(PVD)、以及干法腐蚀、等离子体刻蚀、反应离子刻蚀(RIE)、磁增强反应离子刻蚀(MERIE)、电子回旋共振(ECR)等。而且,估计此分量供给机构还能够被应用于半导体加工之外的系统。例如磁存储盘或光存储盘的制造。
因此,所附权利要求书意在包括本发明构思与范围内的所有变更、改变、以及等效物。

Claims (22)

1.一种用来在加工室内部分配分量的分量供给机构,所述分量被用来对加工室中的工件进行加工,该分量供给机构包含:
多个用来将分量输出到加工室的所希望区域的分量输出;
耦合到多个分量输出的空间分配开关,此空间分配开关被设置成将分量引导到多个分量输出中的至少一个;以及
耦合到空间分配开关的单一分量源,此单一分量源被设置成将分量馈送到空间分配开关。
2.如权利要求1所述的分量供给机构,其中多个分量输出至少包括第一分量输出和第二分量输出,且其中空间分配开关具有将分量引导到第一分量输出的第一状态和将分量引导到第二分量输出的第二状态。
3.如权利要求2所述的分量供给机构,其中第一分量输出被设置成将分量输出到加工室的第一区,且其中的第二分量输出被设置成将分量输出到加工室的第二区。
4.如权利要求3所述的分量供给机构,其中加工室的第一区对应于工件的中心部分,且其中加工室的第二区对应于工件的外围部分。
5.如权利要求2所述的分量供给机构,其中空间分配开关被设置成在状态之间进行调制,以便在加工过程中在加工室内在空间上分配分量。
6.如权利要求2所述的分量供给机构,其中空间分配开关被安排成在一种状态中停留预定的时间,以便影响加工过程中加工室内的分量浓度。
7.如权利要求1所述的分量供给机构,其中分量是气态源材料,其中单一分量源是用来供应气态源材料的气体箱,且其中分量输出是用来将气态源材料释放到加工室中的气体注入口。
8.如权利要求7所述的分量供给机构,其中的多个气体注入口至少包括第一气体注入口和第二气体注入口,且其中空间分配开关具有将气态源材料引导到第一气体注入口的第一状态和将气态源材料引导到第二气体注入口的第二状态。
9.如权利要求8所述的分量供给机构,其中第一气体注入口被设置成将气态源材料释放到加工室的第一区中,且其中第二气体注入口被设置成将气态源材料释放到加工室的第二区中。
10.如权利要求9所述的分量供给机构,其中加工室的第一区对应于工件的中心部分,且其中加工室的第二区对应于工件的外围部分。
11.如权利要求9所述的分量供给机构,其中空间分配开关被设置成在各状态之间进行调制,以便在第一气体注入口与第二气体注入口之间在空间上分配气态源材料,从而影响加工过程中加工室第一和第二区之间的气态源材料浓度。
12.如权利要求1所述的分量供给机构,其中所述分量是能量,其中单一分量源是用来供应能量的电源,且其中分量输出是用来在加工室内产生电场的电极线圈。
13.如权利要求12所述的分量供给机构,其中多个电极线圈至少包括第一线圈和第二线圈,且其中的空间分配开关具有将能量引导到第一线圈的第一状态和将能量引导到第二线圈的第二状态。
14.如权利要求13所述的分量供给机构,其中第一线圈被设置成在加工室的第一区内产生电场,且其中第二线圈被设置成在加工室的第二区内产生电场。
15.如权利要求14所述的分量供给机构,其中加工室的第一区对应于工件的中心部分,且其中加工室的第二区对应于工件的外围部分。
16.如权利要求14所述的分量供给机构,其中空间分配开关被设置成在各状态之间进行调制,以便在第一线圈与第二线圈之间在空间上分配能量,从而影响加工过程中加工室第一和第二区之间的电场密集度。
17.如权利要求1所述的分量供给机构,其中工件是半导体衬底。
18.如权利要求1所述的分量供给机构,其中分量供给机构被用于等离子体反应器,来影响加工室内的离子和中性粒子的数量,以便均匀地加工工件。
19.一种用来加工衬底的空间受控等离子体反应器,它包括:
在其中点燃并保持等离子体以进行加工的加工室;
功率供给机构,它包括:
用来产生强度足以点燃并保持等离子体的能量的单一功率源;
耦合到功率源的电极,此电极具有第一线圈和第二线圈,第一线圈被设置成产生加工室第一功率区中的电场,而第二线圈被设置成产生加工室第二功率区中的电场;
设在功率源与电极的内线圈和外线圈之间的功率分配开关,此功率分配开关被设置成在内线圈和外线圈之间引导功率源的能量;以及
气体供给机构,它包含:
用来产生部分地用来形成等离子体并用来加工衬底的加工气体的单一气体源;
耦合到气体源的第一气体注入口,此第一气体注入口被设置成将加工气体释放到加工室的第一气体区中;
耦合到气体源的第二气体注入口,此第二气体注入口被设置成将加工气体释放到加工室的第二气体区中;以及
设在气体源与内气体注入口和外气体注入口之间的气体分配开关,此气体分配开关被设置成在内气体注入口与外气体注入口之间引导气体源的加工气体。
20.一种用来在加工室中分配分量的分量供给机构,所述分量被用来对加工室中的工件进行加工,该分量供给机构包括:
用来供应分量的分量源;
空间分配开关,它具有用来从分量源接收分量的单一输入和用来释放分量的至少第一输出和第二输出,此开关至少具有将分量引导通过第一输出的第一状态和将分量引导通过第二输出的第二状态;
至少第一分量输出和第二分量输出,此第一分量输出被耦合到空间分配开关的第一输出,而第二分量输出被耦合到空间分配开关的第二输出,其中第一分量输出被设置成将分量输出到加工室的第一区中,且其中第二分量输出被设置成将分量输出到加工室的第二区中;以及
用来控制空间分配开关的控制器,此控制器被构型成在至少第一和第二状态之间引导空间分配开关,以便影响加工室的第一和第二区中分量的浓度。
21.一种用工艺方法的分量对工件进行加工的方法,包括:
提供加工室,工件在此加工室中被加工,且加工室包括至少第一加工区和第二加工区,各个区域代表被加工的工件的一部分;
将分量输出到加工室的第一加工区中;
从第一加工区转换到第二加工区;以及
将分量输出到加工室的第二加工区中。
22.一种用来在加工室内分配分量的分量供给机构,所述分量被用来对加工室中的工件进行加工,此分量供给机构包含:
用来供应分量的单一分量源;以及
空间分配开关,它具有用来从单一分量源接收分量的分量输入和用来分配分量的多个分量输出,此开关被设置成在多个分量输出的一个或多个之间引导接收到的分量。
CNB018148891A 2000-06-30 2001-06-08 分量供给机构及空间分配开关 Expired - Lifetime CN100372971C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/607,599 US6632322B1 (en) 2000-06-30 2000-06-30 Switched uniformity control
US09/607,599 2000-06-30

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CNA2008100031451A Division CN101241829A (zh) 2000-06-30 2001-06-08 转换的均匀性控制

Publications (2)

Publication Number Publication Date
CN1449572A true CN1449572A (zh) 2003-10-15
CN100372971C CN100372971C (zh) 2008-03-05

Family

ID=24432955

Family Applications (2)

Application Number Title Priority Date Filing Date
CNA2008100031451A Pending CN101241829A (zh) 2000-06-30 2001-06-08 转换的均匀性控制
CNB018148891A Expired - Lifetime CN100372971C (zh) 2000-06-30 2001-06-08 分量供给机构及空间分配开关

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CNA2008100031451A Pending CN101241829A (zh) 2000-06-30 2001-06-08 转换的均匀性控制

Country Status (7)

Country Link
US (2) US6632322B1 (zh)
EP (1) EP1295309A2 (zh)
JP (2) JP2004502318A (zh)
KR (1) KR100865054B1 (zh)
CN (2) CN101241829A (zh)
AU (1) AU2001268275A1 (zh)
WO (1) WO2002003415A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104299889A (zh) * 2013-07-15 2015-01-21 朗姆研究公司 混合特征蚀刻和倒角蚀刻的系统

Families Citing this family (291)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7617474B2 (en) * 1997-09-17 2009-11-10 Synopsys, Inc. System and method for providing defect printability analysis of photolithographic masks with job-based automation
US7093229B2 (en) * 1997-09-17 2006-08-15 Synopsys, Inc. System and method for providing defect printability analysis of photolithographic masks with job-based automation
US20040224504A1 (en) * 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
WO2002013225A2 (en) * 2000-08-08 2002-02-14 Tokyo Electron Limited Plasma processing method and apparatus
JP5079949B2 (ja) * 2001-04-06 2012-11-21 東京エレクトロン株式会社 処理装置および処理方法
US7268157B2 (en) 2002-11-26 2007-09-11 Shenzhen Chipscreen Biosciences, Ltd. Substituted arylalcanoic acid derivatives as PPAR pan agonists with potent antihyperglycemic and antihyperlipidemic activity
US20040112540A1 (en) * 2002-12-13 2004-06-17 Lam Research Corporation Uniform etch system
US7534363B2 (en) * 2002-12-13 2009-05-19 Lam Research Corporation Method for providing uniform removal of organic material
US7169231B2 (en) * 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
KR101007822B1 (ko) * 2003-07-14 2011-01-13 주성엔지니어링(주) 혼합형 플라즈마 발생 장치
KR100561848B1 (ko) * 2003-11-04 2006-03-16 삼성전자주식회사 헬리컬 공진기형 플라즈마 처리 장치
US7723236B2 (en) * 2005-01-18 2010-05-25 Tokyo Electron Limited Gas setting method, gas setting apparatus, etching apparatus and substrate processing system
IES20050301A2 (en) 2005-05-11 2006-11-15 Univ Dublin City Plasma source
JP2007220594A (ja) * 2006-02-20 2007-08-30 Nissin Electric Co Ltd プラズマ生成方法及びプラズマ生成装置並びにプラズマ処理装置
US7837827B2 (en) * 2007-06-28 2010-11-23 Lam Research Corporation Edge ring arrangements for substrate processing
JP4788676B2 (ja) * 2007-07-12 2011-10-05 トヨタ自動車株式会社 分析装置用のガス導入装置および方法
US20090114245A1 (en) * 2007-11-02 2009-05-07 Hidehiro Kojiri In-situ chamber cleaning method
JP5192214B2 (ja) 2007-11-02 2013-05-08 東京エレクトロン株式会社 ガス供給装置、基板処理装置および基板処理方法
KR100963291B1 (ko) * 2008-02-22 2010-06-11 주식회사 유진테크 기판처리장치 및 기판처리방법
US8340827B2 (en) * 2008-06-20 2012-12-25 Lam Research Corporation Methods for controlling time scale of gas delivery into a processing chamber
US8449679B2 (en) 2008-08-15 2013-05-28 Lam Research Corporation Temperature controlled hot edge ring assembly
KR101627297B1 (ko) * 2008-10-13 2016-06-03 한국에이에스엠지니텍 주식회사 플라즈마 처리부 및 이를 포함하는 증착 장치 및 증착 방법
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110120375A1 (en) * 2009-11-23 2011-05-26 Jusung Engineering Co., Ltd. Apparatus for processing substrate
US9318341B2 (en) * 2010-12-20 2016-04-19 Applied Materials, Inc. Methods for etching a substrate
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9966236B2 (en) 2011-06-15 2018-05-08 Lam Research Corporation Powered grid for plasma chamber
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN102427038A (zh) * 2011-09-15 2012-04-25 上海华力微电子有限公司 一种先进的自动调整刻蚀均匀性的方法
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP5580908B2 (ja) * 2013-01-31 2014-08-27 東京エレクトロン株式会社 ガス供給装置、基板処理装置および基板処理方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6336719B2 (ja) * 2013-07-16 2018-06-06 株式会社ディスコ プラズマエッチング装置
US20150129131A1 (en) * 2013-11-14 2015-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor processing apparatus and pre-clean system
CN106415779B (zh) * 2013-12-17 2020-01-21 东京毅力科创株式会社 用于控制等离子体密度的系统和方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6499835B2 (ja) * 2014-07-24 2019-04-10 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP2016082180A (ja) * 2014-10-22 2016-05-16 株式会社日立ハイテクノロジーズ プラズマ処理装置
US9396956B1 (en) * 2015-01-16 2016-07-19 Asm Ip Holding B.V. Method of plasma-enhanced atomic layer etching
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US20170092470A1 (en) * 2015-09-28 2017-03-30 Applied Materials, Inc. Plasma reactor for processing a workpiece with an array of plasma point sources
US9741539B2 (en) * 2015-10-05 2017-08-22 Applied Materials, Inc. RF power delivery regulation for processing substrates
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10256075B2 (en) * 2016-01-22 2019-04-09 Applied Materials, Inc. Gas splitting by time average injection into different zones by fast gas valves
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
CN107369602B (zh) * 2016-05-12 2019-02-19 北京北方华创微电子装备有限公司 反应腔室及半导体加工设备
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7285152B2 (ja) * 2019-07-08 2023-06-01 東京エレクトロン株式会社 プラズマ処理装置
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11854770B2 (en) 2021-01-14 2023-12-26 Applied Materials, Inc. Plasma processing with independent temperature control
US11658006B2 (en) 2021-01-14 2023-05-23 Applied Materials, Inc. Plasma sources and plasma processing apparatus thereof
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
TWI816362B (zh) * 2022-04-14 2023-09-21 相弘科技股份有限公司 多管氣體流量檢測裝置與方法

Family Cites Families (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3569777A (en) 1969-07-28 1971-03-09 Int Plasma Corp Impedance matching network for plasma-generating apparatus
JPS51144183A (en) * 1975-06-06 1976-12-10 Hitachi Ltd Semiconductor element containing surface protection film
US4207137A (en) 1979-04-13 1980-06-10 Bell Telephone Laboratories, Incorporated Method of controlling a plasma etching process by monitoring the impedance changes of the RF power
JPS59142839A (ja) * 1983-02-01 1984-08-16 Canon Inc 気相法装置のクリ−ニング方法
US4852593A (en) * 1985-06-14 1989-08-01 Magic Spray Inc. Apparatus and method for washing vehicles
US5100484A (en) * 1985-10-15 1992-03-31 General Electric Company Heat treatment for nickel-base superalloys
JPH0615628B2 (ja) * 1986-04-28 1994-03-02 マツダ株式会社 プラズマ処理方法
US5018479A (en) 1987-09-24 1991-05-28 Reserach Triangle Institute, Inc. Remote plasma enhanced CVD method and apparatus for growing an epitaxial semconductor layer
US4980204A (en) * 1987-11-27 1990-12-25 Fujitsu Limited Metal organic chemical vapor deposition method with controlled gas flow rate
JP2892070B2 (ja) 1989-01-26 1999-05-17 キヤノン株式会社 堆積膜形成装置
US4990229A (en) 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5122251A (en) 1989-06-13 1992-06-16 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5429070A (en) 1989-06-13 1995-07-04 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5091049A (en) 1989-06-13 1992-02-25 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5421891A (en) 1989-06-13 1995-06-06 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5238752A (en) * 1990-05-07 1993-08-24 General Electric Company Thermal barrier coating system with intermetallic overlay bond coat
US5304247A (en) * 1990-09-21 1994-04-19 Fujitsu Limited Apparatus for depositing compound semiconductor crystal
US5436172A (en) 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
US5252178A (en) * 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
US5500252A (en) * 1992-09-05 1996-03-19 Rolls-Royce Plc High temperature corrosion resistant composite coatings
JPH06251896A (ja) 1992-12-28 1994-09-09 Hitachi Ltd プラズマ処理方法及び装置
KR100238627B1 (ko) 1993-01-12 2000-01-15 히가시 데쓰로 플라즈마 처리장치
US5401350A (en) 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
US5450205A (en) 1993-05-28 1995-09-12 Massachusetts Institute Of Technology Apparatus and method for real-time measurement of thin film layer thickness and changes thereof
US5304398A (en) * 1993-06-03 1994-04-19 Watkins Johnson Company Chemical vapor deposition of silicon dioxide using hexamethyldisilazane
US5571366A (en) 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
JP3365067B2 (ja) 1994-02-10 2003-01-08 ソニー株式会社 プラズマ装置およびこれを用いたプラズマ処理方法
US5522934A (en) 1994-04-26 1996-06-04 Tokyo Electron Limited Plasma processing apparatus using vertical gas inlets one on top of another
US5587038A (en) 1994-06-16 1996-12-24 Princeton University Apparatus and process for producing high density axially extending plasmas
US5580385A (en) * 1994-06-30 1996-12-03 Texas Instruments, Incorporated Structure and method for incorporating an inductively coupled plasma source in a plasma processing chamber
US5744049A (en) 1994-07-18 1998-04-28 Applied Materials, Inc. Plasma reactor with enhanced plasma uniformity by gas addition, and method of using same
JP3140934B2 (ja) 1994-08-23 2001-03-05 東京エレクトロン株式会社 プラズマ装置
US5919382A (en) 1994-10-31 1999-07-06 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
GB9426257D0 (en) * 1994-12-24 1995-03-01 Rolls Royce Plc Thermal barrier coating for a superalloy article and method of application
JPH08288259A (ja) * 1995-04-18 1996-11-01 Sony Corp ヘリコン波プラズマ装置およびこれを用いたドライエッチング方法
US6022446A (en) 1995-08-21 2000-02-08 Shan; Hongching Shallow magnetic fields for generating circulating electrons to enhance plasma processing
US5776269A (en) * 1995-08-24 1998-07-07 Kaiser Aluminum & Chemical Corporation Lead-free 6000 series aluminum alloy
US5983828A (en) 1995-10-13 1999-11-16 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
JPH09115880A (ja) * 1995-10-16 1997-05-02 Hitachi Ltd ドライエッチング装置
US5810932A (en) 1995-11-22 1998-09-22 Nec Corporation Plasma generating apparatus used for fabrication of semiconductor device
US6054013A (en) 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US5683548A (en) 1996-02-22 1997-11-04 Motorola, Inc. Inductively coupled plasma reactor and process
JPH09232296A (ja) * 1996-02-23 1997-09-05 Mitsubishi Electric Corp 半導体装置の製造装置および製造方法
JP3360265B2 (ja) * 1996-04-26 2002-12-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US5707452A (en) 1996-07-08 1998-01-13 Applied Microwave Plasma Concepts, Inc. Coaxial microwave applicator for an electron cyclotron resonance plasma source
US5976261A (en) * 1996-07-11 1999-11-02 Cvc Products, Inc. Multi-zone gas injection apparatus and method for microelectronics manufacturing equipment
TW343360B (en) * 1996-07-31 1998-10-21 Applied Materials Inc Plasma reactor process for high photoresist selectivity and improved polymer adhesion
US6071572A (en) 1996-10-15 2000-06-06 Applied Materials, Inc. Forming tin thin films using remote activated specie generation
US6113731A (en) 1997-01-02 2000-09-05 Applied Materials, Inc. Magnetically-enhanced plasma chamber with non-uniform magnetic field
US6189482B1 (en) * 1997-02-12 2001-02-20 Applied Materials, Inc. High temperature, high flow rate chemical vapor deposition apparatus and related methods
JP3343200B2 (ja) 1997-05-20 2002-11-11 東京エレクトロン株式会社 プラズマ処理装置
US6178920B1 (en) 1997-06-05 2001-01-30 Applied Materials, Inc. Plasma reactor with internal inductive antenna capable of generating helicon wave
US5795451A (en) 1997-06-12 1998-08-18 Read-Rite Corporation Sputtering apparatus with a rotating magnet array
US6051114A (en) 1997-06-23 2000-04-18 Applied Materials, Inc. Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition
US6042687A (en) 1997-06-30 2000-03-28 Lam Research Corporation Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
US6009830A (en) 1997-11-21 2000-01-04 Applied Materials Inc. Independent gas feeds in a plasma reactor
US5976327A (en) 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation
US6132552A (en) 1998-02-19 2000-10-17 Micron Technology, Inc. Method and apparatus for controlling the temperature of a gas distribution plate in a process reactor
US6007330A (en) * 1998-03-12 1999-12-28 Cosmos Factory, Inc. Liquid precursor delivery system
JPH11297673A (ja) 1998-04-15 1999-10-29 Hitachi Ltd プラズマ処理装置及びクリーニング方法
US6203862B1 (en) * 1998-05-13 2001-03-20 Intevac, Inc. Processing systems with dual ion sources
US6178925B1 (en) * 1999-09-29 2001-01-30 Advanced Technology Materials, Inc. Burst pulse cleaning method and apparatus for liquid delivery system
US20030155079A1 (en) * 1999-11-15 2003-08-21 Andrew D. Bailey Plasma processing system with dynamic gas distribution control

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104299889A (zh) * 2013-07-15 2015-01-21 朗姆研究公司 混合特征蚀刻和倒角蚀刻的系统
CN104299889B (zh) * 2013-07-15 2017-07-04 朗姆研究公司 混合特征蚀刻和倒角蚀刻的系统

Also Published As

Publication number Publication date
CN100372971C (zh) 2008-03-05
WO2002003415A3 (en) 2002-05-23
KR20030015295A (ko) 2003-02-20
KR100865054B1 (ko) 2008-10-23
WO2002003415A2 (en) 2002-01-10
JP2012169629A (ja) 2012-09-06
US7282454B2 (en) 2007-10-16
CN101241829A (zh) 2008-08-13
US6632322B1 (en) 2003-10-14
US20040031564A1 (en) 2004-02-19
EP1295309A2 (en) 2003-03-26
AU2001268275A1 (en) 2002-01-14
JP2004502318A (ja) 2004-01-22
JP6140927B2 (ja) 2017-06-07

Similar Documents

Publication Publication Date Title
CN1449572A (zh) 转换的均匀性控制
KR100774228B1 (ko) 동적 가스 분배 제어를 갖는 플라즈마 처리 시스템
TWI795589B (zh) 處理微電子工件的方法、以及處理基板的方法
CN101563757B (zh) 快速气体交换等离子处理装置
TWI714619B (zh) 在多站半導體基板處理腔室中實施電漿活化膜沉積之方法
KR100725037B1 (ko) 반도체 플라즈마 처리 장치 및 방법
US5904780A (en) Plasma processing apparatus
US9355886B2 (en) Conformal film deposition for gapfill
EP2068353B1 (en) Plasma etching device and plasma etching method
US6185839B1 (en) Semiconductor process chamber having improved gas distributor
CN1248289C (zh) 感应耦合式等离子体装置
TWI761337B (zh) 基板處理系統
JP2003507880A (ja) パルスプラズマ処理方法および装置
CN115584488A (zh) 用于膜轮廓调节的喷头帘式气体方法和系统
US6578515B2 (en) Film formation apparatus comprising movable gas introduction members
CN1969060A (zh) 具有快速气体切换能力的气体分配系统
KR20150100522A (ko) 에칭 방법
WO2014068974A1 (ja) プラズマ処理方法
KR20090056475A (ko) 플라즈마 처리장치
JP2016162794A (ja) 真空処理装置
TWI794318B (zh) 增加反應器處理批量大小的方法和設備

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term

Granted publication date: 20080305

CX01 Expiry of patent term