CN1476551A - 用于平版印刷工艺中的高分辨率重叠对齐方法和系统 - Google Patents

用于平版印刷工艺中的高分辨率重叠对齐方法和系统 Download PDF

Info

Publication number
CN1476551A
CN1476551A CNA018155057A CN01815505A CN1476551A CN 1476551 A CN1476551 A CN 1476551A CN A018155057 A CNA018155057 A CN A018155057A CN 01815505 A CN01815505 A CN 01815505A CN 1476551 A CN1476551 A CN 1476551A
Authority
CN
China
Prior art keywords
substrate
template
liquid
patterned template
alignment
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA018155057A
Other languages
English (en)
Other versions
CN100504598C (zh
Inventor
Bj
B·J·周
M·科尔博恩
S·V·斯瑞尼瓦萨恩
T·百利
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
University of Texas System
Original Assignee
University of Texas System
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by University of Texas System filed Critical University of Texas System
Publication of CN1476551A publication Critical patent/CN1476551A/zh
Application granted granted Critical
Publication of CN100504598C publication Critical patent/CN100504598C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C35/00Heating, cooling or curing, e.g. crosslinking or vulcanising; Apparatus therefor
    • B29C35/02Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould
    • B29C35/08Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould by wave energy or particle radiation
    • B29C35/0888Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould by wave energy or particle radiation using transparant moulds
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C37/00Component parts, details, accessories or auxiliary operations, not covered by group B29C33/00 or B29C35/00
    • B29C37/005Compensating volume or shape change during moulding, in general
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C37/00Component parts, details, accessories or auxiliary operations, not covered by group B29C33/00 or B29C35/00
    • B29C37/0053Moulding articles characterised by the shape of the surface, e.g. ribs, high polish
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C43/00Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor
    • B29C43/003Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor characterised by the choice of material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C43/00Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor
    • B29C43/02Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles
    • B29C43/021Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles characterised by the shape of the surface
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C35/00Heating, cooling or curing, e.g. crosslinking or vulcanising; Apparatus therefor
    • B29C35/02Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould
    • B29C35/08Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould by wave energy or particle radiation
    • B29C35/0805Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould by wave energy or particle radiation using electromagnetic radiation
    • B29C2035/0827Heating or curing, e.g. crosslinking or vulcanizing during moulding, e.g. in a mould by wave energy or particle radiation using electromagnetic radiation using UV radiation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C43/00Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor
    • B29C43/02Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles
    • B29C43/021Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles characterised by the shape of the surface
    • B29C2043/023Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles characterised by the shape of the surface having a plurality of grooves
    • B29C2043/025Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles characterised by the shape of the surface having a plurality of grooves forming a microstructure, i.e. fine patterning
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S101/00Printing
    • Y10S101/36Means for registering or alignment of print plates on print press structure
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24802Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.]

Abstract

描述了一种在平版印刷过程中确定和校正对齐的方法。在平版印刷过程中通过使用位于模板和基片两者上的对齐标记可使模板与基片对齐。该对齐可以在加工层之前确定和校正。

Description

用于平版印刷工艺中的高分辨率重叠对齐方法和系统
发明背景
发明领域
本发明涉及用于平版印刷工艺的高分辨率重叠对齐的方法和系统。
相关技术的描述
平版印刷是一项能够在基片上印刷尺寸小于50nm的特征的技术。平版印刷具有取代光刻作为用于在100nm以下面积上制造半导体的一个选择。在九十年代已经引入了几种平版印刷工艺。然而,它们中的大多数都有局限性,使得它们不能真正替代光刻。这些现有技术的局限包括,例如高温变异,需要高压和使用弹性模板。
近年来,平版印刷工艺可用来在室温和低压的条件下,从石英模板上将高分辨率图案转印到基片表面。在Step和Flash平版印刷(SFIL)工艺中,在光固化液体材料存在的情况下,将刚性石英模板与基片表面间接接触。通过使用光将该液体材料固化并将模板上的图案印刷到固化的液体上。
使用刚性和透明模板可以实施作为SFIL工艺的一部分的高分辨率重叠。同时,应用可在低压和室温条件下通过光固化工艺的低粘性液体材料产生最小化的不希望的层变形。这种变形可导致重叠对齐难以实施。
重叠对齐方案典型的包括在模板和基片之间对齐误差的测量,之后通过补偿这些误差达到准确对齐。用于邻近平版印刷、X-线平版印刷和光刻(诸如激光干涉测量术、电容感应、在掩模和基片等上重叠标记的自动化图像处理等)的测量技术经适当改进可用于平版印刷工艺。补偿技术一直紧随平版印刷工艺的特征方面发展。
典型的需要补偿的重叠误差包括位置误差、θ误差和放大率误差。近几年,随着光刻工艺的最小线宽继续缩小,重叠测量技术已经显著改善。然而,这些技术不能直接应用于平版印刷工艺。
本发明概述
这里描述的实施方案包括可用于平版印刷工艺中的重叠对齐方案的方法和系统。
一般来说,在基片上形成图案的方法可以通过在基片上使用光可固化液体来完成。光可固化液体可包括任何使用光固化的液体。典型的光可固化组合物是在光存在时可发生化学变化的组合物。可诱导化学变化的光包括紫外光(例如具有大约300nm到大约400nm波长的光)、有光化性的光、可见光、红外光和诸如电子束和X线源的辐射源。可以用很多形式证明化学变化。化学变化可包括,但不限于引起聚合发生的任何化学反应。在一些实施方案中,化学变化引起形成透镜组合物内引发剂种类的形成,所述引发剂种类能够引发化学聚合反应。
在一个实施方案中,光可固化组合物可以是光阻组合物。光阻组合物包括暴露于UV光下可固化的任何组合物。光阻组合物的特征在于仅有暴露于光(例如紫外光)下的组合物部分可进行光化学反应。通常用于半导体工业的各种光阻组合物都可以使用。在一个实施方案中,光可固化组合物包括酰化单体。
在大多数光刻工艺中,光阻材料典型的具有高粘性(大于大约20厘泊(cps))。在平版印刷中,使用高粘性液体使得很难产生100nm以下的结构。已经发现,低粘性液体产生100nm以下结构的精确的多的复制品。在一个实施方案中,光可固化液体可具有低于大约20cps,优选低于大约10cps,更优选低于大约5cps的粘度。
在将光可固化液体应用到基片上后,将图案模板定向到其上应用有光可固化液体的基片的部分上。在半导体工艺中,可以在单一基片上形成多个半导体装置。每单个半导体装置可以由多层形成。这些层可以在前面重叠形成的层上顺序形成层。由于半导体装置的单个元件小的形体尺寸,每一层与其它层的对齐对于半导体装置的适当功能来说十分关键。这里描述了用于使图案模板与基片上的预定位置对齐的方法和系统。
在一个实施方案中,图案模板可以包括模板对齐标记。基片可包括基片对齐标记。在图案模板位于基片上之后,相对于基片对齐标记确定模板对齐标记的对齐。如果模板对齐标记没有与基片对齐标记对齐,则图案模板的方向相对于基片可以改变直到该标记基本上对齐。相对于模板移动基片可以补偿模板对齐标记和基片对齐标记在X-Y方向上的偏移形成的误差。这可以通过被构造用来控制基片在X-Y方向的移动的基片支持台来完成。通过相对于基片来改变模板的角度可纠正θ误差。通过改变模板的物理尺寸可以纠正放大率误差。
可以在模板和/或基片上形成多个对齐标记。在一些实施方案中,可以通过在模板上用预定图案蚀刻模板的一部分来形成对齐标记。或者,可以在模板上形成第二种材料的薄膜。第二种材料可以沉积在与基片对齐标记互补的图案中。这个第二种材料可以不透光,然而,模板对齐标记的图案可以在基片上的固化液体中再现。或者,第二种材料可以是对用于固化光可固化液体的光的波长基本上透明。然而,这个第二种材料可以在光的非固化波长处具有不同的光学特性。当使用分析仪在光的非固化波长处进行分析时,可以很容易地检测到对齐标记。然而,在固化期间,对齐标记对于固化光基本上透明,并且在对齐标记下的液体可以基本上被固化。在一个实施方案中,沉积的第二种材料是Si2O3。在另一个实施方案中,可以通过蚀刻模板产生模板对齐标记。模板对齐标记可以由一系列共同作为衍射光栅的平行线形成。当相对于基片对齐标记确定模板对齐标记的对齐时,可以很容易观察到衍射光栅模板对齐标记。然而,在固化期间,由于相对于用于固化的光的波长来说蚀刻的线的间距相对较大,所以衍射光栅模板对齐标记可以基本上对于固化光透明。
可以使用多种探测方法来确定模板对齐标记与基片对齐标记的对齐。在一个实施方案中,模板和基片上的对齐标记的图像被聚焦到统一图像平面。这个聚焦可以通过使用两个不同波长的照射光源和图像处理技术以从模糊图像中分离聚焦的图像来完成。或者,可以通过使用在模板表面上具有偏振和偏振阵列的不同照明光源使模板和基片上的对齐标记的图像聚焦到相同图像平面上。在另一种方法中,可以使用基于波纹图案的对齐误差测量技术。在基于波纹图案的对齐中,测量所取的间隙可以尽可能地小到使模板和基片没有接触的程度,以便最小化波纹图案的两层的聚焦问题。可以使用在整个模板-基片界面上的间隙的高分辨率(100nm以下)测量和主动控制。可以联合上述对齐标记使用这些探测方法中的任何一种。
在一些实施方案中,在确定模板和基片对齐标记之前,可以将图案模板和基片之间的间隙充分地填充。在其它实施方案中,当用液体将图案模板和基片之间的间隙充分充满之后,可能很难看见基片和/或案模板的对齐标记。在另一个实施方案中,可以以预定的图案将液体布置在基片上。当模板与液体接触时,只有一部分模板与模板接触。通过控制施加到基片上的液体的图案和基片上对齐标记的位置,可以使模板定位与液体接触,使得包括对齐标记的那一部分模板不与液体接触。以这种方式,可以不受液体的干扰将模板对齐标记与基片对齐标记对齐。在模板对齐标记和基片对齐标记对齐后,图案模板可以相对于基片定位,使得图案模板与基片之间的间隙充分地充满。
或者,当模板与液体接触时,只有一部分模板可以与模板接触。通过控制施加到基片上的液体的图案和基片上对齐标记的位置,可以使模板定位与液体接触,使得包括对齐标记的那一部分模板不与液体接触。以这种方式,可以不受液体的干扰将模板对齐标记与基片对齐标记对齐。在模板与液体的初始接触后,液体的图案将会在模板下面扩展,直到液体充分充满模板和基片之间的间隙。随着液体将该间隙充满,可以完成模板对齐标记和基片对齐标记的对齐。
在一些实施方案中,可能需要对模板进行放大率误差矫正,该放大率误差是由前面层的加工中在基片上引起的。当用于前面层的加工中的模板和光刻调整不当时,可能发生放大率误差。在加工期间这些标记或模板可能过大或过小,导致这些层比预期的大或小。典型的这种误差应该在大约1-5nm大小范围。为补偿放大率误差,可以将一个模板调节装置连接到支架上,该支架构造用来在使用时支持模板。可以将模板调节装置构造成在使用时调节模板的大小。通过施加力或改变模板的温度,可以使模板放大或缩小。放大或缩小模板可校正放大率误差。
在完成模板对齐标记和基片对齐标记的对齐之后,可以完成加工。可以将固化光应用到光可固化液体上。固化光引起液体至少部分固化。在液体至少部分固化之后,可以将模板取出,这时固化的液体将包括与蚀刻到模板上的图案互补的结构。
上述对齐方法可用于局部对齐加工或全局对齐加工。局部对齐加工可用于在基片上加工多个半导体装置。在第一半导体装置上形成图案层之后,可以用图案模板形成第二半导体装置的相同图案层。可以将基片移动到一个新的位置以加工第二半导体装置。在局部对齐加工中,在基片移动到一个新位置后,可以使用模板和基片上的对齐标记使模板和基片重新对齐。以这种方式,可以确保生产的每个半导体装置的对齐。
使用在基片上对生产的每个半导体装置分别对齐可能是一个耗时的处理。为了最小化加工延时,可以使用全局对齐处理。全局对齐处理使用初始对齐估算以允许在不进一步对齐的情况下,处理附加装置。在一个实施例中,模板与基片的对齐可以在该基片的第一部分上执行。在模板与基片对齐之后,可以使用上述平版印刷处理形成压花层。为了实现正确的对齐而关于基片对模板进行的定位在这里可以称为“校准定向”。校准定向可以通过着眼于基片的一部分或基片的多个部分来确定。可以确定和记录校准定向。在处理之后,该模板可以被移动(通常称为“步进”)到要处理的基片的下一部分上。基片关于模板的移动可以被十分精确地加以控制,以使得可以精确地确定基片关于模板的位置的变化。在基片移动后,可以确定模板关于基片的方位,并可以与校正定向进行比较。在不必用对齐标记检测模板对齐的情况下,可以改变模板位置来匹配该校准定向。在使用平版印刷加工时,这种方法可以提高加工速度和总生产率。
可以利用电容传感器或激光干涉测量法测量和补偿在处理基片的对各部分期间引起的X-Y定向误差。这些传感器的分辨率可以是亚纳米。该模板的侧面可以覆盖一层薄薄的金属导体,或者反射材料,用于分别执行电容和干涉检测。
在加工半导体装置期间,可以形成多层来创建该装置。每一层覆盖在加工期间先前形成的层上。在某些实施例中,在基片上形成的每一层都可以包括一对齐标记。基片上的该对齐标记可以在安装与压花模板上的结构基础上形成,其中的压花模板将该对齐标记刻印到所形成的层上。在一个实施例中,模板可以包括模板对齐标记可刻印标记。对齐标记可以用于通过将基片对齐标记的方位与模板对齐标记比较,来将模板与基片对齐。刻印标记用于在所形成的层上创建新的基片对齐标记。该新基片对齐标记可以用于在生产附加层期间将模板与基片对齐。
上述实施例的有益效果在于:通过在模板和基片保持一个最佳缝隙的同时测量和校正重叠误差,可以通过消除静摩擦和高粘滞摩擦来实现误差校正。该最佳缝隙高到足以消除静摩擦和高粘滞摩擦,同时,密闭缝隙运动在模板和基片之间所引起的重叠误差能够达到最小。
附图说明
在阅读下列详细描述和参考附图之后,本发明的其它目的和优点会变得更加明显,其中:
图1A和1B描绘模板和基片之间的缝隙的横截面图;
图2A-2E描绘平版印刷处理的横截面图;
图3描绘示出平版印刷处理的步骤序列的处理流程图;
图4描绘压花模板的底视图;
图5描绘定位在基片上的模板的横截面图;
图6描绘利用转移层的平版印刷处理的横截面图;
图7描绘用于形成平版印刷模板的处理的横截面图;
图8描绘压花模板的横截面图;
图9描绘另一个压花模板设计的横截面图;
图10描绘将可校正的流施加到基片处理的顶视图;
图11描绘用于在平版印刷处理期间分发流的设备的示意图;
图12描绘用于平版印刷处理的流分发图案;
图13描绘包括在基片上的多个滴的流图案;
图14描绘用于在平版印刷处理期间分发流的另一设备的示意图;
图15描绘包括多条实际上是平行的线的流图案;
图16描绘基片支持系统的投影图;
图17描绘另一基片支持系统的投影图;
图18是图解弯曲接合点的运动的4连杆机构的示意图;
图19是图解另一弯曲接合点的运动的4连杆机构的示意图;
图20是磁性线性伺服电动机的投影图;
图21是多刻印的全局处理的处理流程图;
图22是多刻印的局部处理的处理流程图;
图23是模板相对于基片的旋转的轴的投影图;
图24描绘定位在图案模板上的测量装置;
图25描绘光学对齐测量装置的概略图;
图26描绘测定使用对齐标记使模板相对于基片对齐的图解;
图27描绘利用偏振滤光器测定使用对齐标记使模板相对于基片对齐的图解;
图28描绘电容模板对齐测量装置的概略图;
图29描绘激光干涉仪对齐测量装置的概略图;
图30描绘在之间具有间隙的模板和基片当其间隙内部分填充有液体时测量它们的对齐的图解;
图31描绘包括多个蚀刻线的对齐标记;
图32描绘定向台的投影图;
图33描绘定向台的分解图;
图34描绘间隙测量技术的处理流程;
图35描绘用于确定两个材料之间的间隙的技术的横截面图;
图36描绘用于确定局部最小和最大间隙的示意图;
图37描绘具有间隙测量凹口的模板;
图38描绘使用干涉仪测量模板和干涉仪之间间隙的概略图;
图39描绘使用探测器棱镜组合探测模板和基片之间间隙的概略图;
图40描绘平版印刷处理的截面图;
图41描绘用于照明模板的处理的概略图;
图42描绘柔性元件的投影图;
图43描绘组装以进行利用的第一和第二柔性元件;
图44描绘定向台的底部的投影图;
图45描绘柔性臂的概略图;
图46描绘一对柔性臂的横截面图;
图47描绘用于基片的偏振的图解;
图48描绘用于固定基片的真空卡盘的各种图;
图49描绘用于在固化后从基片中取出模板的图解;
图50描绘在固化后用于从基片中取出模板的方法的截面图;
图51描绘模板支持系统的概略图;和
图52描绘模板和基片之间的间隙的侧视图。
本发明允许有各种改进和选择形式,其特定实施方案例如由图解形式显示,这里将详细描述。然而,应该理解的是这里的附图和详细描述不是要将本发明限定到这里所述的特定形式,本发明涵盖落在由所附的权利要求书限定的本发明的精神和范围内的所有改进、等同物和替换物。
本发明的详细描述
这里描述的实施方案一般涉及制造小装置的系统、装置和相关处理。更具体说,这里的实施方案涉及平版印刷的系统、装置和相关处理。例如,这些实施方案具有在基片,例如半导体晶片上印刷非常小的特征的用途。应该理解的是这些实施方案还具有其它方面的用途,例如节省成本的微电机系统(或MEMS)。这些实施方案还具有制造其它种类装置,包括,但不限于用于数据储存的图案磁介质、微型光学装置、生物和化学装置、X-线光学装置等。
现在来看附图,特别来看图1A和1B,图中显示了相对于基片20预先放置的模板12的布置,其中的基片20上准备使用平版印刷来印刷所需的特征。具体地说,模板12可包括一个被制造成具有所需特征的形状的表面14,它反过来可以转引到基片20上。在一些实施方案中,转印层18可放在基片20和模板12之间。转印层18可经印刷层16从模板12接受所需的特征。如本领域所共知的,转印层18可使得从低纵横比印刷的特征中获得高纵横比结构(或特征)。
为了平版印刷的目的,重要的是保持模板12和基片20尽可能地彼此靠近并几乎平行。例如,对于大约100nm宽和大约100nm深的特征来说,为了成功进行平版印刷处理,可能需要在基片20的印刷区域上有大约200nm或更小,变化小于大约50nm的平均间隙。这里所给的实施方案提供了一种控制模板12和基片20之间空间的方法,用于在具有如此严格和精确的间隙要求的条件下成功进行平版印刷。
图1A和1B说明了在平版印刷中可能遇到的两种类型的问题。在图1A中,由于模板12在印刷层16的一端与基片20靠近,所以形成一个楔形印刷层16。图1A说明了在图案转印期间保持模板12和基片20充分平行的重要性。图1B显示太厚的印刷层16。这两种情况都很不理想。这里的实施方案提供了能够消除图1A和1B以及现有平版印刷技术中相关的其它定向问题的系统、加工和相关装置。
图2A到2E说明了统一用30代表的平版印刷处理的实施方案。在图2A中,可以在相对于基片20的空间定向模板12,从而在分隔模板12和基片20的空间处形成间隙31。模板12的表面14可以用薄层13处理,薄层13降低模板表面能量并帮助模板12从基片20分离。下面讨论定向的方式和用于控制模板12和基片20之间间隙的装置。下一步,可以用于处理过的表面14的形状一致的物质40填充间隙31。或者,在一个实施方案中,可以在将模板12相对于基片20移动到所需位置之前将物质40分配到基片20上。
物质40可以形成如图1A和1B所示的印刷层16的印刷层。优选物质40可以是液体,从而可以在不需要高温的条件下很容易地填充间隙31,并且可以不需要高压而使间隙靠近。下面将详细讨论关于物质40的适当选择。
可以将固化剂32应用到模板12以引起物质40变硬并确保由间隙31限定的空间的形状。以这种方式,所需的特征44(图2D)可以从模板12转印到基片20的上表面上。也可以将转印层18直接提供到基片20的上表面上。转印层18可以便于从模板12转印的特征放大以产生一个高纵横比的特征。
如图2D所示,可以将模板12从基片20移走而留下所需的特征44。必须使模板12和基片20分隔从而不需要从基片20的表面剪或撕扯而使所需的特征44保持完好。这里的实施方案提供了一种方法和相关的系统,用于在印刷后从基片20剥离或拉出(这里简称为“剥和拉”方法)模板12,从而保持所需的特征44完好无损。
最后,在图2E中,如使用共知的双层阻抗处理,通过转印层18的作用是从模板12转印到基片20的特征44的垂直截面尺寸放大。可以使用已知技术将所得的结构进一步加工以完成制造过程。图3以流程表形式概述了用50统一表示的平版印刷过程的实施方案。首先,在步骤52,可以进行模板和基片的路线定向,从而达到模板和基片的粗略对齐。在步骤52的路线定向的优点在于它可以允许在制造环境中进行预校准,所述制造环境是有许多装置准备以高效并高产率制造的环境。例如,在基片包括半导体晶片上多个模具之一的情况下,在单一生产运行中,一旦在第一模具上进行路线对齐(步骤52),就可以应用到所有其它模具上。以这种方式,生产周期可以缩短并且产率可以增加。
在步骤54,可以将物质分配到基片上。物质可以是光可固化的有机硅溶液,或其它当暴露于活性光时可以变成固体的有机液体。使用液体这一事实可以消除在现有平版印刷技术中所需要的高温和高压。下一步,在步骤56,可以控制模板和基片之间的间隔,从而在两层之间形成相对均一的间隙,以允许在成功印刷中所需的精确定向。这里的实施方案提供了用于步骤56所需的定向(粗糙和精细)的装置和系统。
在步骤58,随着关于基片和物质而精细定向模板,可以使间隙靠近。可以固化该物质(步骤59),使得该物质变硬形成具有模板特征的形状。下面,在步骤60,可以使模板从基片分离,导致印刷在模板上的特征转印到基片上。最后,在步骤62可以使用初步蚀刻以除去残余材料和共知的氧化蚀刻技术以蚀刻转印层来蚀刻结构。
在各种实施方案中,模板上可以与无图案的区域结合,i)模板表面的平面,ii)在模板的凹口,iii)在模板的突出,或iv)上面所述的组合部分。模板上可以形成一个突起,它可以是刚性的。这种突起可以提供一个均匀的间隔层,用于承受颗粒和光学装置,如光栅、全息图等。或者,模板上可以形成能够压缩的突起。
一般来说,模板可以由一个从i)侧面,ii)背面,iii)前面或iv)上述组合经表面接触来支撑它的刚体。模板支持体具有在施加压力的情况下防止其变形或扭曲的优势。在一些实施方案中,可以用反射涂层在模板的某些区域形成涂层。在某些这种实施方案中,在模板的反射涂层处可以形成孔使得光可以穿过或通过模板。这种涂层在利用干涉仪进行模板定位以重叠校正的情况下有用。这种涂层还使得可以用照射通过模板的侧面而不是顶部的固化剂源进行固化。这还可允许在其它事务中在模板支架、间隙探测技术和重叠标记检测系统的设计方面具有弹性。可以在i)法线入射到模板,ii)以一定角度入射到模板,或iii)通过模板的侧表面入射来进行模板的暴露。在某些实施方案中,刚性的模板可以结合有柔性的物质。
可以用光学平版印刷、电子束平版印刷、离子束平版印刷、X线平版印刷、极端紫外线平版印刷、扫描探针平版印刷、聚焦离子束制粉、干涉仪平版印刷、外延生长、薄膜沉积、化学蚀刻、等离子蚀刻、离子制粉、反应离子蚀刻或上述组合来制造模板。可以在具有平面、抛物面、球面或其他表面形态的基片上形成模板。可以与具有平面、抛物面、球面或其他表面形态的基片一起使用模板。基片可以包含有预定的图案形态和/或多材料的膜堆。
在图4描绘的一个实施方案中,模板可包括图案区域401,夹卷通道402和边缘403。模板边缘403可用于将模板固定在模板固定其内。夹卷通道402可构造成夹卷过量液体从而防止它扩散到邻近的图案区域,这在下面将会详细描述。在一些实施方案中,模板的图案区域可以是平整的。这种实施方案可用于平面化基片。
在一些实施方案中,可以用多深度设计来制造模板。这就是说模板的各种特征可以有相对于模板表面的不同深度。例如,夹卷通道402可具有比图案区域401大的深度。这种实施方案的优点在于可以改善探测模板和基片之间间隙的准确度。非常小的间隙可能很难探测(例如小于大约100nm),因此,给模板加上已知深度的步骤可以使间隙的探测更准确。双深度设计的优点在于这种设计使得可以利用标准化模具固定器来固定给定尺寸的、可以包括各种大小模具的印刷模板。双深度设计的第三个优点在于使得可以利用周边区域共顶模板。在这种系统中,具有功能结构的模板和基片界面的所有部分都可以暴露于固化剂之下。如图5所示,具有适当设计的周边区域501的深度的模板500可以与印刷层502、503邻接。另外,印刷模板500的周边区域501可以保持与印刷层503之间安全的垂直距离。
可以使用各种方法制造如上所述的双深度印刷模板。在图6描绘的实施方案中,可以形成具有高分辨率、浅深度模具图案602和低分辨率、高深度周边图案603两者的单个、厚的基片601。在图7描绘的实施方案中,可以形成具有高分辨率、浅深度模具图案701的薄基片702(例如石英晶片)。然后可以从基片702切割模具图案701。然后可以将模具图案701粘合到厚的基片703上,选择尺寸使其适合于印刷机上的印刷模板固定器。这种粘合优选使用具有与模板材料的固化剂(例如UV光)类似的折射指数的粘合剂704来获得。
在图8A、8B和8C中描绘另外的印刷模板的设计,并分别用数字801、802和803代表。模板设计801、802和803的每一个都可以包括一个用于间隙测量和或夹卷过量液体的凹陷区域。
在一个实施方案中,模板可以包括用于基于材料的物理特性以及模板的几何结构控制液体扩散的机构。不会引起基片面积损失的可以容受的过量液体的量可以由各种材料的表面能、液体密度和模板的几何结构来限定。因此,可以使用一个缓解结构以夹卷包围在所需模制或图案区域周围的过量液体。这个区域可以统称为“截口”。截口处的缓解结构可以使用用于构建如上所述的图案或模制缓解结构的标准加工技术凹陷入模板表面。
在传统的光刻中,在光掩膜设计中的光学近端校正。可以将类似的概念应用到微型和毫微模制或平版印刷中。在平版印刷过程中的完全不同在于误差不是由于衍射或光干涉引起的,而是由于在加工过程中可能发生的物理特性的变化所引起的。这些变化可确定在模板的几何形态中的特性或设计的缓解校正的需要。以与用于光学平版印刷中的光学近端校正类似的概念,其中设计了图案缓解结构以适应印刷期间的材料变化(例如皱缩或膨胀)的模板可以消除由于物理特性的这些变化所引起的误差。由于这些物理特性的变化,诸如体积膨胀或收缩,可以调整缓解结构以产生正确的所需的复制特征。例如,图9描绘没有由于材料特性变化形成的印刷901的实施例,和由于材料特性的变化形成的印刷902。在一些实施方案中,具有基本上矩形轮廓的特征的模板904可经受由于在固化期间材料皱缩引起的变形。为了补偿这样的材料皱缩,可以使模板特征具有有角的轮廓905。
对于平版印刷过程,可能涉及到模板的耐用性和其释放特性。耐用的模板可以由硅或二氧化硅基质构成。其它适宜的材料可包括,但不限于碳化硅锗、氮化镓、锗化硅、蓝宝石、砷化镓、外延型硅、聚硅、氧化栅、石英或它们的组合物。模板可以包括用于形成可检测特征,例如对齐标记的材料。例如,可检测特征可以由SiOx形成,其中的x小于2。在一些实施方案中,x可以是大约1.5。认为这种材料可以对可见光不透明,但是对于某些活性光波长透明。
通过实验已经发现经由处理模板以在模板的表面形成薄层可以改善模板的耐用性。例如,可以在表面上形成烷基硅烷、氟代烷基硅烷或氟代烷基三氯硅烷层,特别是可以使用十三氟代-1,1,2,2-四氢辛基三氯代硅烷(C5F13C2SiCl3)。这种处理可以在模板的表面上形成自装配单层(SAM)。
可以优化表面处理过程以产生低表面能涂层。这种涂层可用于制备用于平版印刷中的模板。处理的模板相对于未处理的模板可具有所需的释放特性。例如,新处理的模板可具有的表面自由能λ处理的为大约14达因/厘米。未处理的模板表面可具有的表面自由能λ处理的为大约65达因/厘米。这里描述的处理方法可产生具有高耐用性水平的膜。耐用性是非常需要的,因为它可以导致模板耐受制造设置中的多次印刷。
可以使用液相加工或蒸汽相加工的方法在模板表面上形成涂层。在液相加工中,可以将基片浸入到前体和溶剂的溶液中。在蒸汽相加工中,可以经惰性载体气体转运前体。获得用于液相处理中的纯的无水溶剂可能很困难。处理期间体相中的水可能导致凝块沉积,这可能反过来影响涂层的最终质量或覆盖。在蒸汽相加工的一个实施方案中,可以将模板放置在真空室内,之后可以循环净化真空室以除去过量水。一些可吸收的水可以保留在模板的表面上。可能需要少量的水以完成形成涂层的表面反应。认为反应可以通过如下反应式描述:
为了促进该反应,可以经温度控制盘将模板的温度调整到所需的反应温度。然后将前体送进反应室保留预定的时间。诸如模板温度、前体浓度、流体几何学等反应参数可以根据特定的反应前体和模板基片组合物而定。
如前面提到的,物质40可以是液体,从而使得它可以填充到间隙31的空间内。例如,物质40可以是低粘度液体单体溶液。合适的溶液可以具有大约0.01厘泊到大约100厘泊范围的粘度(在25℃下测定的)。对于高分辨率结构(例如100nm以下)特别需要低粘度。特别是,在50nm以下方案中,溶液的粘度应该在或低于25厘泊,或更优选低于5厘泊(在25℃下测定的)。在一个实施方案中,适当的溶液可以包括50%重量的正丁基丙烯酸盐和50% SIA 0210.0(3-丙烯酰氧丙基三三甲基硅氧烷)硅烷的混合物。可以向该溶液中加入小量的聚合引发剂(例如光引发剂)。例如,3%重量的1∶1 Irg 819和Irg 184,和5%重量的SIB 1402.0是比较合适的。这种混合物的粘度大约是1厘泊。
在一个实施方案中,平版印刷系统可包括用于将液体分配的剂片(例如半导体晶片)的表面的自动化液体分配方法和系统。这种分配方法可使用具有一个或多个延伸的分配端的模块自动化液体分配器。这种分配方法可使用X-Y台以在分配器尖端和基片之间产生相对横向运动。这种分配方法可以消除使用低粘度液体的平版印刷中出现的几个问题。例如,该方法可以消除印刷区域的气泡捕获和局部变形。实施方案还可以提供在将液体扩散到印刷模板和基片之间的整个间隙时,没有不必要的浪费过量液体而获得低印刷压力的方法。
在一个实施方案中,对于1平方英寸印刷面积典型的分配体积可以小于大约130nl(毫微升)。分配后,后面的方法可以包括将模板和基片组件暴露于固化剂下。模板从基片的分离可以将转印的图像留在印刷表面的顶部。转印的图像可位于保留暴露的材料的薄层上。保留层可被称为“基层”。该基层应该薄且均匀以用于可制造的印刷。
印刷加工可包括施加到模板和基片界面的高压和/或高温。然而,为了可以制造包括高分辨率重叠对齐的平版印刷过程的目的,应该避免高压和高温。这里描述的实施方案通过使用低粘度光可固化液体而避免了对高温的需要。另外,通过减少将液体扩散到整个印刷区域所需的挤压力而最小化印刷压力。因此,为了基于液体的平版印刷的目的,液体分配过程应该满足以下特性:
1.在模板和基片之间没有应当捕获的气泡;
2.应该避免分配器尖端和基片之间的直接接触以产生最小化颗粒;
3.应该最小化填充模板和基片之间间隙所需的压力;
4.应该最小化非均匀液体集结和/或压力梯度以减少模板-基片界面的非均匀局部变形;和
5.应该最小化分配的液体的浪费。
在一些实施方案中,可以利用在基于可置换的液体分配器尖端和基片之间的相对运动,以在印刷区域形成具有基本上连续线条的图案。通过平衡分配的速率和相对运动可控制线条的截面尺寸和线条的形状。在分配过程中,分配器尖端可以靠近基片固定(例如在数十微米级)。在图10A和10B中描绘形成线条图案的两种方法。图10A和10B中描绘的线条图案是正弦曲线图案。然而,也可能是其它图案。正如图10A和110B中描绘的,可以使用单一分配器尖端1001或多个分配器尖端1002画出连续线条图案。
分配率Vd和基片的相对横向移动速率Vs可以具有如下关系:
Vd=Vd/td(分配体积/分配时期),    (1)
Vs=L/td(线长度/分配时期),        (2)
Vd=aL(这里“a”是线条图案的截面积), (3)
因此,
Vd=aVs                             (4)
初始线条图案的宽度通常可依赖于分配器的尖端的大小。分配器的尖端可以固定。在一个实施方案中,可以使用液体分配控制器1111(如图11所描绘的)以控制分配的液体的体积(Vd)和分配液体所用的时间(td)。如果Vd和td是固定的,线条长度的增加会导致线条图案的截面高度下降。通过增加周期性图案的空间频率可获得图案长度的增加。降低图案高度可导致在印刷过程中转移的液体量下降。与单一分配尖端的情况相比,通过使用连接到同一分配线的多个尖端,可以更快地形成具有长长度的线条图案。在一个实施方案中,基于液体分配系统的转移可包括:液体容器1101、入口管1102、入口阀1103、出口阀1104、注射器1105、注射器促动器1106、分配器尖端1107、X台促动器1109、Y台促动器1110、分配器控制器1111、XY台控制器1112和主控制计算机1113。基于分配器的适宜的转移可以从Hsmilton公司购买。
图12显示了几个不希望的用于低粘度液体的液体图案或分配方法。这些分配图案可导致一个或多个问题,包括:捕获气泡、局部变形和浪费液体。例如,在印刷区域1201的中心分配一滴液体,或分配不规则线条1205可导致模板和/或基片的局部变形。在环形图案中分配几滴1202,或线条1206可导致气泡的捕获。其它具有几乎靠近环形图案1204的分配图案可类似地导致气泡捕获。同样地,喷雾或随机放置小滴1203也可导致气泡的捕获。用低粘度液体旋转涂敷基片会由于薄膜不稳定而可引起“去湿”问题。去湿会造成在基片上形成多个小液滴,而不是形成薄的均匀的液体层。
在一个实施方案中,液体分配方法可分配之后随着其扩展会形成连续体的多个小液滴。图13描绘使用5滴液体的情况。这里,使用5滴仅仅是为了说明的目的。可以使用这种方法实现其它“开放”的图案诸如正弦线、“W”或“X”。随着模板-基片间隙下降,圆滴1301可变薄变宽,引起周围液滴融合成1302。因此,即使初始分配可以不包括连续形式,扩展的液体会从模板和基片之间的间隙排气。应该以这样的方式分配用于这种方法中的有效图案,即随着小滴的扩展,它们不会捕获模板和基片之间的任何气体。
其体积可以准确指定的小液滴可以使用具有压力支持元件的微型电磁阀来分配。其他类型的液体分配促动器可包括压电促动分配器。与基于转移的液体分配器相比,具有微型电磁阀分配器的系统的优点包括更快的分配时间和更准确的体积控制。这些优点对于大量印刷(例如几个英寸截面)来说尤其需要。图14显示了包括多个微型电磁阀的系统的一个实施例。该系统包括:液体容器1401、入口管1402、入口阀1403、泵1404、出口阀1405、泵控制器1406、微型电磁阀1407、微型电磁阀控制器1408、X-Y台1409、X-Y台控制器1410和主控制计算机1412。基片1411可以放在X-Y台1409上。合适的微型阀分配器系统可以从Lee公司得到。
图15A显示了可以用于大规模(例如,大于几英寸)的印刷区域的分配图案。在这些实施例中,可以分配液体1503的平行线。液体1503的平行线可以按照下列方式扩展:在模板1501接近基片1502时,从缝隙中排出空气。为了按照所希望的方式扩展线1503,模板1501可以以有意楔入的结构(如图15B所示)接近缝隙。即,模板/基片缝隙可以沿着线1503(楔形角可以平行于线1503)接近。
提供分布良好的初始液体层的优点在于可以补偿模板和基片之间的定向误差。这可能是由于液体薄层的流体动力学和定向台的顺应性。模板的下部可以比模板的其它部分早接触分配的液体。随着模板和基片之间间隙变小,模板的下部和上部之间反应力的不平衡增加。这种力的不平衡可能导致模板和基片之间的校正运动,使得它们基本上保持平行。
成功的平版印刷需要模板相对于基片精确地对齐和定向,以控制模板和基片之间的间隙。这里的实施方案可提供在产品制造过程中获得精确的对齐和间隙控制的系统。在一个实施方案中,该系统可包括高分辨率X-Y平移台。在一个实施方案中,该系统可提供用于用于执行模板和基片表面之间初对齐和路线对齐操作的预校准台,以使相对对齐落在精细运动定向台的运动范围内。这个预校准台可已经仅在新的模板安装在仪器(有时称为步进器)的时候才需要。预校准台可以由基板、柔性元件和多个测微计或连接到基板和柔性元件的高分辨率促动器组成。
图16描绘了X-Y平移台在组装结构中的实施方案,并一般用数字1600代表。整个覆盖区可以小于大约20英寸×20英寸,高度可以是大约6英寸(包括晶片卡盘)。这种实施方案可提供大约12英寸的X和Y轴运动平移范围。
图17描绘了X-Y平移台的第二个实施方案,并一般用数字1700代表。为了提供与X-Y平移台1600类似的运动范围,台1700可具有大约29英寸×29英寸,高度大约9.5英寸(包括晶片卡盘)的覆盖区。台1600和1700的差别主要在于附加的联动装置1701被垂直定向,从而为平移台提供附加的负载承重支撑。
X-Y台1600和X-Y台1700都是基于柔性的系统。柔性在精密仪器中被广泛使用,因为它们可提供无摩擦、无颗粒和低维修操作。柔性还可以提供极端高的分辨率。然而,大多数基于柔性的系统具有有限的运动范围(例如几毫米的运动范围)。这里描述的实施方案可具有超过12英寸的运动范围。认为这种台可节省平版印刷应用,特别是在真空下的成本。再者,对于平版印刷技术,印刷力的存在可赋予这里所述的实施方案显著的优势。
一般来说,X-Y台可包括两种类型的元件:促动元件和负载-支撑元件。导螺杆组装机构被广泛应用于定位精确度不是非常重要的因素的情况下。对于高精度应用来说,使用滚珠螺旋组件用作促动和负载-支撑元件。这两种设计都有产生后冲和静摩擦的倾向。再者,需要润滑使得这些设计不能用于真空或对颗粒敏感(例如平版印刷)的用途中。
另外,一些设计可采用空气轴承。空气轴承实际上可消除静摩擦和回擦。但是空气轴承可提供有限的承载能力。另外,空气轴承在真空环境中不适用。
图18显示了基本联动装置1800的部分示意图。链接1 1804和链接3 1805可以具有相同长度。当移动体1801沿X轴移动时,在联动装置1800中的所有接点都以相同的绝对角度旋转。应该注意的是移动范围独立于链接2 1803的长度。由于运动限制,链接2 1803可以与接点1 1806和接点4 1807之间的线保持平行。在联动装置1800中,运动范围lm可以给作:
lm=2d1[cos(θ0max/2)-cos(θ0max/2)]=4d1sin(θ0)sin(αmax/2),  (5)
这里,θ0是当所有的柔性接点都处于它们的平衡状态时接点1 1806的角度,αmax是柔性枢轴的最大旋转范围,d1是链接1和3,1804和1805的长度。如等式(5)所示,对于给定的d1,当θ0=90°时运动范围最大。因此,链接长度可以给定为:
d1=lm/[4sin(αmax/2)         (6)
因此,使用60°的αmax,对于12英寸的运动范围,最小链接长度是6英寸。
图19描绘了类似于联动装置1800的基本联动装置的实施方案,但另外添加了两个圆柱形盘1902。动力学研究显示如果图19的接点2 1904和接点3 1905以相同角度朝相反方向旋转,则台会产生一个沿X轴的纯粹的平移运动。通过在柔性接点2 1904和3 1905处添加一个圆柱形盘1902,得到的滚动接触将会以相反方向旋转链接1 1908和链接2 1906。在一个实施方案中,因为圆柱形盘1902可连接到链接1908和1906上,所以不需要附加的接点或轴承。为了防止盘1902滑脱,可以在两个盘之间应用适当的预负荷。与传统的使用直接驱动机构或轴承的台相比,这里的接触表面可以相对小,并且相对容易维持。注意,尽管没有相对于X-Y台1600和1700描述盘1902,但是盘1902可存在于一些实施方案中。图16中的链接1602和1601可相当于图19中的链接1908和1906。因此,盘1902可以存在于位置1603上(以及图16中没有显示的其它位置)。参考图17,盘1902可以存在于位置1702上(以及图17中没有显示的其它位置)。
关于台1600或1700的促动系统,两个线性伺服电动机(如图20所示,标号2000表示)比较适合。一个线性伺服电动机可伺服各自的平移轴。适当的线性伺服电动机可得自Trilogy系统公司。这种线性伺服电动机的优点在于没有摩擦接触。这种线性伺服电动机的另一个优点在于它们很容易产生大于大约100磅的促动力。在X-Y台EE0,可以通过另外的联动装置1701提供负载-轴承。因此,促动元件可以仅提供在X和Y方向的平移运动控制。应该注意的是在某些实施方案中,低台的促动器可能需要比高台的促动器更有力。在一些实施方案中,激光干涉仪可提供反馈信号,以控制X和Y定位X-Y台。认为激光干涉仪可提供纳米级定位控制。
可以使用激光干涉仪和高分辨率X-Y台(诸如图17中描绘的X-Y台1700)补偿位置误差。如果在模板和基片之间的定向对齐独立于X-Y运动,则对于整个基片晶片(即“全局重叠”)的位置误差仅需补偿一次。如果在模板和基片之间的定向对齐与X-Y运动结合和/或在基片上存在过度局部定位变化,则相对于基片的模板的X-Y位置变化需要补偿(即场对场重叠)。关于重叠对齐部分再次讨论重叠对齐问题。图21和22分别提供全局和场对场重叠误差补偿算法。
在一个实施方案中,通过预校准台(使用促动器自动或使用测微计手动)和精细定向台获得模板和基片的定位,它可以是主动或被动的。这些台中的任何一个或两者都可以包括其他机构,但是优选基于柔性的机构以避免微粒。校准台可以安装在一个框架上,精细定向台可以安装在预校准台上。从而这种实施方案可以形成连续的机构排列。
精细定向台可包括一个或多个被动顺应元件。“被动顺应元件”一般是指它顺应其它元件运动。即,可通过直接或间接接触液体而促发运动。如果精细定向台是被动的,则可以将它设计成在两个定向轴附近有最主要的顺应性。两个定向轴可以正交并且可位于模板的低表面上(如图43所描绘的)。对于正方形模板来说,一般两个正交扭力顺应值应该相同。可以设计精细定向台使得在模板相对于基片不平行时,由于这使得它与液体接触,导致的不均衡液压会迅速校正定向误差,在一个实施方案中,可以最小限度,或不要过度影响校正。再者,如上所述的精细定向台可以保持模板和基片之间基本上平行定向一段足够长的时间,以允许液体固化。
在一个实施方案中,精细定向台可包括一个或多个促动器。例如,压电促动器(如图46所描绘的)可以是适合的。在这种实施方案中,与预校准台连接的精细定向台的有效被动顺应性仍然应该基本上扭曲大约两个定向轴。所有这些结构的几何结构和材料参数与有源元件一起都对有效被动硬度有贡献。例如,压电促动器在张力和压缩性方面也可以是顺应性的。可以合成几何和材料参数以获得关于两个正交定向轴的扭力顺应性。这种合成的简单方法可以是使促动器在精细定向台上沿其促动方向的顺应性高于在台系统中其余部分的结构顺应性。这可以在非平行模板与基片上的液体接触时提供被动自校正能力。再者,应该选择这种顺应性以允许最小化或不过度地迅速校正定向误差。精细定向台可保持模板和基片之间基本平行定向一段足够长的时间,以允许液体固化。
重叠对齐方案可包括对齐误差的测量,之后是补偿这些误差以获得准确的印刷模板的对齐,和在基片上所需的印刷位置。在邻近平版印刷、x线平版印刷和光刻(例如激光干涉仪、电容感测、在掩模和基片上的重叠标记的自动化图像处理等)所用的测量技术经适当改进后可用于平版印刷过程中。
用于平版印刷过程的重叠误差类型可包括位置误差、θ误差、放大率误差和掩模扭曲误差。这里公开的实施方案的优点在于由于该公开的方法在相对低的温度(例如室温)和低压下操作,所以可能不会存在掩模扭曲误差。因此,这些实施方案可能不会诱导明显的扭曲。再者,这些实施方案可使用由相对较厚的基片构成的模板。与掩模由相对较薄的基片构成的其它平版印刷过程相比,这可导致小的多的掩模(或模版)扭曲误差。再者,用于平版印刷过程的模板的整个区域可以对固化剂(例如UV光)透明,这可以最小化由于从固化剂吸收能量而导致的加热。与光刻过程中由于存在金属涂层所致的掩模低表面的很大一部分不透明相比,这种热量的减少会最小化热诱导的扭曲的发生。
位置误差一般称为模版和基片间的X-Y位置误差(即沿X和/或Y轴平移)。θ误差一般可被称为关于Z轴的相对定向误差(即围绕Z轴旋转)。放大率误差一般被称为与模版上的原始图案区域相比印刷区域热和材料诱导的皱缩或膨胀。
在平版印刷过程中,如果在基片上存在过度场对场表面变化,则可能需要频繁执行用于间隙控制目的的模版和对应于图23的角度α和β的基片之间的定向对齐。一般来说,希望的是在印刷区域的变异小于印数特征高度的一半。如果将定向对齐与模版和基片的X-Y轴的定位关联,则可能需要场对场位置误差补偿。然而,这里的定向台的实施方案可以不发生诱导位置误差而执行定向对齐。
使用聚焦透镜系统的光刻过程可定位掩模和基片,使得它可能将两个对齐掩模的图像(一个在掩模上,另一个在基片上)定位在同一聚焦平面上。通过观察这些对齐标记的相对位置可能会诱导对齐误差。在平版印刷过程中,在重叠误差测量期间,模版和基片保持相对小的间隙(在微米或更小级)。因此,重叠误差测量工具需要从不同平面将两个重叠标记聚焦到相同聚焦平面上。这种需要对于具有相对较大特征(例如大约0.5微米)的装置来说可能不严格。然而,对于在100纳米区间内的严格特征来说,应该将两个重叠标记的图像捕获到相同聚焦平面上,以便获得高分辨率重叠误差测量。
因此,用于平版印刷过程的重叠误差测量和误差补偿方法应该满足以下要求:
1.重叠误差测量工具应该能够聚焦不在相同平面上的两个重叠标记;
2.重叠误差校正工具应该能够在模版和基片之间存在液体薄层的情况下相对于X和Y移动模版和基片;
3.重叠误差校正工具应该能够在模版和基片之间存在液体薄层的情况下补偿θ误差;和
4.重叠误差校正工具应该能够补偿放大率误差。
上面提出的第一要求可通过以下步骤来满足:i)上下移动光学成像工具(如美国专利5204739中所述),或ii)使用具有两个不同波长的照射光源。对于这两种方法,特别对于第二种方法,可使用模版和基片之间间隙测量的知识。使用包括宽带干涉仪、激光干涉仪和电容感测器的现有的非接触膜厚度测量工具之一可以测量模板和基片之间的间隙。
图24描述了模板2400、基片2401、液体2403、间隙2405和重叠误差测量工具2402的位置。可根据间隙信息调整测量工具的高度到2406以获得在相同成像平面上的两个重叠标记。为了满足这种方法,需要一个图像存储装置2403。另外,应该使测量装置2402从上到下运动而振动地分离模板和晶片的定位装置。再者,当为了高分辨率重叠对齐而需要模板和基片之间在X-Y方向的扫描运动时,该方法可能不会产生重叠标记的连续图像。因此,该方法可适用于平版印刷过程中相对低分辨率的重叠对齐方案。
图25显示了用于从不同平面将两个对齐标记聚焦到同一聚焦平面的仪器。仪器2500可利用有用作照射光源的具有不同波长的光导致的聚焦长度的变化。仪器2500可包括图像存储装置2503、照明光源(未显示)和聚焦装置2505。通过使用各自光源或使用单个宽带光源和在成像平面和对齐标记之间插入光学带通滤波器,可产生具有不同波长的光。根据模板2501和基片2502之间的间隙,可选择不同组的两个波长以调整聚焦长度。在每个照明下,如图26所示,每个重叠标记可在成像平面上产生两个图像。第一图像2601可以是一个清晰的聚焦图像。第二图像2602可以是模糊图像。为了消除每个模糊图像,可使用几种方法。
在第一种方法中,在用第一波长的光照射的情况下,两个图像可被图像阵列(例如CCD阵列)接收。在图26中描绘了可被接收的图像,一般被用数字2604代表。图像2602可对应于基片上的重叠对齐标记。图像2601可对应于模板上的重叠对齐标记。当图像2602被聚焦时,图像2601会模糊,反之亦然。在一个实施方案中,可使用图像处理技术抹去对应于与图像2602相关的像素的几何数据。因此,可以消除基片标记的模糊图像,留下图图2603。使用相同程序和第二波长的光,可以在图像阵列上形成图像2605和2606。该程序可消除模糊图像2606。因此,可保留图像2605。然后两个保留的聚焦图像2601和2605可结合在单一图像平面2603上用于重叠误差测量。
第二种方法可利用如图27所描绘的两个共面的偏振阵列,和偏振的照明光源。图27照射重叠标记2701和正交偏振阵列2702。可以在模板表面上形成偏振阵列2702或可以将它放在模板表面上。在两个偏振的照射光源下,只有聚焦的图像2703(各对应于不同波长和偏振)才会出现在图像平面上。因此,通过偏振阵列2702可以滤掉模糊图像。这种方法的优点在于它不需要图像处理技术来消除模糊图像。
应该注意的是,如果在重叠测量期间模板和基片之间的间隙过小,由于液体薄层的静摩擦或增加的剪切力,使得误差校正变得很困难。另外,如果间隙过大,则模板和基片之间的非理想垂直运动会引起重叠误差。因此,应该确定模板和基片之间的可执行重叠误差测量和校正的最佳间隙。
基于波纹图案的重叠测量已经被用于光学平版印刷过程。对于平版印刷过程,在波纹图案的两层不在同一平面但仍然在图像阵列上重叠的情况,可能很难达到获取两个各自聚焦的图像。然而,仔细控制模板和基片之间的间隙在光学测量工具的聚焦深度内,并使模板和基片不直接接触,可同时获得波纹图案的两层,并且聚焦问题最少。认为基于波纹图案的其它标准重叠方案可直接用于平版印刷过程中。
使用电容感应器或激光干涉仪以及高分辨率的X-Y台可补偿位置误差。在一个实施方案中,其中在模板和基片之间的定向对齐独立于X-Y的运动,对于整个基片(例如半导体晶片)来说位置误差仅需要补偿一次。这种方法可被称为“全局重叠”。如果模板和基片之间的定向对齐与X-Y运动关联,并且在基片上存在过度局部定向变化,可以使用电容感应器和/或激光干涉仪补偿模板的X-Y位置变化。这种方法可被称为“场对场重叠”。图28和29描绘了适当的感应器工具。图28描绘了电容感应系统。电容感应系统可包括在模板2803上的电容感应器2801、导电涂层2802。因此,通过感应电容之间的差值,可以确定模板2803的位置。类似地,图29描绘了包括反射涂层2901、激光信号2902、接收器2903的激光干涉仪系统的实施方案。由接收器2903接收到的激光信号可用来确定模板2904的位置。
至于放大率误差,如果存在的话,可通过仔细地控制基片和模板之间的温度来补偿。利用基片和模板的热膨胀特性的差异,可以将基片上预存在图案区域的大小调整到与新模板上的一致。然而,认为当在室温和低压条件下进行平版印刷过程时,放大率误差会比位置误差或θ误差的幅度小的多。
利用已经被广泛用于光刻过程的θ台可补偿θ误差。可通过使用两个被隔开很大距离的分离的对齐标记可补偿θ误差,已提供高分辨率的θ误差估计。当模板被定位在距离基片几微米的位置时可补偿θ误差。因此不会发生现有图案的剪切。
使用UV固化光材料的平版印刷过程中重叠对齐所涉及的问题是对齐标记的可见性问题。对于重叠误差测量,可以使用一个在模板上,另一个在基片上的两个重叠标记。然而,由于希望模板对固化剂透明,因此模板重叠标记一般不包括不透明的线。更恰当的是,模板对齐标记可以是模板表面上的地形学特征。在一些实施方案中,标记可以由与模板相同的材料制成。另外,UV可固化液体倾向于具有与模板材料(例如石英)相似的折射指数。因此,当UV可固化液体填充到模板和基片之间的间隙时,模板重叠标记可变的非常难以辨认。如果模板重叠标记是由不透明材料(例如铬)构成的,在重叠标记下面的UV可固化液体就不能适当地暴露于UV光下,这是非常不希望的。
公开两种方法用于克服在液体存在的条件下识别模板重叠标记的问题。第一种方法使用准确的液体分配系统和高分辨率间隙控制台。下面描述合适的液体分配系统和高分辨率间隙控制台。为了说明之目的,图30描绘了重叠对齐的三个步骤。图30描绘的重叠标记的位置和液体的图案仅仅是为了说明的目的,而不应该认为是对本发明的限制。各种其它重叠标记、重叠标记位置和/或液体分配图案也是可以的。首先,在步骤3001,可以将液体3003分配到片基片3002上。然后,在步骤3004,使用高分辨率定向台可以仔细地控制模板3005和基片3002之间的间隙,使得分配的液体3003不会完全填满模板和基片之间的间隙。认为在步骤3004,该间隙仅仅比最终的印刷间隙略微大一点。由于大部分间隙被液体充满,好像该间隙已经完全被液体充满一样,可以执行重叠校正。在完成重叠校正后,该间隙接近于最终印刷间隙(步骤3006)。这可以使得液体扩展到剩余的印刷区域。由于该间隙在步骤3004和步骤3006之间的变化可以很小(例如大约10纳米),接近运动的间隙不可能引起任何显著的重叠误差。
第二种方法可以是在模板上制造特殊的重叠标记,它可以被重叠测量工具看见,但对固化剂(例如UV光)不会不透光。图31显示了这种方法的一个实施方案。在图31中,在模板上的重叠标记3102可以形成精细的偏振线3101而不是完全不透明的线。例如,适合的精细偏振线可具有用作固化剂的活性光的波长的大约1/2到1/4的宽度。偏振线3101的线宽应该足够小,使得穿过两条线之间的活性光充分衍射以引起线条下的所有液体固化。在这种实施方案中,可根据重叠标记3102的偏振来使活性光偏振。偏振活性光可对包括具有重叠标记3102的区域的所有模板区域提供均匀的曝光。用于定位模板上的重叠标记3102的光可以是宽带光或可不固化液体材料的特殊波长的光。这种光不需要偏振。偏振的线3101可以对测量光完全不透明,这样使得利用已有的重叠误差测量工具显现重叠标记。可使用现有技术,例如电子束平版印刷在模板上形成精细偏振的重叠标记。
在第三个实施方案中,重叠标记可以用不同于模板的材料形成。例如,选择的形成模板重叠标记的材料可基本上对可见光不透明,但是对用作固化剂的活性光(例如UV光)透明。例如,其中X小于2的SiOx可形成这种材料。具体地说,认为其x是大约1.5的SiOx形成的结构可基本上对可见光不透明,但对UV光透明。
图32描绘了一个用100代表的系统的组件,它用于关于诸如基片20的有待印刷的基片校准和定向诸如模版12的模板。系统100可用于机器中,例如步进器中,用于使用这里描述的平版印刷方法在生产环境中批量制造装置。如图所示,系统100可以安装在顶部框架110上,顶部框架110可对机架120提供支持。机架120可包括用于关于基片路线对齐模版150的预校准台(图32未显示)。
机架120可以用附着在机架120对面的中部框架114上的导轴112a连接到中部框架114。在一个实施方案中,可以使用三个导轴(图32中未显示背导轴),用以在模版150的垂直平移期间支撑上下滑动的机架120。连接到中部框架114周围的相应导轴112a和112b上的滑动器116a和116b可促进机架120的上下运动。
系统100可包括连接到机架120底部的盘形底座122。底座122可连接到盘形柔性环124上。柔性环124可支撑包括第一柔性元件126和第二柔性元件128的下部放置的定向台。下面将详细描述柔性元件126和128的操作和结构。如图33所示,第二柔性元件128可包括模板支架130,支架130用于在印刷过程中将模板150固定在位置上。典型地,模板150可包括其上印有所希望的特征的一片石英。模板150还可以根据已知的方法包括其它物质。
如图33所示,促动器134a、134b和134c可固定在机架120内,并可操作地连接到底座122和柔性环124上。在操作中,可以控制促动器134a、134b和134c以获得柔性环124的运动。促动器的运动可进行粗略的预调节。在一些实施方案中,促动器134a、134b和134c可包括高分辨率促动器。在这种实施方案中,可围绕机架120等距离间隔促动器。这样的实施方案可允许在垂直方向上非常精确地平移环124以准确地控制间隙。因此,系统100能够获得待印刷的基片和模板150之间的粗定向对齐和精确控制之间的间隙。
系统100可包括能够精确控制模板150从而能够获得模板与基片之间精确的定向对齐和保持均匀的间隙的机构。另外,系统100可提供在印刷后不用从基片表面剪下特征而将模板150从基片的表面分离的方法。通过第一和第二柔性元件126和128的结构可分别促进精确的对齐和间隙控制。
在一个实施方案中,可使用对固化剂透明的分离的、固定托板5101将模板5102固定在原位,如图51所示。模板5102后面的托板5101可支撑印刷力,而在固定托板5101和模板5102之间施加的真空可支撑分离力。为了支撑模板5102的侧力,可使用压电促动器5103。通过使用压电促动器5103可谨慎控制横向支撑力。这种设计也可以提供在平版印刷过程中用于层对层对齐的放大率和扭曲校正能力。扭曲校正对于克服在电子束平版印刷中构造的模板结构中存在的压接和位置误差,以及补偿存在于基片上早先的结构的扭曲来说很重要。放大率校正可仅需要在模板的两边各有一个压电促动器(即,对于四边的模板来说总共需要4个压电促动器)。促动器可以以这样的方式连接到模板表面,即均匀的力可以施加到整个表面。另一方面,扭曲校正可需要几个独立的压电促动器,它可以在模板的各边施加独立控制的力。根据所需要的扭曲控制的水平,可以指定独立的压电促动器的数目。压电促动器越多,对扭曲提供的控制越好。应该在使用真空之前完成放大率和扭曲误差的校正,以限制模板的顶表面。这是由于只有在模板的顶和底表面都没有被限制的情况下,才可以恰当地控制放大率和扭曲校正。在一些实施方案中,图51的模板固定器系统可具有引起固化剂对模板5102之下的面积部分阻挡的机构设计。这是不希望的,因为模板5102下方的液体部分不能固化。这种液体会粘住模板,使模板在下次利用时出现问题。通过给模板固定器配置一套镜子以用一种方式偏移受阻挡的固化剂来解决这个模板固定器的问题,即朝向模板5102一个边缘下方的区域的固化剂可以弯曲以固化模板5102其它边缘下方的受阻挡部分。
在一个实施方案中,通过设计模板,使得基片和模板之间的最小间隙落在可使用感测技术的范围内,可获得高分辨率间隙感测。可以独立于实际图案表面操作这个测量的间隙。这使得可以在感测技术的有用范围内执行间隙控制。例如,如果将使用有用感测范围在大约150纳米到20微米之间的光谱反射性分析技术来分析该间隙,则模板可具有形成在模板上的具有大约150纳米深度或更大的特征。这可保证将被感测到的最小间隙大于150纳米。
由于模板被朝向基片降低,基片和模板之间的液体会被排出。当粘着力接近施加的压缩力的平衡条件时,即片和模板之间的间隙会接近实际底限。这在模板的表面接近基片时可能发生。例如,当14KPa的力在一秒内施加到半径为1厘米的模板上时,该方案中对于1cP液体的间隙高度大约为100纳米。结果,该间隙可以自我限制,以保持一个均匀并平行的间隙。同样,可以排出(或夹卷)能清楚预测量的液体。根据仔细的液体动力学和表面现象计算可预测夹卷的液体的体积。
为了规模化生产印刷图案,需要控制模板相对于基片之间的倾度和间隙。为了完成定向和间隙控制,可以使用以标线制造技术生产的模板与诸如以下的间隙感测技术结合,i)单波长干涉仪,ii)多波长干涉仪,iii)椭圆光度法,iv)电容感测器或v)压力感测器。
在一个实施方案中,可以使用一种检测模板和基片之间间隙的方法来计算基片上的膜厚度。这里公开一种根据从宽带分光计获得的反射数据的快速傅立叶变换(FFT)的技术的描述。该技术可用于测量模板和基片之间的间隙,以及测量膜的厚度。对于多层膜,该技术可以提供每层薄膜的平均厚度和它的厚度变化。同样,通过其中一个表面上三个不同点的最小间隙的测量可获得诸如用于平版印刷过程的模板-基片这样的两个靠近的表面之间的的平均间隙和定向信息。
在一个实施方案中,间隙测量过程也可根据宽带干涉仪和快速傅立叶(FFT)变换的组合。在目前工业上的几种用途中使用各种用于宽带干涉仪的曲线拟合技术来测量单层膜厚度。然而,期望这种技术不提供实时间隙测量,特别是在用于平版印刷过程的多层膜的情况下。为了克服这类问题,在1/λ和1/λ之间的波数区域可以将第一反射指数数字化。然后,可以使用FFT算法处理该数字化数据。这种新的方法可产生准确对应于测量的间隙的FFT信号的清晰的峰。在两层的情况下,FFT信号可产生与每层厚度线性相关的清晰的峰。
对于光学薄膜,波数(w)而不是波长(λ)的反射性波动是周期性的,诸如以下面的等式显示的单层光学薄膜的反射性: R = ρ 1,2 2 + ρ 2,3 2 e - 2 αd - 2 ρ 1,2 ρ 2,3 e - αd cos ( 4 πnd / λ ) 1 - ( ρ 1,2 ρ 2,3 ) 2 e - 2 αd + 2 ρ 1,2 ρ 2,3 e - αd cos ( 4 πnd / λ ) , - - - - ( 7 )
其中,ρi,i+1是在i-1和i界面的反射性系数,n是折射指数,d是要测量的膜的厚度(图52的材料2),α是膜的吸光度系数(图52的材料2)。这里,w=1/λ。
由于这个特性,傅立叶分析可以是确定根据w代表的函数R的周期。应该注意,对于单层薄膜,当获得R(w)的傅立叶变换时,可得到清晰界定的单峰(p1)。膜厚度(d)可以是这个峰的位置的函数,诸如:
d=p1(Δw×2n),
其中,Δw=wf-ws;wf=1/λ最小值和ws=1/λ最大值        (8)
FFT是已知的技术,它的离散信号的频率可以以计算机效率的方式来计算。因此,这种技术可用于原地分析和实时应用。图34描绘了经反射性信号的FFT处理来测量薄膜厚度或间隙的处理流程。对于具有不同反射指数的多层膜来说,FFT处理中峰的位置可对应于每一层膜厚度的线性组合。例如,两层膜可导致在FFT分析中两个不同的峰位置。图35描绘了根据两个峰位置确定两层膜的厚度的方法。
这里提供的实施方案可以在甚至于测量波数范围内反射性数据的波动包括小于一个完整的周期的情况下也可以进行间隙或膜厚度的测量。在这种情况下,FFT会导致不正确的峰位置。为了克服这类问题和延伸可测量的膜厚度的下限,这里公开一种新方法。不使用FFT算法来计算波动的周期,而是使用发现ws和wf之间反射性的局部最小点(w1)或最大点(w2)的算法来计算周期信息:在w1和w2,dR/dw=0。等式7的反射性R(w)在w=0是具有最大值。再者,典型的分光计的波数范围(Δw)可以大于Ws。对于具有200nm-800nm波长范围的分光计来说,Δw=3/800,而ws=1/800。因此,在0-ws之间的反射性数据的波动长度可以小于Δw。如图36所描绘的,在Δw范围内可以有两种最小值和最大值的位置情况,假设w=0时R(w)的最大点。因此,可以如下计算膜厚度:
●情况1 WW0:在w1存在局部最小点。因此,w1=周期波动的一半,因此,d=0.5/(w1×2n)。
●情况2 WW1:在w2存在局部最大点。因此,w2=周期波动的一个周期,因此,d=1/(w2×2n)。
测量工具的实际结构可包括宽带光源、具有光纤的分光计、数据获取板和处理计算机。几种现有的信号处理技术可改善FFT数据的敏感度。例如,包括但不限于:滤光、放大、增加数据点数和波长的不同范围等的这些技术可用于这里公开的间隙和膜厚度测量方法。
这里公开的实施方案包括在两个片之间(例如模板和基片)的精确的间隙和定向测量方法。这里提供的间隙和定向测量方法包括使用宽带干涉仪和基于条纹的干涉仪。在一个实施方案中,这里公开的使用宽带干涉仪方法可克服宽带干涉仪的缺点,即无法准确测量小于平均宽带信号波长的大约1/4的间隙的测量。基于条纹的干涉仪条纹可用于感测在安装上模板之后的定向误差。
平版印刷技术可用于制造单和多层装置。单层装置,诸如微型光镜、高分辨率滤光镜、通过在基片上的某一几何形状上形成单层材料来制造光导设备。一些这样的装置的印刷层的厚度可小于宽带信号平均波长的1/4,并可以均匀地形成在整个活性区域。这种宽带干涉仪的缺点在于它不能准确地测量小于宽带信号平均波长1/4(即大约180nm)的间隙。在一个实施方案中,可以将能够准确测量的微米大小的阶距蚀刻到模板的表面。如图37所描绘的,可以能够进行测量的连续线或多个分离点3702的形式蚀刻阶距。从最大化模板上有用的活性面积的观点来看优选分离点3702。当有图案的模板表面距离基片仅几纳米时,宽带干涉仪可以准确地测量间隙而不会遇到最小间隙测量的问题。
图38描绘了这里所述的间隙测量的概略图。探针3801也可用于诸如图39所示的倾斜结构中。如果使用多于三个探针,通过使用这种丰富的信息可改善间隙测量的准确率。为了方便起见,下面的描述假设使用三个探针。为了说明的目的放大了阶距尺寸hsAC2。在图案区域的平均间隙hp可以为:
hp=[h1+h2+h3]/3]-hs                        (9)
当探针的位置是已知的((xi,yi),其中x和y轴在基片表面上),模板与基片的相对定向可表示为的单位矢量(n),它垂直于关于其x-y轴位于基片的顶表面的框架的模板表面。
n=r/‖r‖,                                (10)
这里,r=[(x3,y3,h3)-(x1,y1,h1)]×[(x2,y2,h2)-(x1,y1,h1)。当n=(001)T,或h1=h2=h3时,可获得两片之间完美的定向对齐。
测量的间隙和定向可用作印刷促动器的反馈信息。测量宽带干涉计光束的大小可以小至75微米。对于实际平版印刷过程,需要仅用于测量间隙的清晰区域最小化,因为没有图案可被蚀刻到该清晰区域。再者,应该使由于测量工具的存在而导致的对固化剂的阻挡最小化。
图40描绘了在基片上的多层材料的方案。例如,基片4001具有层4002和4003,以及在基片4001和模板4004之间的液体4005。这些材料层可被用来向基片表面垂直地一个接一个地转印多个图案。在使用光束4006进行间隙测量的清晰区域的每层厚度可以均一。已经显示使用宽带干涉仪,在存在多层模的情况下可准确地测量顶层的厚度。当准确知道底层膜的光学特性和厚度后,通过测量顶层厚度可获得模板和基片表面(或用于多层装置的金属沉积表面)之间的间隙和定向信息。使用相同的感测探针可以测量每一层的厚度。
当安装新的模板或重新构建机器零件时,需要进行定向测量和相应的校准。经如图41所示的模板和基片界面处的干涉条纹图案可测量模板4102和基片4103之间的定向误差。对于两个光学片,干涉条纹图案可显示为平行的暗和亮带4101。使用这里所述的预校准台可进行定向校准。可利用差式测微计来调整模板和基片表面之间的相对定向。使用这种方法,如果没有干涉条纹带存在,则可以将定向误差校正到小于所用光源波长的1/4。
参考图42A和42B,其分别详细描述了第一和第二柔性元件126和128的实施例。具体地说,第一柔性元件可以包括与相应的刚性体164、166相连接的多个柔性接点160。柔性接点160以及刚性体164和166可以形成从框架170延伸出来的臂部172、174。柔性框架170可以有一开口182当其固定在支架130上时,可允许固化剂(例如UV光)透过到达模板150。在一些实施方案中,四个(4)柔性接点160可使得柔性元件126围绕第一定向轴180运动。第一柔性元件126的框架170可配置一个连接机构,用于与第二柔性元件128连接,如图43所示。
同样,第二柔性元件128包括一对从框架206延伸的臂202和204。臂202和204可包括柔性接点162和相应的刚性体208和210。刚性体208和210适于引起柔性元件128围绕第二定向轴200运动。模板支架130可以与第二柔性元件128的框架206形成一整体。如框架182一样,框架206当固定到支架130上时也可以有开口212,用于使得固化光透过到达模板150。
在操作中,第一柔性元件126和第二柔性元件128可以如图43所示的那样连接,以形成定向台。可以配置支柱220和222,以便利于两片的连接,使得第一定型轴180和第二定向轴200基本上彼此垂直。在这样的结构中,第一定向轴180和第二定向轴可以在接近模板基片界面254处的支点252交叉。第一定向轴180和第二定向轴200正交并位于界面254这一事实可提供精细的对齐和间隙控制。特别是以这种配置,可获得从层对层重叠对齐的定向对齐的退耦。再者,如下面简要解释的,第一定向轴180和第二定向轴200的相对位置可提供定向台250,用于在没有对所要的特征形成剪切的情况下从基片上将模板150分离。因此,从模板150上转印的特征可以完整地保留在基片上。
参考图42A,42B和43,柔性接点160和162可以是一个槽口形状,用于提供刚性体164,166,208和210围绕枢轴运动,所述枢轴位于槽口的最薄截面上。这种结构可提供两个(2)基于柔性的亚系统,用于具有退耦顺应运动轴180和200的精细退耦定向台250。柔性元件126和128可经表面紧密配合而组装,使得模板150的运动可围绕支点252发生,基本上消除了可引起从基片上剪切印刷的特征的“摇摆”和其他运动。因此,定向台250可精确地移动模板150围绕支点252运动。从而消除在平版印刷后从基片上剪切所需的特征。
参考图44,在操作系统100期间,无需提供定向对齐,Z平移台(未显示)可控制模板150和基片之间的距离。预校准台260可执行模板150和基片表面之间的初步对齐操作,以在定向台250的运动限内相对对齐。在某些实施方案中,只有在将新模板安装在机器中的情况下才需要预校准台。
参考图45,图中描绘了一般用300代表的柔性模型,用于理解诸如定向台250这种精细退耦定向台的操作原理。柔性模型300可包括四个(4)平行接点:接点1、2、3和4,用于在它的法向和旋转结构中提供四-棒-连接系统。线310可穿过接点1和2。线312可穿过接点3和4。可选择角α1和α2,使得顺应对齐轴(或定向轴)基本上位于模板-晶片界面254上。为了精细定向变化,位于接点2和3之间的刚性体314可围绕由点C表示的轴旋转。刚性体314可以是柔性元件126和128的刚性体170和206的典型代表。
垂直于第一柔性元件(如图43所示)安装第二柔性元件可提供具有两个退耦定向轴的装置,所述两个轴彼此正交并位于模板-基片界面254上。柔性元件适于具有一个开口,用于使固化剂(例如UV光)穿过模板150。
定向台250能够相对于基片精细对齐和精确运动模板150。理想的是,定向调整可导致在界面上忽略横向运动,并在与界面表面正交的周围忽略扭曲运动,这是由于选择性限制高结构硬度的原因。具有柔性接点160和162的柔性元件126和128另一个优点在于它们不会像在一般摩擦接点处一样产生颗粒。这在成功进行平版印刷过程中是一个重要的因素,因为颗粒对这种过程特别有害。
由于需要精细间隙控制,这里提供的实施方案可需要能够测量模板和基片之间小至500纳米或更小数量级的小间隙的间隙感测方法。这种间隙感测方法可需要大约50纳米或更小的分辨率。理想的是,可实时提供这种间隙感测。实时提供间隙感测可使得要使用的间隙感测产生反馈信号以主动地控制促动器。
在一个实施方案中,可提供具有主动顺应性的柔性元件。例如,图46描绘了一个总的用400表示的包括压电促动器的柔性元件。柔性元件400可以与第二柔性元件结合以形成主动定向台。柔性元件400可以在模板和基片之间产生纯粹的倾斜运动,而没有横向运动。使用这种柔性元件,单一重叠对齐步骤可允许在整个半导体晶片上进行层的印刷。这与没有定向和横向运动之间的耦合运动的重叠对齐相反。这种重叠对齐步骤会导致在X-Y对齐中的搅乱,从而需要复杂的场对场重叠控制环路以确保适当的对齐。
在一个实施方案中,柔性元件250可以在需要侧向运动或旋转运动的方向上具有高硬度,而在需要定向运动的方向上具有低硬度。这种实施方案可配置一个选择性顺应装置。即,在获得模板和基片之间适当的定向运动力后,柔性元件250可支撑相对高的负载。
使用平版印刷,需要维持在两个几乎平整的表面(即模板和基片)之间均匀的间隙。可使用电子束平版印刷由光学平板玻璃制造模板150,以确保其底部充分地平整。然而,基片(例如半导体晶片)可呈现导致在其顶部微小规模变化的“炸土豆片”效应。真空卡盘(如图47所示)可消除在印刷期间可发生的基片表面的变化。
真空卡盘478可具有两个主要目的。首先,可利用真空卡盘478在印刷期间将基片固定在原位上,并确保在此过程中在基片的背面没有颗粒存在。这对于平版印刷来说相当重要,因为颗粒会产生毁坏装置和降低产量的问题。图48A和48B显示了适于这两个实施方案中这些目的的真空卡盘的变体。
在图48A中,显示了针型真空卡盘450,它具有大量的针452。认为这种真空卡盘450可消除“炸土豆片”效应,以及在印刷过程中基片上的其它偏转。可配置真空通道454作为将真空应用到基片上以保持它在原位的装置。可保持针452之间的空间,使得基片不会因通过真空道454施加的力而充分地弓起。同时,针452的尖端应该足够小,以减少颗粒落在其尖端上的机会。
图48B显示了槽型真空卡盘460,在其表面上具有许多槽462。槽462可执行针型卡盘450的针454相类似的功能。如图所示,槽462可采取或者壁形464或者是光滑的弯曲截面466。可以通过蚀刻加工来调整用于槽形真空卡盘462的槽462的截面。同样,每个槽的空间和尺寸应该小至几百微米。可以提供每个槽462的真空流穿过平行于卡盘表面的多个槽的精细真空道。可以用蚀刻加工沿着槽形成这种精细真空道。
图47显示了用于形成针形真空卡盘450和槽形真空卡盘460的两种制造过程。使用光学平板470,这个过程不需要额外的研磨和/或抛光步骤。在光学平板470的确定位置钻孔可产生用于真空流的孔472。然后在蚀刻476之前光学平板470可被掩盖和形成图案474,以在光学平板的上表面上产生所需的特征(例如针或槽)。然后可使用已知技术处理479光学平板的表面470。
如上所讨论的,在平版印刷过程中,从印刷层上分离模板150是一个关键、最后的步骤。由于模板150和基片几乎完全平行,模板、印刷层和基片的组合在靠近光学平面处基本上均匀地接触。这种系统通常需要很大的分离力。在柔性模板或基片的情况下,分离可能仅仅是“剥离过程”。然而,从高分辨率重叠对齐的观点来看,柔性模板或基片可能不是很理想。在石英模板和硅基片的情况下,剥离过程不是很容易完成。然而,通过“剥和拉”过程可以成功地进行模板从印刷层上的分离。在图49A、49B和49C中显示了第一剥和拉过程。在图50A、50B和50C中显示了第二剥拉过程。从印刷层上分离模板的过程可包括第一和第二剥拉的过程的组合。
为了清楚起见,根据图1A和1B,标号12、18、20和40分别代表模板、转印层、基片和可固化物质。在物质40的固化后,模板12或基片20有意地倾斜,以在模板12和基片20之间形成一个角度500。定向台250可用于这个目的。基片20被真空卡盘478固定在原位。如果倾斜轴的位置靠近模板-基片界面,在倾斜运动期间模板12和基片20之间的相对横向运动会不明显。一旦模板12和基片20之间的角度500足够大,仅使用Z轴运动(即垂直运动)就可以将模板12从基片20上分离。这种剥和拉的方法可导致所需的特征44没有不希望的剪切作用而完整地保留在转印层18和基片20上。
图50A、50B和50C显示了第二剥和拉方法。在第二剥和拉方法中,靠近模板可安装一个或多个压电促动器502。这一个或多个压电促动器502可用来诱导模板12和基片20之间的相对倾斜(图50A)。压电促动器502的一端可以与基片20接触。因此,如果促动器502增大(图50B),模板12就会从基片20被推开。从而在它们两者之间产生角度。然后模板12和基片20之间的Z轴运动(图50C)可用来使模板12从基片20上分离。促动器502的一端可以经过与模板12的低表面类似的表面处理,以防止印刷层粘着到促动器的表面上。
总之,这里提供的实施方案公开了不需要高温或高压而成功地用于平版印刷中的系统、过程和相关装置。使用某些实施方案,可以获得在模板和基片之间间隙的精确控制,其中的基片上准备转印模板上的所需特征。还有,不需要破坏或剪切所需特征而可以将模板从基片(和印刷层)上分离。这里的实施方案还公开了一种方法,用于以适当的真空卡盘的形式在平版印刷中将基片固定在原位。其它的实施方案包括适于平版印刷系统中的高精度X-Y平移台。另外,还提供了适于平版印刷模板的形成和处理方法。
本发明参考各种示例性实施方案进行了描述,这些描述不应该理解为对本发明的限制。本领域技术人员在参考本说明书的情况下很明显可以对示意性实施方案以及本发明的其它实施方案进行改进和组合。因此,所附的权利要求包括这些改进或实施方案。

Claims (190)

1.一种使用对固化光基本上透明的有图案的模板在基片上形成图案的方法,其特征在于,包括:
将光可固化液体应用到基片上,其中光可固化液体在固化光存在的情况下可固化;
定位有图案的模板和基片,使得有图案的模板接触至少置于基片上的液体部分,其中有图案的模板包括模板对齐标记,基片包括基片对齐标记;
相对于基片对齐标记确定模板对齐标记的对齐;
相对于基片调整有图案的模板的重叠位置,使得模板对齐标记和基片对齐标记充分地对齐;
调整有图案的模板和基片之间的空间,使得应用的液体充分地填满有图案的模板和基片之间的间隙,并且其中的间隙基本上均匀;
应用固化光通过模板到达液体,其中固化光的应用充分地固化该液体,并且其中有图案的模板的图案在液体的固化中形成;和
从固化液体上分离有图案的模板。
2.如权利要求1的方法,其特征在于,的模板对齐标记包括蚀刻到模板上的图案。
3.如权利要求1的方法,其特征在于,有图案模板的第一部分接触位于基片上的液体,有图案模板的第二部分不与液体接触,其中模板对齐标记位于有图案模板的第二部分上。
4.如权利要求1的方法,其特征在于,有图案模板的第一部分接触位于基片上的液体,有图案模板的第二部分不与液体接触,其中模板对齐标记位于有图案模板的第二部分上,进一步包括在调整重叠位置后重新定位有图案模板和基片,使得有图案模板的第二部分与液体接触。
5.如权利要求1的方法,其特征在于,确定对齐包括:
施加第一波长的光穿过有图案模板,其中第一波长的光相对于分析工具引起基片对齐标记聚焦和模板对齐标记模糊;和
应用第二波长的光穿过有图案的模板,其中第二波长的光相对于分析工具引起模板对齐标记聚焦和基片对齐标记模糊。
6.如权利要求1的方法,其特征在于,确定对齐包括使用偏振光对齐工具,还包括将偏振滤光系统置于偏振光对齐工具和有图案的模板之间,其中偏振滤光系统包括基本上朝向基片对齐标记的第一偏振滤光镜,和基本上朝向模板对齐标记的第二偏振滤光镜,其中能够穿过第一偏振滤光镜的光的偏振完全不同于能够穿过第二偏振滤光镜的光的偏振。
7.如权利要求1的方法,其特征在于,确定对齐包括使用波纹图案检测器。
8.如权利要求1的方法,其特征在于,模板对齐标记和基片对齐标记包括几何对称形状,其中确定对齐标记的对齐包括确定基片和模板对齐标记的中心,比较模板对齐标记的中心位置和基片对齐标记的中心位置。
9.如权利要求1的方法,其特征在于,调整重叠位置包括移动基片使得模板对齐标记基本上与基片对齐标记对齐。
10.如权利要求1的方法,其特征在于,调整重叠位置包括改变有图案模板相对于基片之间的角度。
11.如权利要求1的方法,其特征在于,调整重叠位置包括改变有图案模板的体积。
12.如权利要求1的方法,其特征在于,调整重叠位置包括通过改变有图案模板的温度来改变有图案模板的尺寸。
13.如权利要求1的方法,其特征在于,调整重叠位置包括通过改变施加到有图案模板上至少一部分的压力来改变有图案模板的尺寸。
14.如权利要求1的方法,其特征在于,调整重叠位置包括通过改变施加到有图案模板上至少一部分的延伸力来改变有图案模板的尺寸。
15.如权利要求1的方法,其特征在于,调整重叠位置包括改变有图案模板的尺寸,其中通过连接到有图案模板的至少一个压电促动器施加的力来改变有图案模板的尺寸。
16.如权利要求1的方法,其特征在于,确定对齐包括施加分析光到有图案模板上,其中有图案模板由第一种材料构成,其中的对齐标记是经不同于第一种材料的第二种材料沉积到有图案模板上形成的,其中第一和第二材料对用于固化液体的活性光的波长基本上透明,其中当分析光应用到有图案模板上时第二种材料产生经鲜明对比可分析的标记。
17.如权利要求1的方法,其特征在于,确定对齐包括施加分析光到有图案模板上,其中模板对齐标记包括多个作为朝向分析光的衍射光栅的蚀刻的线条,其中模板对齐标记基本上对活性光透明。
18.如权利要求1的方法,其特征在于,将液体应用到基片的一部分的步骤包括用液体分配器分配液体。
19.如权利要求1的方法,其特征在于,将液体应用到基片包括用液体分配器分配液体,还包括在分配液体以创建预定图案时相对于液体分配器移动基片。
20.如权利要求1的方法,其特征在于,应用液体到基片包括用液体分配器分配液体,还包括在分配液体以创建预定图案时相对于液体分配器移动基片,其中预定图案是被构建成当随着有图案模板和基片在空间关系上被定向,有图案模板接触液体时能抑制液体中形成气泡的图案。
21.如权利要求1的方法,其特征在于,应用液体到基片上包括用液体分配器分配液体,还包括在分配液体以创建预定图案时相对于液体分配器移动基片,其中选择预定图案使得液体填充到基本上等于有图案模板的表面积的区域中的间隙。
22.如权利要求1的方法,其特征在于,在彼此的空间关系中定向有图案模板和基片包括:
在基片上定位有图案模板;和
朝向基片移动有图案模板直到获得空间关系,其中随着模板朝向基片移动,基片上的液体基本上填充该间隙。
23.如权利要求1的方法,其特征在于,在彼此的空间关系中定向有图案模板和基片包括以距离基片小于大约200纳米处定位有图案模板。
24.如权利要求1的方法,其特征在于,在彼此的空间关系中定向有图案模板和基片包括以与基片基本上平行方向来定位有图案模板。
25.如权利要求1的方法,其特征在于,从固化的液体上分离有图案模板包括:
朝着基本上非平行的方向移动模板;和
从基片上移走有图案模板。
26.如权利要求1的方法,其特征在于,有图案模板包括至少小于250纳米大小的一些特征。
27.如权利要求1的方法,其特征在于,在有图案模板从固化的液体上分离后,固化的液体包括至少一些尺寸小于250纳米的特征。
28.如权利要求1的方法,其特征在于,在彼此的空间关系上定向有图案模板和基片包括:
将有图案模板定位在基片上,其中有图案模板基本上与基片非平行;
朝向基片移动有图案模板,其中随着模板朝向基片移动,有图案模板保留在与基片基本上非平行的方向;和
以基本上平行于基片的方向定向有图案模板,其中有图案模板与基片存在空间关系。
29.如权利要求1的方法,其特征在于,基片包括硅、镓、碳或铟。
30.如权利要求1的方法,其特征在于,基片包括电解质材料。
31.如权利要求1的方法,其特征在于,基片包括石英、兰宝石、二氧化硅或多晶硅。
32.如权利要求1的方法,其特征在于,有图案模板包括石英。
33.如权利要求1的方法,其特征在于,有图案模板包括氧化铟锡。
34.如权利要求1的方法,其特征在于,液体包括紫外光可固化的组合物。
35.如权利要求1的方法,其特征在于,液体包括光阻材料。
36.如权利要求1的方法,还包括
在将液体应用到基片上之前在基片上形成转印层;和
在从基片上将有图案模板分离后蚀刻转印层,其中蚀刻转印层使得图案转印到转印层上。
37.由如权利要求1的方法制造的装置。
38.一种使用对固化光基本上透明的有图案的模板在基片上形成图案的方法,包括:
将光可固化液体应用到基片上,其中光可固化液体在固化光存在的情况下可固化;
定位有图案的模板和基片,使得应用的液体充分地填满有图案的模板和基片之间的间隙;
相对于基片对齐标记确定模板对齐标记的对齐;
相对于基片调整有图案的模板的重叠位置,使得模板对齐标记和基片对齐标记充分地对齐;
应用固化光通过模板到达液体,其中固化光的应用充分地固化该液体,并且其中有图案的模板的图案在液体的固化中形成;和
从固化液体上分离有图案的模板。
39.如权利要求38的方法,其特征在于,的模板对齐标记包括蚀刻到模板上的图案。
40.如权利要求38的方法,其特征在于,确定对齐包括:
施加第一波长的光穿过有图案模板,其中第一波长的光相对于分析工具引起基片对齐标记聚焦和模板对齐标记模糊;和
应用第二波长的光穿过有图案的模板,其中第二波长的光相对于分析工具引起模板对齐标记聚焦和基片对齐标记模糊。
41.如权利要求38的方法,其特征在于,确定对齐包括使用偏振光对齐工具,还包括将偏振滤光系统置于偏振光对齐工具和有图案的模板之间,其中偏振滤光系统包括基本上朝向基片对齐标记的第一偏振滤光镜,和基本上朝向模板对齐标记的第二偏振滤光镜,其中能够穿过第一偏振滤光镜的光的偏振完全不同于能够穿过第二偏振滤光镜的光的偏振。
42.如权利要求38的方法,其特征在于,确定对齐包括使用波纹图案检测器。
43.如权利要求38的方法,其特征在于,调整重叠位置包括移动基片使得模板对齐标记基本上与基片对齐标记对齐。
44.如权利要求38的方法,其特征在于,调整重叠位置包括改变有图案模板相对于基片之间的角度。
45.如权利要求38的方法,其特征在于,调整重叠位置包括改变有图案模板的体积。
46.如权利要求38的方法,其特征在于,调整重叠位置包括通过改变有图案模板的温度来改变有图案模板的尺寸。
47.如权利要求38的方法,其特征在于,调整重叠位置包括通过改变施加到有图案模板上至少一部分的压力来改变有图案模板的尺寸。
48.如权利要求38的方法,其特征在于,模板对齐标记和基片对齐标记包括几何对称形状,其中确定对齐标记的对齐包括确定基片和模板对齐标记的中心,比较模板对齐标记的中心位置和基片对齐标记的中心位置。
49.如权利要求38的方法,其特征在于,调整重叠位置包括通过改变施加到有图案模板上至少一部分的延伸力来改变有图案模板的尺寸。
50.如权利要求38的方法,其特征在于,调整重叠位置包括改变有图案模板的尺寸,其中通过连接到有图案模板的至少一个压电促动器施加的力来改变有图案模板的尺寸。
51.如权利要求38的方法,其特征在于,确定对齐包括施加分析光到有图案模板上,其中有图案模板由第一种材料构成,其中的对齐标记是经不同于第一种材料的第二种材料沉积到有图案模板上形成的,其中第一和第二材料对用于固化液体的活性光的波长基本上透明,其中当分析光应用到有图案模板上时第二种材料产生可分析的标记。
52.如权利要求38的方法,其特征在于,确定对齐包括施加分析光到有图案模板上,其中模板对齐标记包括多个作为朝向分析光的衍射光栅的蚀刻的线条,其中模板对齐标记基本上对活性光透明。
53.如权利要求38的方法,其特征在于,将液体应用到基片的一部分的步骤包括用液体分配器分配液体。
54.如权利要求38的方法,其特征在于,将液体应用到基片包括用液体分配器分配液体,还包括在分配液体以创建预定图案时相对于液体分配器移动基片。
55.如权利要求38的方法,其特征在于,应用液体到基片包括用液体分配器分配液体,还包括在分配液体以创建预定图案时相对于液体分配器移动基片,其中预定图案是被构建成当随着有图案模板和基片在空间关系上被定向,有图案模板接触液体时能抑制液体中形成气泡的图案。
56.如权利要求38的方法,其特征在于,应用液体到基片上包括用液体分配器分配液体,还包括在分配液体以创建预定图案时相对于液体分配器移动基片,其中选择预定图案使得液体填充到基本上等于有图案模板的表面积的区域中的间隙。
57.如权利要求38的方法,其特征在于,在彼此的空间关系中定向有图案模板和基片,包括:
在基片上定位有图案模板;和
朝向基片移动有图案模板直到获得空间关系,其中随着模板朝向基片移动,基片上的液体基本上填充该间隙。
58.如权利要求38的方法,其特征在于,在彼此的空间关系中定向有图案模板和基片包括以距离基片小于大约200纳米处定位有图案模板。
59.如权利要求38的方法,其特征在于,在彼此的空间关系中定向有图案模板和基片包括以与基片基本上平行方向来定位有图案模板。
60.如权利要求38的方法,其特征在于,从固化的液体上分离有图案模板,包括:
朝着基本上非平行的方向移动模板;和
从基片上移走有图案模板。
61.如权利要求38的方法,其特征在于,有图案模板包括至少小于250纳米大小的一些特征。
62.如权利要求38的方法,其特征在于,在有图案模板从固化的液体上分离后,固化的液体包括至少一些尺寸小于250纳米的特征。
63.如权利要求38的方法,其特征在于,在彼此的空间关系上定向有图案模板和基片,包括:
将有图案模板定位在基片上,其中有图案模板基本上与基片非平行;
朝向基片移动有图案模板,其中随着模板朝向基片移动,有图案模板保留在与基片基本上非平行的方向;和
以基本上平行于基片的方向定向有图案模板,其中有图案模板与基片存在空间关系。
64.如权利要求38的方法,其特征在于,基片包括硅、镓、碳或铟。
65.如权利要求38的方法,其特征在于,基片包括电解质材料。
66.如权利要求38的方法,其特征在于,基片包括石英、兰宝石、二氧化硅或多晶硅。
67.如权利要求38的方法,其特征在于,有图案模板包括石英。
68.如权利要求38的方法,其特征在于,有图案模板包括氧化铟锡。
69.如权利要求38的方法,其特征在于,液体包括紫外光可固化的组合物。
70.如权利要求38的方法,其特征在于,液体包括光阻材料。
71.如权利要求38的方法,还包括
在将液体应用到基片上之前在基片上形成转印层;和
在从基片上将有图案模板分离后蚀刻转印层,其中蚀刻转印层使得图案转印到转印层上。
72.由如权利要求38的方法制造的装置。
73.一种使用对固化光基本上透明的有图案的模板在基片上形成重复图案的方法,包括:
将光可固化液体应用到基片的第一部分上,其中光可固化液体在固化光存在的情况下可固化;
定位有图案的模板和基片的第一部分,使得有图案的模板接触至少置于基片上的液体部分,其中有图案的模板包括模板对齐标记,基片的第一部分包括基片对齐标记;
相对于基片对齐标记确定模板对齐标记的对齐;
相对于基片调整有图案的模板的重叠位置,使得模板对齐标记和基片对齐标记充分地对齐;
调整有图案的模板和基片之间的空间,使得应用的液体充分地填满有图案的模板和基片的第一部分之间的间隙,并且其中的间隙基本上均匀;
应用活性光通过模板到达位于基片第一部分上的液体,其中固化光的应用充分地固化该计片第一部分上的液体,并且其中有图案的模板的图案在液体的固化中形成;和
从基片第一部分上的固化液体上分离有图案的模板,使得有图案的固化液体形成在基片的第一部分上;
应用液体到基片的第二部分上;
在基片的第二部分上定位模板;
相对于基片的第二部分重新调整有图案的模板的重叠位置,以匹配校准定向;
调整有图案模板和基片第二部分之间的空间,使得应用的液体基本上填满有图案模板和基片之间的间隙;
应用活性光通过模板到达位于基片第二部分上的液体,其中固化光的应用充分地固化该基片第二部分上的液体,并且其中有图案的模板的图案在液体的固化中形成;和
从有图案的固化液体上分离有图案的模板,使得有图案的固化液体形成在基片的第二部分上。
74.如权利要求73的方法,其特征在于,的模板对齐标记包括蚀刻到模板上的图案。
75.如权利要求73的方法,其特征在于,没有确定模板对齐标记相对于第二部分上的基片对齐标记对齐的情况下,进行相对于基片第二部分而重新调整有图案的模板的重叠位置。
76.如权利要求73的方法,还包括在模板位于基片的第二部分上之后确定相对于模板的基片的X-Y位移。
77.如权利要求73的方法,其特征在于,确定对齐包括:
施加第一波长的光穿过有图案模板,其中第一波长的光相对于分析工具引起基片对齐标记聚焦和模板对齐标记模糊;和
应用第二波长的光穿过有图案的模板,其中第二波长的光相对于分析工具引起模板对齐标记聚焦和基片对齐标记模糊。
78.如权利要求73的方法,其特征在于,确定对齐包括使用偏振光对齐工具,还包括将偏振滤光系统置于偏振光对齐工具和有图案的模板之间,其中偏振滤光系统包括基本上朝向基片对齐标记的第一偏振滤光镜,和基本上朝向模板对齐标记的第二偏振滤光镜,其中能够穿过第一偏振滤光镜的光的偏振完全不同于能够穿过第二偏振滤光镜的光的偏振。
79.如权利要求73的方法,其特征在于,确定对齐包括使用波纹图案检测器。
80.如权利要求73的方法,其特征在于,模板对齐标记和基片对齐标记包括几何对称形状,其中确定对齐标记的对齐包括确定基片和模板对齐标记的中心,比较模板对齐标记的中心位置和基片对齐标记的中心位置。
81.如权利要求73的方法,其特征在于,调整重叠位置包括移动基片使得模板对齐标记基本上与基片对齐标记对齐。
82.如权利要求73的方法,其特征在于,调整重叠位置包括改变有图案模板相对于基片之间的角度。
83.如权利要求73的方法,其特征在于,调整重叠位置包括改变有图案模板的体积。
84.如权利要求73的方法,其特征在于,调整重叠位置包括通过改变有图案模板的温度来改变有图案模板的尺寸。
85.如权利要求73的方法,其特征在于,调整重叠位置包括通过改变施加到有图案模板上至少一部分的压力来改变有图案模板的尺寸。
86.如权利要求73的方法,其特征在于,调整重叠位置包括通过改变施加到有图案模板上至少一部分的延伸力来改变有图案模板的尺寸。
87.如权利要求73的方法,其特征在于,调整重叠位置包括改变有图案模板的尺寸,其中通过连接到有图案模板的至少一个压电促动器施加的力来改变有图案模板的尺寸。
88.如权利要求73的方法,其特征在于,确定对齐包括施加分析光到有图案模板上,其中有图案模板由第一种材料构成,其中的对齐标记是经不同于第一种材料的第二种材料沉积到有图案模板上形成的,其中第一和第二材料对用于固化液体的活性光的波长基本上透明,其中当分析光应用到有图案模板上时第二种材料产生可分析的标记。
89.如权利要求73的方法,其特征在于,确定对齐包括施加分析光到有图案模板上,其中模板对齐标记包括多个作为朝向分析光的衍射光栅的蚀刻的线条,其中模板对齐标记基本上对活性光透明。
90.如权利要求73的方法,其特征在于,将液体应用到基片的一部分的步骤包括用液体分配器分配液体。
91.如权利要求73的方法,其特征在于,将液体应用到基片包括用液体分配器分配液体,还包括在分配液体以创建预定图案时相对于液体分配器移动基片。
92.如权利要求73的方法,其特征在于,应用液体到基片包括用液体分配器分配液体,还包括在分配液体以创建预定图案时相对于液体分配器移动基片,其中预定图案是被构建成当随着有图案模板和基片在空间关系上被定向,有图案模板接触液体时能抑制液体中形成气泡的图案。
93.如权利要求73的方法,其特征在于,应用液体到基片上包括用液体分配器分配液体,还包括在分配液体以创建预定图案时相对于液体分配器移动基片,其中选择预定图案使得液体填充到基本上等于有图案模板的表面积的区域中的间隙。
94.如权利要求73的方法,其特征在于,在彼此的空间关系中定向有图案模板和基片,包括:
在基片上定位有图案模板;和
朝向基片移动有图案模板直到获得空间关系,其中随着模板朝向基片移动,基片上的液体基本上填充该间隙。
95.如权利要求73的方法,其特征在于,在彼此的空间关系中定向有图案模板和基片,包括以距离基片小于大约200纳米处定位有图案模板。
96.如权利要求73的方法,其特征在于,在彼此的空间关系中定向有图案模板和基片,包括以与基片基本上平行方向来定位有图案模板。
97.如权利要求73的方法,其特征在于,从固化的液体上分离有图案模板,包括:
朝着基本上非平行的方向移动模板;和
从基片上移走有图案模板。
98.如权利要求73的方法,其特征在于,有图案模板包括至少小于250纳米大小的一些特征。
99.如权利要求73的方法,其特征在于,在有图案模板从固化的液体上分离后,固化的液体包括至少一些尺寸小于250纳米的特征。
100.如权利要求73的方法,其特征在于,在彼此的空间关系上定向有图案模板和基片,包括:
将有图案模板定位在基片上,其中有图案模板基本上与基片非平行;
朝向基片移动有图案模板,其中随着模板朝向基片移动,有图案模板保留在与基片基本上非平行的方向;和
以基本上平行于基片的方向定向有图案模板,其中有图案模板与基片存在空间关系。
101.如权利要求73的方法,其特征在于,基片包括硅、镓、碳或铟。
102.如权利要求73的方法,其特征在于,基片包括电解质材料。
103.如权利要求73的方法,其特征在于,基片包括石英、兰宝石、二氧化硅或多晶硅。
104.如权利要求73的方法,其特征在于,有图案模板包括石英。
105.如权利要求73的方法,其特征在于,有图案模板包括氧化铟锡。
106.如权利要求73的方法,其特征在于,液体包括紫外光可固化的组合物。
107.如权利要求73的方法,其特征在于,液体包括光阻材料。
108.如权利要求73的方法,还包括
在将液体应用到基片上之前在基片上形成转印层;和
在从基片上将有图案模板分离后蚀刻转印层,其中蚀刻转印层使得图案转印到转印层上。
109.由如权利要求73的方法制造的装置。
110.一种使用有图案的模板在基片上形成图案的系统,包括:
定向台,该定向台包括:
第一柔性元件,其中第一柔性元件被构造成在使用时围绕第一定向轴枢轴旋转;
第二柔性元件,与第一柔性元件连接,其中第二柔性元件被构造成在使用时围绕第二定向轴做枢轴旋转;和
其中第二柔性元件连接到第一柔性元件,使得在使用期间,当位于支架上时,有图案模板围绕第一和第二定向轴交叉的支点运动;
位于支架上的有图案的模板;
构造成支撑基片用的基片台,其中该台位于定向台的下方,并且其中基片台被构造成沿着基本上平行于有图案的模板的平面移动基片;和
光学连接到模板上的测量装置,包括:
构造成在使用期间将光导向模板的照明系统;和
光学连接到照明系统并被构造成检测从模板和位于基片台上的基片传播的光的检测系统。
111.如权利要求110的系统,其特征在于,测量装置包括光学显微镜。
112.如权利要求110的系统,其特征在于,测量装置包括光学显微镜,其中该光学显微镜包括偏振光滤光镜。
113.如权利要求110的系统,其特征在于,测量装置包括光学显微镜,其中该光学显微镜包括偏振光源。
114.如权利要求110的系统,其特征在于,检测系统包括点成像装置。
115.如权利要求110的系统,其特征在于,模板的侧缘包括反射涂层,还包括光学连接到模板的侧缘的激光干涉仪。
116.如权利要求110的系统,其特征在于,模板的侧缘包括连接到模板上的反光镜,还包括光学连接到模板的侧缘的激光干涉仪。
117.如权利要求110的系统,其特征在于,模板侧缘包括导电涂层,还包括电连接到模板侧缘的电容感测器。
118.如权利要求110的系统,其特征在于,有图案的模板包括对齐标记,其中该对齐标记在使用期间顺应基片上的对齐标记。
119.如权利要求110的系统,其特征在于,第一定向轴基本上垂直于第二定向轴。
120.如权利要求110的系统,其特征在于,第一柔性元件包括第一和第二臂,其中第一臂包括被构造成提供第一柔性元件围绕第一定向轴做枢轴运动的第一套柔性接点,其中第二臂包括被构造成提供第一柔性元件围绕第一定向轴做枢轴运动的第二套柔性接点。
121.如权利要求110的系统,其特征在于,第二柔性元件包括第三和第四臂,其中第三臂包括被构造成提供第二柔性元件围绕第二定向轴做枢轴运动的第三套柔性接点,其中第四臂包括被构造成提供第二柔性元件围绕第二定向轴做枢轴运动的第二套柔性接点。
122.如权利要求110的系统,其特征在于,第一柔性元件包括第一和第二臂,其中第一臂包括被构造成提供第一柔性元件围绕第一定向轴做枢轴运动的第一套柔性接点,其中第二臂包括被构造成提供第一柔性元件围绕第一定向轴做枢轴运动的第二套柔性接点,其中第二柔性元件包括第三和第四臂,其中第三臂包括被构造成提供第二柔性元件围绕第二定向轴做枢轴运动的第三套柔性接点,其中第四臂包括被构造成提供第二柔性元件围绕第二定向轴做枢轴运动的第二套柔性接点。
123.如权利要求110的系统,还包括连接到第一和第二柔性元件的促动器,其中该促动器被构造成当使用时引起第一和第二柔性元件分别围绕第一和第二定向轴枢轴旋转。
124.如权利要求110的系统,还包括连接到第一和第二柔性元件的促动器,其中该促动器被构造成当使用时引起第一和第二柔性元件分别围绕第一和第二定向轴枢轴旋转,其中的促动器是压电促动器。
125.如权利要求110的系统,其特征在于,第一柔性元件包括第一开口,第二柔性元件包括第二开口,支架包括第三开口,其中第一、第二和第三开口的每一个都被构造成在使用时允许活性光照射到模板上,其中当第一柔性元件与第二柔性元件连接时,第一、第二和第三开口基本上对齐。
126.如权利要求110的系统,还包括连接到定向台和顶部框架上的预校准台,其中预校准台被构造成在使用期间移动定向台靠近和离开基片。
127.如权利要求110的系统,还包括连接到定向台和顶部框架上的预校准台,其中预校准台被构造成在使用期间移动定向台靠近和离开基片,其中预校准包括连接到定向台的至少一个促动器,其中该促动器被构造成移动定向台靠近和离开基片。
128.如权利要求110的系统,还包括连接到定向台和顶部框架的预校准台,其中预校准台包括第一和第二支撑元件和至少一个连接到顶部框架和第二支撑元件的促动器,促动器延伸通过第一支撑元件,其中第一支撑元件连接到顶部框架,第二支撑元件连接到第一支撑元件和定向台,其中促动器被构造成在使用期间移动定向台靠近和离开基片,并且其中促动器被连接到顶部框架和第二支撑元件。
129.如权利要求110的系统,其特征在于,基片台包括真空卡盘,该真空卡盘包括盘体和连接到盘体的真空流系统,其中真空流系统被构造成在使用期间在卡盘体表面施加吸力。
130.如权利要求110的系统,还包括连接到顶部框架的液体分配器。
131.如权利要求110的系统,还包括连接到顶部框架的多个液体分配器。
132.如权利要求110的系统,其特征在于,有图案的模板包括石英。
133.如权利要求110的系统,其特征在于,有图案的模板包括氧化铟锡。
134.如权利要求110的系统,其特征在于,有图案的模板包括Si2O3
135.一种使用对固化光基本上透明的有图案的模板在基片上形成图案的方法,包括:
将光可固化液体应用到基片的一部分上,其中光可固化液体在固化光存在的情况下可固化;
调整有图案的模板和基片之间的空间,使得应用的液体充分地填满有图案的模板和基片之间的间隙,其中有图案的模板包括模板对齐标记,基片包括基片对齐标记;
应用固化光通过模板到达液体,其中固化光的应用充分地固化该液体,并且其中新的基片对齐标记在基本上与基片印刷标记对齐的位置上在固化液体中形成;和
从固化液体上分离有图案的模板。
136.如权利要求135的方法,其特征在于,的模板对齐标记包括蚀刻到模板上的图案。
137.如权利要求135的方法,其特征在于,确定对齐包括:
施加第一波长的光穿过有图案模板,其中第一波长的光相对于分析工具引起基片对齐标记聚焦和模板对齐标记模糊;和
应用第二波长的光穿过有图案的模板,其中第二波长的光相对于分析工具引起模板对齐标记聚焦和基片对齐标记模糊。
138.如权利要求135的方法,其特征在于,确定对齐包括使用偏振光对齐工具,还包括将偏振滤光系统置于偏振光对齐工具和有图案的模板之间,其中偏振滤光系统包括基本上朝向基片对齐标记的第一偏振滤光镜,和基本上朝向模板对齐标记的第二偏振滤光镜,其中能够穿过第一偏振滤光镜的光的偏振完全不同于能够穿过第二偏振滤光镜的光的偏振。
139.如权利要求135的方法,其特征在于,确定对齐包括使用波纹图案检测器。
140.如权利要求135的方法,其特征在于,调整定向包括移动基片,使得模板对齐标记基本上与基片对齐标记对齐。
141.如权利要求135的方法,其特征在于,调整定向包括改变有图案模板相对于基片之间的角度。
142.如权利要求135的方法,其特征在于,调整定向包括改变有图案模板的体积。
143.如权利要求135的方法,其特征在于,调整定向包括通过改变有图案模板的温度来改变有图案模板的尺寸。
144.如权利要求135的方法,其特征在于,调整定向包括通过改变施加到有图案模板上至少一部分的压力来改变有图案模板的尺寸。
145.如权利要求135的方法,其特征在于,调整定向包括通过改变施加到有图案模板上至少一部分的延伸力来改变有图案模板的尺寸。
146.如权利要求135的方法,其特征在于,调整定向包括改变有图案模板的尺寸,其中通过连接到有图案模板的至少一个压电促动器施加的力来改变有图案模板的尺寸。
147.如权利要求135的方法,其特征在于,确定对齐包括施加分析光到有图案模板上,其中有图案模板由第一种材料构成,其中的对齐标记是经不同于第一种材料的第二种材料沉积到有图案模板上形成的,其中第一和第二材料对用于固化液体的活性光的波长基本上透明,其中当分析光应用到有图案模板上时第二种材料产生可分析的标记。
148.如权利要求135的方法,其特征在于,确定对齐包括施加分析光到有图案模板上,其中模板对齐标记包括多个作为朝向分析光的衍射光栅的蚀刻的线条,其中模板对齐标记基本上对活性光透明。
149.如权利要求135的方法,其特征在于,将液体应用到基片的一部分的步骤包括用液体分配器分配液体。
150.如权利要求135的方法,其特征在于,将液体应用到基片包括用液体分配器分配液体,还包括在分配液体以创建预定图案时相对于液体分配器移动基片。
151.如权利要求135的方法,其特征在于,应用液体到基片包括用液体分配器分配液体,还包括在分配液体以创建预定图案时相对于液体分配器移动基片,其中预定图案是被构建成当随着有图案模板和基片在空间关系上被定向,有图案模板接触液体时能抑制液体中形成气泡的图案。
152.如权利要求135的方法,其特征在于,应用液体到基片上包括用液体分配器分配液体,还包括在分配液体以创建预定图案时相对于液体分配器移动基片,其中选择预定图案使得液体填充到基本上等于有图案模板的表面积的区域中的间隙。
153.如权利要求135的方法,其特征在于,在彼此的空间关系中定向有图案模板和基片包括:
在基片上定位有图案模板;和
朝向基片移动有图案模板直到获得空间关系,其中随着模板朝向基片移动,基片上的液体基本上填充该间隙。
154.如权利要求135的方法,其特征在于,在彼此的空间关系中定向有图案模板和基片包括以距离基片小于大约200纳米处定位有图案模板。
155.如权利要求135的方法,其特征在于,在彼此的空间关系中定向有图案模板和基片包括以与基片基本上平行方向来定位有图案模板。
156.如权利要求135的方法,其特征在于,从固化的液体上分离有图案模板,包括:
朝着基本上非平行的方向移动模板;和
从基片上移走有图案模板。
157.如权利要求135的方法,其特征在于,有图案模板包括至少小于250纳米大小的一些特征。
158.如权利要求135的方法,其特征在于,在有图案模板从固化的液体上分离后,固化的液体包括至少一些尺寸小于250纳米的特征。
159.如权利要求135的方法,其特征在于,在彼此的空间关系上定向有图案模板和基片,包括:
将有图案模板定位在基片上,其中有图案模板基本上与基片非平行;
朝向基片移动有图案模板,其中随着模板朝向基片移动,有图案模板保留在与基片基本上非平行的方向;和
以基本上平行于基片的方向定向有图案模板,其中有图案模板与基片存在空间关系。
160.如权利要求135的方法,其特征在于,基片包括硅、镓、碳或铟。
161.如权利要求135的方法,其特征在于,基片包括电解质材料。
162.如权利要求135的方法,其特征在于,基片包括石英、兰宝石、二氧化硅或多晶硅。
163.如权利要求135的方法,其特征在于,有图案模板包括石英。
164.如权利要求135的方法,其特征在于,有图案模板包括氧化铟锡。
165.如权利要求135的方法,其特征在于,液体包括紫外光可固化的组合物。
166.如权利要求135的方法,其特征在于,液体包括光阻材料。
167.如权利要求135的方法,还包括
在将液体应用到基片上之前在基片上形成转印层;和
在从基片上将有图案模板分离后蚀刻转印层,其中蚀刻转印层使得图案转印到转印层上。
168.由如权利要求135的方法制造的装置。
169.一种使用有图案的模板在基片上形成图案的系统,包括:
定向台,该定向台包括:
定向子结构,其中该定向子结构包括构造成用来在使用时固定有图案模板的支架,其中定向子结构被构造成使得当有图案模板置于定向子结构中时,围绕有图案模板表面上的支点运动;和
连接到定向子结构的模板调整装置,其中构造该模板调整装置以在使用时改变模板的大小;
有图案模板放在定向子结构中;和
构造基片台以支撑基片,其中所述台位于定向台下方,其中构建所述基片台以沿着基本上平行于有图案的模板的平面移动基片。
170.如权利要求169的系统,其特征在于,模板调节装置包括多个连接到支架上的促动器,其中构建该促动器以在使用时施加压力到模板上。
171.如权利要求169的系统,其特征在于,模板调节装置包括多个连接到支架上的促动器,其中构建该促动器以在使用时施加延伸力到模板上。
172.如权利要求169的系统,其特征在于,模板调节装置包括多个连接到支架上的促动器,其中构建该促动器以在使用时施加压力或延伸力到模板上
173.如权利要求169的系统,其特征在于,构建模板调节装置以在使用时改变基片的温度,其中改变基片的温度引起在使用时模板的尺寸变化。
174.如权利要求169的系统,还包括光学连接到模板上的测量装置,包括:
构建照明系统以在使用时将光导向模板;和
光学连接到照明系统的检测系统,和构建该检测系统以检测从模板和定位于基片台上的基片传播的光。
175.如权利要求169的系统,其特征在于,模板的侧缘包括反射涂层,还包括光学连接到模板的侧缘的激光干涉仪。
176.如权利要求169的系统,其特征在于,模板侧缘包括导电涂层,还包括电连接到模板侧缘的电容感测器。
177.如权利要求169的系统,其特征在于,有图案的模板包括对齐标记,其中该对齐标记在使用期间顺应基片上的对齐标记。
178.如权利要求169的系统,其特征在于,定向台还包括:
第一柔性元件,其中构建第一柔性元件以在使用时围绕第一定向轴做枢轴运动;
连接到第一柔性元件的第二柔性元件,其中构建第二柔性元件以在使用时围绕第二定向轴做枢轴运动;和
其中支架连接到第二柔性元件;和
其中第二柔性元件连接到第一柔性元件,使得当有图案模板位于支架中时,在使用时围绕第一和第二定向轴正交的支点运动。
179.如权利要求169的系统,还包括连接到定向台和顶部框架上的预校准台,其中预校准台被构造成在使用期间移动定向台靠近和离开基片。
180.如权利要求169的系统,还包括连接到定向台和顶部框架上的预校准台,其中预校准台被构造成在使用期间移动定向台靠近和离开基片,其中预校准包括连接到定向台的至少一个促动器,其中该促动器被构造成移动定向台靠近和离开基片。
181.如权利要求169的系统,还包括连接到定向台和顶部框架的预校准台,其中预校准台包括第一和第二支撑元件和至少一个连接到顶部框架和第二支撑元件的促动器,促动器延伸通过第一支撑元件,其中第一支撑元件连接到顶部框架,第二支撑元件连接到第一支撑元件和定向台,其中促动器被构造成在使用期间移动定向台靠近和离开基片,并且其中促动器被连接到顶部框架和第二支撑元件。
182.如权利要求169的系统,其特征在于,基片台包括真空卡盘,该真空卡盘包括盘体和连接到盘体的真空流系统,其中真空流系统被构造成在使用期间在卡盘体表面施加吸力。
183.如权利要求169的系统,还包括连接到顶部框架的液体分配器。
184.如权利要求169的系统,还包括连接到顶部框架的多个液体分配器
185.如权利要求169的系统,其特征在于,有图案的模板包括石英。
186.如权利要求169的系统,其特征在于,有图案的模板包括氧化铟锡
187.一种使用对固化光基本上透明的有图案的模板在基片上形成图案的方法,包括:
将光可固化液体应用到基片的一部分上,其中光可固化液体在固化光存在的情况下可固化;
定位有图案的模板和基片,使得有图案的模板接触分配在基片上的液体的至少一部分;
调整有图案的模板和基片之间的空间,使得应用的液体充分地填满有图案的模板和基片之间的间隙,其中该间隙基本上是均匀的;
应用固化光通过模板到达液体,其中固化光的应用充分地固化该液体。
188.一种使用有图案的模板在基片上形成图案的系统,包括:
顶部框架;
定向台,该定向台包括:
定向子结构,其中该定向子结构包括构造成用来在使用时固定有图案模板的支架,其中定向子结构被构造成使得当有图案模板置于定向子结构中时,围绕有图案模板表面上的支点运动;和
构造基片台以支撑基片,其中所述台位于定向台下方,其中构建所述基片台以沿着基本上平行于有图案的模板的平面移动基片。
189.包含印刷的光固化层的装置,该印刷的光固化层包括至少尺寸小于大约250纳米的一些特征。
190.如权利要求189的装置,其特征在于,印刷的光固化层包括至少一些尺寸小于大约100纳米的特征。
CNB018155057A 2000-07-16 2001-07-16 用于平版印刷工艺中的高分辨率重叠对齐方法和系统 Expired - Lifetime CN100504598C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US21856800P 2000-07-16 2000-07-16
US60/218,568 2000-07-16

Publications (2)

Publication Number Publication Date
CN1476551A true CN1476551A (zh) 2004-02-18
CN100504598C CN100504598C (zh) 2009-06-24

Family

ID=22815618

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB018155057A Expired - Lifetime CN100504598C (zh) 2000-07-16 2001-07-16 用于平版印刷工艺中的高分辨率重叠对齐方法和系统

Country Status (8)

Country Link
US (9) US6921615B2 (zh)
EP (4) EP2264524A3 (zh)
JP (4) JP4511786B2 (zh)
KR (1) KR100862301B1 (zh)
CN (1) CN100504598C (zh)
AU (1) AU2001273491A1 (zh)
SG (1) SG142150A1 (zh)
WO (1) WO2002008835A2 (zh)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101604124B (zh) * 2005-06-08 2011-07-27 佳能株式会社 模子、图案形成方法以及图案形成设备
CN103226284A (zh) * 2012-01-31 2013-07-31 佳能株式会社 压印装置和制造物品的方法
CN105223769A (zh) * 2012-06-18 2016-01-06 Hoya株式会社 光掩模的制造方法、转印方法及平板显示器的制造方法
CN105759566A (zh) * 2015-01-05 2016-07-13 佳能株式会社 压印装置、压印方法以及物品的制造方法
CN105223769B (zh) * 2012-06-18 2019-07-16 Hoya株式会社 光掩模的制造方法、转印方法及平板显示器的制造方法
CN110083009A (zh) * 2013-05-27 2019-08-02 佳能株式会社 压印方法、压印装置和器件制造方法
CN110402189A (zh) * 2016-12-23 2019-11-01 德克萨斯大学系统董事会 使用基于莫尔的计量学和基于真空的取放将组件异构集成到紧凑型器件上
TWI747446B (zh) * 2019-08-29 2021-11-21 荷蘭商Asml控股公司 感測器裝置及偵測系統

Families Citing this family (293)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2001009927A1 (en) * 1999-07-28 2001-02-08 Infineon Technologies North America Corp. Semiconductor structures and manufacturing methods
US7432634B2 (en) * 2000-10-27 2008-10-07 Board Of Regents, University Of Texas System Remote center compliant flexure device
US6873087B1 (en) * 1999-10-29 2005-03-29 Board Of Regents, The University Of Texas System High precision orientation alignment and gap control stages for imprint lithography processes
US6921615B2 (en) * 2000-07-16 2005-07-26 Board Of Regents, The University Of Texas System High-resolution overlay alignment methods for imprint lithography
WO2002006902A2 (en) 2000-07-17 2002-01-24 Board Of Regents, The University Of Texas System Method and system of automatic fluid dispensing for imprint lithography processes
KR20030040378A (ko) * 2000-08-01 2003-05-22 보드 오브 리전츠, 더 유니버시티 오브 텍사스 시스템 임프린트 리소그래피를 위한 투명한 템플릿과 기판사이의고정확성 갭 및 방향설정 감지 방법
EP1352295B1 (en) 2000-10-12 2015-12-23 Board of Regents, The University of Texas System Template for room temperature, low pressure micro- and nano-imprint lithography
US20060005657A1 (en) * 2004-06-01 2006-01-12 Molecular Imprints, Inc. Method and system to control movement of a body for nano-scale manufacturing
US6632536B2 (en) * 2000-12-28 2003-10-14 International Business Machines Corporation Self-assembled monolayer etch barrier for indium-tin-oxide useful in manufacturing thin film transistor-liquid crystal displays
US20050064344A1 (en) * 2003-09-18 2005-03-24 University Of Texas System Board Of Regents Imprint lithography templates having alignment marks
DE50200284D1 (de) * 2002-01-25 2004-04-15 Leister Process Technologies S Verfahren zum Abformen von Mikro- und Nanostrukturen
JP2003257828A (ja) * 2002-03-01 2003-09-12 Nec Electronics Corp 半導体装置の製造方法
JP4411575B2 (ja) 2002-04-25 2010-02-10 セイコーエプソン株式会社 電子装置の製造装置
US6861365B2 (en) * 2002-06-28 2005-03-01 Hewlett-Packard Development Company, L.P. Method and system for forming a semiconductor device
US7179079B2 (en) * 2002-07-08 2007-02-20 Molecular Imprints, Inc. Conforming template for patterning liquids disposed on substrates
US20080160129A1 (en) * 2006-05-11 2008-07-03 Molecular Imprints, Inc. Template Having a Varying Thickness to Facilitate Expelling a Gas Positioned Between a Substrate and the Template
US6900881B2 (en) * 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US7077992B2 (en) * 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US7019819B2 (en) * 2002-11-13 2006-03-28 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
US7442336B2 (en) 2003-08-21 2008-10-28 Molecular Imprints, Inc. Capillary imprinting technique
JP2006516065A (ja) 2002-08-01 2006-06-15 モレキュラー・インプリンツ・インコーポレーテッド インプリント・リソグラフィの散乱計測アラインメント
US7070405B2 (en) * 2002-08-01 2006-07-04 Molecular Imprints, Inc. Alignment systems for imprint lithography
US7420683B2 (en) * 2002-08-01 2008-09-02 Wyatt Technology Corporation Optical membrane formation system and method
US7027156B2 (en) * 2002-08-01 2006-04-11 Molecular Imprints, Inc. Scatterometry alignment for imprint lithography
WO2004021083A1 (en) * 2002-08-27 2004-03-11 Obducat Ab Device for transferring a pattern to an object
ITMI20021961A1 (it) * 2002-09-16 2004-03-17 Consiglio Nazionale Ricerche Procedimento per la fabbricazione ed il controllo mediante stampaggio su scale micro- e nanometriche di strutture e motivi di sostanze solubili e colloidali con riduzione delle dimensioni dei motivi dello stampo.
US8349241B2 (en) 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
GB0227902D0 (en) * 2002-11-29 2003-01-08 Ingenia Holdings Ltd Template
KR101157002B1 (ko) * 2002-12-10 2012-06-21 가부시키가이샤 니콘 노광 장치 및 디바이스 제조 방법
US7242455B2 (en) 2002-12-10 2007-07-10 Nikon Corporation Exposure apparatus and method for producing device
US6871558B2 (en) * 2002-12-12 2005-03-29 Molecular Imprints, Inc. Method for determining characteristics of substrate employing fluid geometries
US7365103B2 (en) * 2002-12-12 2008-04-29 Board Of Regents, The University Of Texas System Compositions for dark-field polymerization and method of using the same for imprint lithography processes
WO2004054784A1 (en) * 2002-12-13 2004-07-01 Molecular Imprints, Inc. Magnification corrections employing out-of-plane distortions on a substrate
US7452574B2 (en) * 2003-02-27 2008-11-18 Molecular Imprints, Inc. Method to reduce adhesion between a polymerizable layer and a substrate employing a fluorine-containing layer
US20040168613A1 (en) * 2003-02-27 2004-09-02 Molecular Imprints, Inc. Composition and method to form a release layer
US7122079B2 (en) * 2004-02-27 2006-10-17 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
US20040209123A1 (en) * 2003-04-17 2004-10-21 Bajorek Christopher H. Method of fabricating a discrete track recording disk using a bilayer resist for metal lift-off
US6926921B2 (en) * 2003-05-05 2005-08-09 Hewlett-Packard Development Company, L.P. Imprint lithography for superconductor devices
US6951173B1 (en) 2003-05-14 2005-10-04 Molecular Imprints, Inc. Assembly and method for transferring imprint lithography templates
DE602004030001D1 (de) * 2003-05-14 2010-12-23 Molecular Imprints Inc Verfahren, system, halter und anordnung zur übertragung von templates bei imprint-lithographieverfahren
US6805054B1 (en) * 2003-05-14 2004-10-19 Molecular Imprints, Inc. Method, system and holder for transferring templates during imprint lithography processes
US7157036B2 (en) * 2003-06-17 2007-01-02 Molecular Imprints, Inc Method to reduce adhesion between a conformable region and a pattern of a mold
US20050160934A1 (en) * 2004-01-23 2005-07-28 Molecular Imprints, Inc. Materials and methods for imprint lithography
US7150622B2 (en) * 2003-07-09 2006-12-19 Molecular Imprints, Inc. Systems for magnification and distortion correction for imprint lithography processes
US7323358B1 (en) * 2003-08-13 2008-01-29 Hewlett-Packard Development Company, L.P. Method and system for sizing a load plate
DE10343323A1 (de) * 2003-09-11 2005-04-07 Carl Zeiss Smt Ag Stempellithografieverfahren sowie Vorrichtung und Stempel für die Stempellithografie
US7136150B2 (en) * 2003-09-25 2006-11-14 Molecular Imprints, Inc. Imprint lithography template having opaque alignment marks
US7090716B2 (en) * 2003-10-02 2006-08-15 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US8211214B2 (en) 2003-10-02 2012-07-03 Molecular Imprints, Inc. Single phase fluid imprint lithography method
EP1526411A1 (en) * 2003-10-24 2005-04-27 Obducat AB Apparatus and method for aligning surface
US7122482B2 (en) 2003-10-27 2006-10-17 Molecular Imprints, Inc. Methods for fabricating patterned features utilizing imprint lithography
US20050098534A1 (en) * 2003-11-12 2005-05-12 Molecular Imprints, Inc. Formation of conductive templates employing indium tin oxide
KR101117437B1 (ko) * 2003-12-27 2012-02-29 엘지디스플레이 주식회사 평판표시소자의 제조방법 및 장치
US20050151300A1 (en) * 2004-01-13 2005-07-14 Harper Bruce M. Workpiece isothermal imprinting
US20050150862A1 (en) * 2004-01-13 2005-07-14 Harper Bruce M. Workpiece alignment assembly
US20050151282A1 (en) * 2004-01-13 2005-07-14 Harper Bruce M. Workpiece handler and alignment assembly
US7329114B2 (en) * 2004-01-20 2008-02-12 Komag, Inc. Isothermal imprint embossing system
US20050155554A1 (en) * 2004-01-20 2005-07-21 Saito Toshiyuki M. Imprint embossing system
US7686606B2 (en) * 2004-01-20 2010-03-30 Wd Media, Inc. Imprint embossing alignment system
KR100585951B1 (ko) * 2004-02-18 2006-06-01 한국기계연구원 조합/분리형 독립구동이 가능한 복수 개의 모듈을 갖는 임프린팅 장치
US8076386B2 (en) 2004-02-23 2011-12-13 Molecular Imprints, Inc. Materials for imprint lithography
US7906180B2 (en) 2004-02-27 2011-03-15 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
US7730834B2 (en) * 2004-03-04 2010-06-08 Asml Netherlands B.V. Printing apparatus and device manufacturing method
US7229266B2 (en) * 2004-03-23 2007-06-12 Komag, Inc. Press die alignment
JP4481698B2 (ja) * 2004-03-29 2010-06-16 キヤノン株式会社 加工装置
DE102004028851B4 (de) * 2004-03-31 2006-04-13 Infineon Technologies Ag Verfahren und Vorrichtung zum Vermessen eines Oberflächenprofils einer Probe
US20050230882A1 (en) * 2004-04-19 2005-10-20 Molecular Imprints, Inc. Method of forming a deep-featured template employed in imprint lithography
US7140861B2 (en) * 2004-04-27 2006-11-28 Molecular Imprints, Inc. Compliant hard template for UV imprinting
US20050276919A1 (en) * 2004-06-01 2005-12-15 Molecular Imprints, Inc. Method for dispensing a fluid on a substrate
US20050275311A1 (en) * 2004-06-01 2005-12-15 Molecular Imprints, Inc. Compliant device for nano-scale manufacturing
US20050270516A1 (en) * 2004-06-03 2005-12-08 Molecular Imprints, Inc. System for magnification and distortion correction during nano-scale manufacturing
JP4792028B2 (ja) * 2004-06-03 2011-10-12 モレキュラー・インプリンツ・インコーポレーテッド ナノスケール製造技術における流体の分配およびドロップ・オン・デマンド分配技術
EP1774407B1 (en) * 2004-06-03 2017-08-09 Board of Regents, The University of Texas System System and method for improvement of alignment and overlay for microlithography
US7768624B2 (en) 2004-06-03 2010-08-03 Board Of Regents, The University Of Texas System Method for obtaining force combinations for template deformation using nullspace and methods optimization techniques
JP4574240B2 (ja) * 2004-06-11 2010-11-04 キヤノン株式会社 加工装置、加工方法、デバイス製造方法
US20070228593A1 (en) * 2006-04-03 2007-10-04 Molecular Imprints, Inc. Residual Layer Thickness Measurement and Correction
US7785526B2 (en) * 2004-07-20 2010-08-31 Molecular Imprints, Inc. Imprint alignment method, system, and template
US7309225B2 (en) * 2004-08-13 2007-12-18 Molecular Imprints, Inc. Moat system for an imprint lithography template
US7939131B2 (en) 2004-08-16 2011-05-10 Molecular Imprints, Inc. Method to provide a layer with uniform etch characteristics
US7205244B2 (en) * 2004-09-21 2007-04-17 Molecular Imprints Patterning substrates employing multi-film layers defining etch-differential interfaces
US20060062922A1 (en) 2004-09-23 2006-03-23 Molecular Imprints, Inc. Polymerization technique to attenuate oxygen inhibition of solidification of liquids and composition therefor
EP1807734B1 (en) * 2004-10-08 2011-11-09 Dow Corning Corporation Lithography processes using phase change compositions
US7379184B2 (en) * 2004-10-18 2008-05-27 Nanometrics Incorporated Overlay measurement target
US7226797B2 (en) * 2004-11-23 2007-06-05 Hewlett-Packard Development Company, L.P. Sensing alignment of multiple layers
US7650029B2 (en) * 2004-11-23 2010-01-19 Hewlett-Packard Development Company, L.P. Multiple layer alignment sensing
US20070231421A1 (en) 2006-04-03 2007-10-04 Molecular Imprints, Inc. Enhanced Multi Channel Alignment
US7292326B2 (en) * 2004-11-30 2007-11-06 Molecular Imprints, Inc. Interferometric analysis for the manufacture of nano-scale devices
US7630067B2 (en) 2004-11-30 2009-12-08 Molecular Imprints, Inc. Interferometric analysis method for the manufacture of nano-scale devices
WO2006060758A2 (en) * 2004-12-01 2006-06-08 Molecular Imprints, Inc. Methods of exposure for the purpose of thermal management for imprint lithography processes
US7281919B2 (en) 2004-12-07 2007-10-16 Molecular Imprints, Inc. System for controlling a volume of material on a mold
JP2006165371A (ja) 2004-12-09 2006-06-22 Canon Inc 転写装置およびデバイス製造方法
US7676088B2 (en) * 2004-12-23 2010-03-09 Asml Netherlands B.V. Imprint lithography
US20060144814A1 (en) * 2004-12-30 2006-07-06 Asml Netherlands B.V. Imprint lithography
US7686970B2 (en) * 2004-12-30 2010-03-30 Asml Netherlands B.V. Imprint lithography
US20060144274A1 (en) * 2004-12-30 2006-07-06 Asml Netherlands B.V. Imprint lithography
US7490547B2 (en) * 2004-12-30 2009-02-17 Asml Netherlands B.V. Imprint lithography
US7354698B2 (en) * 2005-01-07 2008-04-08 Asml Netherlands B.V. Imprint lithography
KR100623209B1 (ko) 2005-01-11 2006-09-13 한국기계연구원 탄성중합체 스탬프를 이용한 미세접촉 인쇄장치
US20060157898A1 (en) * 2005-01-18 2006-07-20 International Business Machines Corporation Imprint reference template for multilayer or multipattern registration and method therefor
US7798801B2 (en) * 2005-01-31 2010-09-21 Molecular Imprints, Inc. Chucking system for nano-manufacturing
US7635263B2 (en) * 2005-01-31 2009-12-22 Molecular Imprints, Inc. Chucking system comprising an array of fluid chambers
US20060177535A1 (en) * 2005-02-04 2006-08-10 Molecular Imprints, Inc. Imprint lithography template to facilitate control of liquid movement
WO2006083519A2 (en) * 2005-01-31 2006-08-10 Molecular Imprints, Inc. Method of retaining a substrate to a wafer chuck
US20060177532A1 (en) * 2005-02-04 2006-08-10 Molecular Imprints, Inc. Imprint lithography method to control extrusion of a liquid from a desired region on a substrate
US7636999B2 (en) * 2005-01-31 2009-12-29 Molecular Imprints, Inc. Method of retaining a substrate to a wafer chuck
US7922474B2 (en) * 2005-02-17 2011-04-12 Asml Netherlands B.V. Imprint lithography
US7808643B2 (en) * 2005-02-25 2010-10-05 Nanometrics Incorporated Determining overlay error using an in-chip overlay target
WO2006093722A2 (en) * 2005-02-25 2006-09-08 Accent Optical Technologies, Inc. Methods and systems for determining overlay error based on target image symmetry
US7523701B2 (en) * 2005-03-07 2009-04-28 Asml Netherlands B.V. Imprint lithography method and apparatus
US7762186B2 (en) * 2005-04-19 2010-07-27 Asml Netherlands B.V. Imprint lithography
US7611348B2 (en) * 2005-04-19 2009-11-03 Asml Netherlands B.V. Imprint lithography
US20060240344A1 (en) * 2005-04-20 2006-10-26 Affymetrix, Inc. Method of manufacture of polymer arrays
EP1879522A2 (en) 2005-04-28 2008-01-23 The Regents of The University of California Compositions comprising nanostructures for cell, tissue and artificial organ growth, and methods for making and using same
US20070228608A1 (en) * 2006-04-03 2007-10-04 Molecular Imprints, Inc. Preserving Filled Features when Vacuum Wiping
US7767129B2 (en) * 2005-05-11 2010-08-03 Micron Technology, Inc. Imprint templates for imprint lithography, and methods of patterning a plurality of substrates
US7442029B2 (en) * 2005-05-16 2008-10-28 Asml Netherlands B.V. Imprint lithography
US20060266916A1 (en) * 2005-05-25 2006-11-30 Molecular Imprints, Inc. Imprint lithography template having a coating to reflect and/or absorb actinic energy
US20060267231A1 (en) * 2005-05-27 2006-11-30 Asml Netherlands B.V. Imprint lithography
US7692771B2 (en) * 2005-05-27 2010-04-06 Asml Netherlands B.V. Imprint lithography
US7708924B2 (en) * 2005-07-21 2010-05-04 Asml Netherlands B.V. Imprint lithography
US7418902B2 (en) * 2005-05-31 2008-09-02 Asml Netherlands B.V. Imprint lithography including alignment
JP4290177B2 (ja) * 2005-06-08 2009-07-01 キヤノン株式会社 モールド、アライメント方法、パターン形成装置、パターン転写装置、及びチップの製造方法
US7377764B2 (en) * 2005-06-13 2008-05-27 Asml Netherlands B.V. Imprint lithography
US7771917B2 (en) * 2005-06-17 2010-08-10 Micron Technology, Inc. Methods of making templates for use in imprint lithography
US20070008865A1 (en) * 2005-07-08 2007-01-11 Nanochip, Inc. High density data storage devices with polarity-dependent memory switching media
US8808808B2 (en) 2005-07-22 2014-08-19 Molecular Imprints, Inc. Method for imprint lithography utilizing an adhesion primer layer
US20070023976A1 (en) * 2005-07-26 2007-02-01 Asml Netherlands B.V. Imprint lithography
US20070064384A1 (en) * 2005-08-25 2007-03-22 Molecular Imprints, Inc. Method to transfer a template transfer body between a motion stage and a docking plate
US7665981B2 (en) * 2005-08-25 2010-02-23 Molecular Imprints, Inc. System to transfer a template transfer body between a motion stage and a docking plate
US20070074635A1 (en) * 2005-08-25 2007-04-05 Molecular Imprints, Inc. System to couple a body and a docking plate
JP4262267B2 (ja) * 2005-09-06 2009-05-13 キヤノン株式会社 モールド、インプリント装置及びデバイスの製造方法
JP4330168B2 (ja) * 2005-09-06 2009-09-16 キヤノン株式会社 モールド、インプリント方法、及びチップの製造方法
US7670534B2 (en) 2005-09-21 2010-03-02 Molecular Imprints, Inc. Method to control an atmosphere between a body and a substrate
JP5268239B2 (ja) * 2005-10-18 2013-08-21 キヤノン株式会社 パターン形成装置、パターン形成方法
US20070267764A1 (en) * 2005-10-25 2007-11-22 Dai Nippon Printing Co., Ltd. Mold for photocuring nano-imprint and its fabrication process
US8011915B2 (en) * 2005-11-04 2011-09-06 Asml Netherlands B.V. Imprint lithography
US7878791B2 (en) * 2005-11-04 2011-02-01 Asml Netherlands B.V. Imprint lithography
US7906058B2 (en) 2005-12-01 2011-03-15 Molecular Imprints, Inc. Bifurcated contact printing technique
US7803308B2 (en) 2005-12-01 2010-09-28 Molecular Imprints, Inc. Technique for separating a mold from solidified imprinting material
US7670530B2 (en) 2006-01-20 2010-03-02 Molecular Imprints, Inc. Patterning substrates employing multiple chucks
EP1957249B1 (en) 2005-12-08 2014-11-12 Canon Nanotechnologies, Inc. Method and system for double-sided patterning of substrates
US20070138699A1 (en) * 2005-12-21 2007-06-21 Asml Netherlands B.V. Imprint lithography
US7517211B2 (en) 2005-12-21 2009-04-14 Asml Netherlands B.V. Imprint lithography
US7690910B2 (en) 2006-02-01 2010-04-06 Canon Kabushiki Kaisha Mold for imprint, process for producing minute structure using the mold, and process for producing the mold
US8850980B2 (en) 2006-04-03 2014-10-07 Canon Nanotechnologies, Inc. Tessellated patterns in imprint lithography
TW200801794A (en) 2006-04-03 2008-01-01 Molecular Imprints Inc Method of concurrently patterning a substrate having a plurality of fields and a plurality of alignment marks
US8142850B2 (en) * 2006-04-03 2012-03-27 Molecular Imprints, Inc. Patterning a plurality of fields on a substrate to compensate for differing evaporation times
US7802978B2 (en) 2006-04-03 2010-09-28 Molecular Imprints, Inc. Imprinting of partial fields at the edge of the wafer
US20070231422A1 (en) * 2006-04-03 2007-10-04 Molecular Imprints, Inc. System to vary dimensions of a thin template
WO2007117519A2 (en) * 2006-04-03 2007-10-18 Molecular Imprints, Inc. Method for determining deformation parameters for a patterned device in a lithography system
US8012395B2 (en) 2006-04-18 2011-09-06 Molecular Imprints, Inc. Template having alignment marks formed of contrast material
JP4795300B2 (ja) * 2006-04-18 2011-10-19 キヤノン株式会社 位置合わせ方法、インプリント方法、位置合わせ装置、インプリント装置、及び位置計測方法
WO2007124007A2 (en) * 2006-04-21 2007-11-01 Molecular Imprints, Inc. Method for detecting a particle in a nanoimprint lithography system
KR101261606B1 (ko) 2006-05-09 2013-05-09 삼성디스플레이 주식회사 표시판의 제조 장치 및 제조 방법
DE102006022882B4 (de) * 2006-05-15 2016-04-14 Immobiliengesellschaft Helmut Fischer Gmbh & Co. Kg Vorrichtung zum Messen der Dicke dünner Schichten mit einer Messsonde
US8215946B2 (en) 2006-05-18 2012-07-10 Molecular Imprints, Inc. Imprint lithography system and method
US20080023885A1 (en) * 2006-06-15 2008-01-31 Nanochip, Inc. Method for forming a nano-imprint lithography template having very high feature counts
US8015939B2 (en) * 2006-06-30 2011-09-13 Asml Netherlands B.V. Imprintable medium dispenser
US8318253B2 (en) * 2006-06-30 2012-11-27 Asml Netherlands B.V. Imprint lithography
US8707890B2 (en) 2006-07-18 2014-04-29 Asml Netherlands B.V. Imprint lithography
US7613538B2 (en) * 2006-07-24 2009-11-03 Hewlett-Packard Development Company, L.P. Compensation for distortion in contact lithography
KR101290598B1 (ko) * 2006-09-07 2013-07-29 엘지디스플레이 주식회사 횡전계형 액정표시장치용 컬러필터 기판의 제조방법
US7780431B2 (en) * 2006-09-14 2010-08-24 Hewlett-Packard Development Company, L.P. Nanoimprint molds and methods of forming the same
JP5027468B2 (ja) * 2006-09-15 2012-09-19 日本ミクロコーティング株式会社 プローブクリーニング用又はプローブ加工用シート、及びプローブ加工方法
WO2008097278A2 (en) 2006-09-19 2008-08-14 Molecular Imprints, Inc. Etch-enhanced technique for lift-off patterning
US20080090312A1 (en) * 2006-10-17 2008-04-17 Inkyu Park LITHOGRAPHY ALIGNMENT SYSTEM AND METHOD USING nDSE-BASED FEEDBACK CONTROL
US7612882B2 (en) * 2006-10-20 2009-11-03 Hewlett-Packard Development Company, L.P. Optical gratings, lithography tools including such optical gratings and methods for using same for alignment
TW200819546A (en) * 2006-10-30 2008-05-01 Jinn P Chu In-air micro and nanoimprint of bulk metallic glasses and a method for making the same
US8377361B2 (en) * 2006-11-28 2013-02-19 Wei Zhang Imprint lithography with improved substrate/mold separation
KR100790899B1 (ko) * 2006-12-01 2008-01-03 삼성전자주식회사 얼라인 마크가 형성된 템플릿 및 그 제조 방법
US20080303187A1 (en) * 2006-12-29 2008-12-11 Molecular Imprints, Inc. Imprint Fluid Control
KR101348184B1 (ko) * 2007-01-19 2014-01-07 삼성디스플레이 주식회사 임프린트 장치 및 방법
EP2118706B1 (en) 2007-02-06 2019-09-18 Canon Kabushiki Kaisha Imprint apparatus and process
US7749422B2 (en) * 2007-03-30 2010-07-06 International Business Machines Corporation Release layer for imprinted photocationic curable resins
KR20080096901A (ko) * 2007-04-30 2008-11-04 삼성전자주식회사 임프린트방법 및 상기 임프린트방법을 이용한 표시기판제조방법
KR100931603B1 (ko) * 2007-05-10 2009-12-14 주식회사 에이디피엔지니어링 임프린트 공정 시스템 및 패턴형성방법
JP2010537395A (ja) * 2007-05-30 2010-12-02 モレキュラー・インプリンツ・インコーポレーテッド 窒化ケイ素、炭化ケイ素、または酸窒化ケイ素膜を有するテンプレート
WO2008151107A2 (en) * 2007-06-01 2008-12-11 Massachusetts Institute Of Technology High-resolution flexural stage for in-plane position and out-of-plane pitch/roll alignment
JP5570688B2 (ja) * 2007-06-28 2014-08-13 ピーエスフォー ルクスコ エスエイアールエル 微細レジストパターン形成方法及びナノインプリントモールド構造
US20090014917A1 (en) * 2007-07-10 2009-01-15 Molecular Imprints, Inc. Drop Pattern Generation for Imprint Lithography
US7837907B2 (en) * 2007-07-20 2010-11-23 Molecular Imprints, Inc. Alignment system and method for a substrate in a nano-imprint process
JP2009034926A (ja) * 2007-08-02 2009-02-19 Sumitomo Electric Ind Ltd 樹脂パターン形成方法
US20090038636A1 (en) * 2007-08-09 2009-02-12 Asml Netherlands B.V. Cleaning method
US7854877B2 (en) 2007-08-14 2010-12-21 Asml Netherlands B.V. Lithography meandering order
US8144309B2 (en) * 2007-09-05 2012-03-27 Asml Netherlands B.V. Imprint lithography
KR20090029320A (ko) * 2007-09-18 2009-03-23 삼성전자주식회사 임프린팅 방법, 이를 이용한 박막 트랜지스터 기판의제조방법 및 이를 이용한 컬러필터 기판의 제조방법
US8119052B2 (en) * 2007-11-02 2012-02-21 Molecular Imprints, Inc. Drop pattern generation for imprint lithography
US7906274B2 (en) * 2007-11-21 2011-03-15 Molecular Imprints, Inc. Method of creating a template employing a lift-off process
US8945444B2 (en) 2007-12-04 2015-02-03 Canon Nanotechnologies, Inc. High throughput imprint based on contact line motion tracking control
US20090147237A1 (en) * 2007-12-05 2009-06-11 Molecular Imprints, Inc. Spatial Phase Feature Location
NL1036215A1 (nl) * 2007-12-11 2009-06-15 Asml Netherlands Bv Lithographic method and carrier substrate.
WO2009085286A1 (en) * 2007-12-28 2009-07-09 Molecular Imprints, Inc. Template pattern density doubling
US8361371B2 (en) * 2008-02-08 2013-01-29 Molecular Imprints, Inc. Extrusion reduction in imprint lithography
US20090212012A1 (en) * 2008-02-27 2009-08-27 Molecular Imprints, Inc. Critical dimension control during template formation
US8105736B2 (en) * 2008-03-13 2012-01-31 Miradia Inc. Method and system for overlay correction during photolithography
US8187515B2 (en) * 2008-04-01 2012-05-29 Molecular Imprints, Inc. Large area roll-to-roll imprint lithography
US8795572B2 (en) * 2008-04-17 2014-08-05 Massachusetts Institute Of Technology Symmetric thermocentric flexure with minimal yaw error motion
US20090263729A1 (en) * 2008-04-21 2009-10-22 Micron Technology, Inc. Templates for imprint lithography and methods of fabricating and using such templates
US20090294028A1 (en) * 2008-06-03 2009-12-03 Nanochip, Inc. Process for fabricating high density storage device with high-temperature media
JP5123059B2 (ja) * 2008-06-09 2013-01-16 株式会社東芝 半導体装置の製造方法
US20100015270A1 (en) * 2008-07-15 2010-01-21 Molecular Imprints, Inc. Inner cavity system for nano-imprint lithography
US20100039919A1 (en) * 2008-08-15 2010-02-18 Nanochip, Inc. Cantilever Structure for Use in Seek-and-Scan Probe Storage
TW201022017A (en) * 2008-09-30 2010-06-16 Molecular Imprints Inc Particle mitigation for imprint lithography
US8237133B2 (en) * 2008-10-10 2012-08-07 Molecular Imprints, Inc. Energy sources for curing in an imprint lithography system
US20100092599A1 (en) * 2008-10-10 2010-04-15 Molecular Imprints, Inc. Complementary Alignment Marks for Imprint Lithography
US8562892B2 (en) * 2008-10-14 2013-10-22 The Regents Of The University Of California Mechanical process for producing particles in a fluid
US8586126B2 (en) 2008-10-21 2013-11-19 Molecular Imprints, Inc. Robust optimization to generate drop patterns in imprint lithography which are tolerant of variations in drop volume and drop placement
US8512797B2 (en) * 2008-10-21 2013-08-20 Molecular Imprints, Inc. Drop pattern generation with edge weighting
US20100095862A1 (en) * 2008-10-22 2010-04-22 Molecular Imprints, Inc. Double Sidewall Angle Nano-Imprint Template
US8877073B2 (en) * 2008-10-27 2014-11-04 Canon Nanotechnologies, Inc. Imprint lithography template
US8345242B2 (en) * 2008-10-28 2013-01-01 Molecular Imprints, Inc. Optical system for use in stage control
US20100112220A1 (en) * 2008-11-03 2010-05-06 Molecular Imprints, Inc. Dispense system set-up and characterization
US9122148B2 (en) * 2008-11-03 2015-09-01 Canon Nanotechnologies, Inc. Master template replication
US8231821B2 (en) * 2008-11-04 2012-07-31 Molecular Imprints, Inc. Substrate alignment
US8432548B2 (en) * 2008-11-04 2013-04-30 Molecular Imprints, Inc. Alignment for edge field nano-imprinting
US8529778B2 (en) * 2008-11-13 2013-09-10 Molecular Imprints, Inc. Large area patterning of nano-sized shapes
JP4825891B2 (ja) * 2009-03-31 2011-11-30 株式会社東芝 半導体装置の製造方法およびテンプレート
US8339573B2 (en) * 2009-05-27 2012-12-25 3M Innovative Properties Company Method and apparatus for photoimaging a substrate
US8378252B2 (en) * 2009-05-29 2013-02-19 Electro Scientific Industries, Inc. Method and apparatus for hybrid resolution feedback of a motion stage
NL2004932A (en) 2009-07-27 2011-01-31 Asml Netherlands Bv Imprint lithography template.
US20110084417A1 (en) * 2009-10-08 2011-04-14 Molecular Imprints, Inc. Large area linear array nanoimprinting
US20110085968A1 (en) * 2009-10-13 2011-04-14 The Regents Of The University Of California Articles comprising nano-materials for geometry-guided stem cell differentiation and enhanced bone growth
NL2005266A (en) 2009-10-28 2011-05-02 Asml Netherlands Bv Imprint lithography.
US8514374B2 (en) * 2009-11-04 2013-08-20 International Business Machines Corporation Alignment method for semiconductor processing
US8402638B1 (en) 2009-11-06 2013-03-26 Wd Media, Inc. Press system with embossing foil free to expand for nano-imprinting of recording media
US8496466B1 (en) 2009-11-06 2013-07-30 WD Media, LLC Press system with interleaved embossing foil holders for nano-imprinting of recording media
US9330685B1 (en) 2009-11-06 2016-05-03 WD Media, LLC Press system for nano-imprinting of recording media with a two step pressing method
US20120256890A1 (en) * 2009-12-24 2012-10-11 Sharp Kabushiki Kaisha Display module and method for manufacturing display module
KR101772993B1 (ko) * 2010-02-05 2017-08-31 캐논 나노테크놀로지즈 인코퍼레이티드 고 콘트라스트 정렬 마크를 갖는 주형
KR20110092546A (ko) * 2010-02-09 2011-08-18 삼성전자주식회사 나노 임프린트용 장치 및 이를 이용한 반도체 소자의 형성방법
JP5451450B2 (ja) * 2010-02-24 2014-03-26 キヤノン株式会社 インプリント装置及びそのテンプレート並びに物品の製造方法
JP5574801B2 (ja) * 2010-04-26 2014-08-20 キヤノン株式会社 インプリント装置及び物品の製造方法
JP2012064810A (ja) * 2010-09-16 2012-03-29 Toshiba Corp ナノインプリント用テンプレート及びパターン転写装置
JP5404570B2 (ja) 2010-09-24 2014-02-05 株式会社東芝 滴下制御方法および滴下制御装置
JP5002695B2 (ja) * 2010-09-24 2012-08-15 株式会社東芝 微細加工方法、微細加工装置、および微細加工プログラム
EP2635419B1 (en) * 2010-11-05 2020-06-17 Molecular Imprints, Inc. Patterning of non-convex shaped nanostructures
TWI542952B (zh) 2010-12-02 2016-07-21 Asml控股公司 圖案化裝置支撐件
JP5850717B2 (ja) * 2010-12-02 2016-02-03 キヤノン株式会社 インプリント装置、及びそれを用いた物品の製造方法
US9436080B2 (en) * 2010-12-17 2016-09-06 Carl Zeiss Sms Gmbh Method and apparatus for correcting errors on a wafer processed by a photolithographic mask
JP5744548B2 (ja) * 2011-02-02 2015-07-08 キヤノン株式会社 保持装置、それを用いたインプリント装置および物品の製造方法
JP5759195B2 (ja) * 2011-02-07 2015-08-05 キヤノン株式会社 型、インプリント方法及び物品製造方法
WO2012149029A2 (en) * 2011-04-25 2012-11-01 Molecular Imprints, Inc. Optically absorptive material for alignment marks
CA2835073A1 (en) * 2011-05-04 2012-11-08 The University Of Akron Suppression of dewetting of polymer films via inexpensive soft lithography
SG188770A1 (en) * 2011-09-22 2013-04-30 Agency Science Tech & Res A process for making a patterned metal oxide structure
US9599525B2 (en) * 2011-09-30 2017-03-21 Sensitronics, LLC Pre-loaded force sensors
JP6021606B2 (ja) * 2011-11-28 2016-11-09 キヤノン株式会社 インプリント装置、それを用いた物品の製造方法、およびインプリント方法
JP5930699B2 (ja) * 2011-12-20 2016-06-08 キヤノン株式会社 インプリント装置、インプリント方法およびデバイスの製造方法
JP5948102B2 (ja) * 2012-03-26 2016-07-06 株式会社Screenホールディングス 転写装置および転写方法
US8834146B2 (en) 2012-10-24 2014-09-16 Massachusetts Institute Of Technology System for passive alignment of surfaces
US20140205702A1 (en) * 2013-01-24 2014-07-24 Kabushiki Kaisha Toshiba Template, manufacturing method of the template, and position measuring method in the template
US20140209567A1 (en) * 2013-01-29 2014-07-31 Kabushiki Kaisha Toshiba Template, manufacturing method of the template, and strain measuring method in the template
US9385089B2 (en) 2013-01-30 2016-07-05 Seagate Technology Llc Alignment mark recovery with reduced topography
US9426886B2 (en) 2013-01-30 2016-08-23 Seagate Technology Llc Electrical connection with reduced topography
KR102094974B1 (ko) * 2013-03-08 2020-03-30 삼성전자주식회사 오버레이 계측 방법
US9343089B2 (en) 2013-03-08 2016-05-17 Seagate Technology Llc Nanoimprint lithography for thin film heads
JP6060796B2 (ja) * 2013-04-22 2017-01-18 大日本印刷株式会社 インプリントモールド及びダミーパターン設計方法
US10118315B1 (en) * 2013-05-06 2018-11-06 Surfx Technologies Llc Preparing tool surfaces for composites
JP6418773B2 (ja) 2013-05-14 2018-11-07 キヤノン株式会社 インプリント装置、インプリント方法および物品の製造方法
JP6333039B2 (ja) 2013-05-16 2018-05-30 キヤノン株式会社 インプリント装置、デバイス製造方法およびインプリント方法
JP6315904B2 (ja) 2013-06-28 2018-04-25 キヤノン株式会社 インプリント方法、インプリント装置及びデバイスの製造方法
JP5960198B2 (ja) 2013-07-02 2016-08-02 キヤノン株式会社 パターン形成方法、リソグラフィ装置、リソグラフィシステムおよび物品製造方法
JP6282069B2 (ja) * 2013-09-13 2018-02-21 キヤノン株式会社 インプリント装置、インプリント方法、検出方法及びデバイス製造方法
US9853229B2 (en) 2013-10-23 2017-12-26 University Of Southern California Organic electroluminescent materials and devices
US9563946B2 (en) * 2014-07-22 2017-02-07 Taiwan Semiconductor Manufacturing Co., Ltd. Overlay metrology method and overlay control method and system
US10026609B2 (en) 2014-10-23 2018-07-17 Board Of Regents, The University Of Texas System Nanoshape patterning techniques that allow high-speed and low-cost fabrication of nanoshape structures
JP6011671B2 (ja) * 2015-04-02 2016-10-19 大日本印刷株式会社 インプリント用基板およびインプリント方法
JP2018526812A (ja) * 2015-06-15 2018-09-13 ザイゴ コーポレーションZygo Corporation 変形体の変位測定
US10156786B2 (en) * 2015-09-30 2018-12-18 Thomas E. Seidel Method and structure for nanoimprint lithography masks using optical film coatings
EP3362189A4 (en) 2015-10-15 2019-06-26 Board of Regents, The University of Texas System VERSATILE PROCESS FOR PRECISELY NANOSCALE MANUFACTURE
DE102015120535A1 (de) 2015-11-26 2017-06-01 Leibniz-Institut für Oberflächenmodifizierung e.V. Vorrichtung und Verfahren zur Herstellung einer beidseitig mikrostrukturierten Folie
US11131922B2 (en) 2016-06-06 2021-09-28 Canon Kabushiki Kaisha Imprint lithography template, system, and method of imprinting
KR20180023102A (ko) 2016-08-23 2018-03-07 삼성디스플레이 주식회사 와이어 그리드 패턴 및 이의 제조방법
JP7164289B2 (ja) 2016-09-05 2022-11-01 東京エレクトロン株式会社 半導体プロセッシング中のオーバレイを制御するための湾曲を制御する応力の位置特定チューニング
US10274823B2 (en) 2016-10-18 2019-04-30 Molecular Imprints, Inc. Microlithographic fabrication of structures
JP6342570B1 (ja) * 2016-12-27 2018-06-13 株式会社アルバック ギャップ計測方法
CN110546734A (zh) 2017-03-08 2019-12-06 佳能株式会社 固化物图案的制造方法和光学部件、电路板和石英模具复制品的制造方法以及用于压印预处理的涂覆材料及其固化物
KR102256347B1 (ko) 2017-03-08 2021-05-27 캐논 가부시끼가이샤 패턴 형성 방법, 및 가공 기판, 광학 부품 및 석영 몰드 레플리카의 제조 방법, 및 임프린트 전처리 코팅 재료 및 그와 임프린트 레지스트와의 세트
US10996560B2 (en) 2017-07-31 2021-05-04 Canon Kabushiki Kaisha Real-time correction of template deformation in nanoimprint lithography
US10866510B2 (en) * 2017-07-31 2020-12-15 Canon Kabushiki Kaisha Overlay improvement in nanoimprint lithography
JP6957281B2 (ja) * 2017-09-12 2021-11-02 キオクシア株式会社 テンプレートの作製方法、および半導体装置の製造方法
US10606170B2 (en) 2017-09-14 2020-03-31 Canon Kabushiki Kaisha Template for imprint lithography and methods of making and using the same
US10409178B2 (en) 2017-12-18 2019-09-10 Canon Kabushiki Kaisha Alignment control in nanoimprint lithography based on real-time system identification
US10996561B2 (en) 2017-12-26 2021-05-04 Canon Kabushiki Kaisha Nanoimprint lithography with a six degrees-of-freedom imprint head module
PT3657114T (pt) 2018-11-26 2021-07-07 Alfa Laval Corp Ab Placa de transferência de calor
US10901327B2 (en) * 2018-12-20 2021-01-26 Canon Kabushiki Kaisha Automatic defect analyzer for nanoimprint lithography using image analysis
JP7222702B2 (ja) * 2018-12-26 2023-02-15 三星電子株式会社 ウエハの接合方法、半導体装置の製造方法、及びその装置
DE102019101346A1 (de) 2019-01-18 2020-07-23 Osram Opto Semiconductors Gmbh Nanostempelverfahren und nanooptisches bauteil
WO2020234848A1 (en) * 2019-05-22 2020-11-26 Vuereal Inc. Systems and methods for transferring devices or patterns to a substrate
KR102168476B1 (ko) * 2019-08-27 2020-10-21 한국기계연구원 투명스탬프 제조장치 및 제조방법, 상기 제조장치로 만들어진 투명스탬프, 상기 투명스탬프를 이용한 임프린트 리소그래피 방법
US11656546B2 (en) 2020-02-27 2023-05-23 Canon Kabushiki Kaisha Exposure apparatus for uniform light intensity and methods of using the same
US11443940B2 (en) 2020-06-24 2022-09-13 Canon Kabushiki Kaisha Apparatus for uniform light intensity and methods of using the same
EP4281832A1 (en) 2021-01-20 2023-11-29 Applied Materials, Inc. Anti-slippery stamp landing ring
US11815811B2 (en) 2021-03-23 2023-11-14 Canon Kabushiki Kaisha Magnification ramp scheme to mitigate template slippage

Family Cites Families (300)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3783520A (en) 1970-09-28 1974-01-08 Bell Telephone Labor Inc High accuracy alignment procedure utilizing moire patterns
US3807027A (en) 1972-03-31 1974-04-30 Johns Manville Method of forming the bell end of a bell and spigot joint
US3811665A (en) 1972-09-05 1974-05-21 Bendix Corp Flexural pivot with diaphragm means
US3807029A (en) 1972-09-05 1974-04-30 Bendix Corp Method of making a flexural pivot
FR2325018A1 (fr) * 1975-06-23 1977-04-15 Ibm Dispositif de mesure d'intervalle pour definir la distance entre deux faces ou plus
IT1068535B (it) 1975-11-03 1985-03-21 Ibm Apparecchio e processo elettrolito grafico
US4062600A (en) 1976-04-05 1977-12-13 Litton Systems, Inc. Dual-gimbal gyroscope flexure suspension
US4119588A (en) 1976-09-14 1978-10-10 The B. F. Goodrich Company Reversion resistant rubber compositions with thio carbamyl sulfenamides
US4098001A (en) 1976-10-13 1978-07-04 The Charles Stark Draper Laboratory, Inc. Remote center compliance system
GB1578259A (en) * 1977-05-11 1980-11-05 Philips Electronic Associated Methods of manufacturing solid-state devices apparatus for use therein and devices manufactured thereby
US4155169A (en) 1978-03-16 1979-05-22 The Charles Stark Draper Laboratory, Inc. Compliant assembly system device
US4201800A (en) 1978-04-28 1980-05-06 International Business Machines Corp. Hardened photoresist master image mask process
JPS6053675B2 (ja) 1978-09-20 1985-11-27 富士写真フイルム株式会社 スピンコ−テイング方法
US4202107A (en) 1978-10-23 1980-05-13 Watson Paul C Remote axis admittance system
US4326805A (en) 1980-04-11 1982-04-27 Bell Telephone Laboratories, Incorporated Method and apparatus for aligning mask and wafer members
US4337579A (en) * 1980-04-16 1982-07-06 The Charles Stark Draper Laboratory, Inc. Deformable remote center compliance device
US4355469A (en) 1980-11-28 1982-10-26 The Charles Stark Draper Laboratory, Inc. Folded remote center compliance device
US4414750A (en) 1981-10-19 1983-11-15 The Charles Stark Draper Laboratory, Inc. Single stage remote center compliance device
DE3208081A1 (de) * 1982-03-06 1983-09-08 Braun Ag, 6000 Frankfurt Verfahren zur herstellung einer siebartigen scherfolie fuer einen elektrisch betriebenen trockenrasierapparat mit erhebungen auf ihrer der haut zugewandten flaeche
US4426247A (en) 1982-04-12 1984-01-17 Nippon Telegraph & Telephone Public Corporation Method for forming micropattern
US4440804A (en) 1982-08-02 1984-04-03 Fairchild Camera & Instrument Corporation Lift-off process for fabricating self-aligned contacts
US4544572A (en) 1982-09-07 1985-10-01 Minnesota Mining And Manufacturing Company Coated ophthalmic lenses and method for coating the same
JPS5972727A (ja) 1982-10-19 1984-04-24 Matsushita Electric Ind Co Ltd 位置合わせ用テ−ブル
US4451507A (en) 1982-10-29 1984-05-29 Rca Corporation Automatic liquid dispensing apparatus for spinning surface of uniform thickness
FR2538923A1 (fr) * 1982-12-30 1984-07-06 Thomson Csf Procede et dispositif d'alignement optique de motifs dans deux plans rapproches dans un appareil d'exposition comprenant une source de rayonnement divergent
US4507331A (en) 1983-12-12 1985-03-26 International Business Machines Corporation Dry process for forming positive tone micro patterns
US4512848A (en) * 1984-02-06 1985-04-23 Exxon Research And Engineering Co. Procedure for fabrication of microstructures over large areas using physical replication
US4552833A (en) 1984-05-14 1985-11-12 International Business Machines Corporation Radiation sensitive and oxygen plasma developable resist
US4694703A (en) 1984-06-28 1987-09-22 Lear Siegler, Inc. Circumferentially oriented flexure suspension
US5571471A (en) * 1984-08-08 1996-11-05 3D Systems, Inc. Method of production of three-dimensional objects by stereolithography
JPS61116358A (ja) 1984-11-09 1986-06-03 Mitsubishi Electric Corp フオトマスク材料
US4908298A (en) 1985-03-19 1990-03-13 International Business Machines Corporation Method of creating patterned multilayer films for use in production of semiconductor circuits and systems
EP0228671A1 (en) 1985-12-23 1987-07-15 General Electric Company Method for the production of a coated substrate with controlled surface characteristics
US4657845A (en) 1986-01-14 1987-04-14 International Business Machines Corporation Positive tone oxygen plasma developable photoresist
US4692205A (en) 1986-01-31 1987-09-08 International Business Machines Corporation Silicon-containing polyimides as oxygen etch stop and dual dielectric coatings
US4724222A (en) 1986-04-28 1988-02-09 American Telephone And Telegraph Company, At&T Bell Laboratories Wafer chuck comprising a curved reference surface
US4737425A (en) 1986-06-10 1988-04-12 International Business Machines Corporation Patterned resist and process
KR900004269B1 (ko) * 1986-06-11 1990-06-18 가부시기가이샤 도시바 제 1물체와 제 2 물체와의 위치 맞추는 방법 및 장치
US4929083A (en) 1986-06-19 1990-05-29 Xerox Corporation Focus and overlay characterization and optimization for photolithographic exposure
DE3760773D1 (en) 1986-07-25 1989-11-16 Oki Electric Ind Co Ltd Negative resist material, method for its manufacture and method for using it
JPS6334108A (ja) * 1986-07-30 1988-02-13 Hitachi Ltd 光デイスク用基板の製造方法および装置
JPS6376330A (ja) 1986-09-18 1988-04-06 Oki Electric Ind Co Ltd 半導体装置の製造方法
FR2604553A1 (fr) 1986-09-29 1988-04-01 Rhone Poulenc Chimie Substrat polymere rigide pour disque optique et les disques optiques obtenus a partir dudit substrat
US4707218A (en) 1986-10-28 1987-11-17 International Business Machines Corporation Lithographic image size reduction
JPH06104375B2 (ja) * 1986-11-10 1994-12-21 松下電器産業株式会社 印刷方法
JPS63162132A (ja) 1986-12-26 1988-07-05 Nippon Thompson Co Ltd Xyテ−ブル
US4931351A (en) 1987-01-12 1990-06-05 Eastman Kodak Company Bilayer lithographic process
US5736424A (en) * 1987-02-27 1998-04-07 Lucent Technologies Inc. Device fabrication involving planarization
US6391798B1 (en) 1987-02-27 2002-05-21 Agere Systems Guardian Corp. Process for planarization a semiconductor substrate
US4731155A (en) 1987-04-15 1988-03-15 General Electric Company Process for forming a lithographic mask
US4772878A (en) * 1987-05-06 1988-09-20 Kane Roger A Merchandise theft deterrent sensor
US4808511A (en) 1987-05-19 1989-02-28 International Business Machines Corporation Vapor phase photoresist silylation process
US4936951A (en) 1987-10-26 1990-06-26 Matsushita Electric Industrial Co., Ltd. Method of reducing proximity effect in electron beam resists
US5028366A (en) * 1988-01-12 1991-07-02 Air Products And Chemicals, Inc. Water based mold release compositions for making molded polyurethane foam
US4883561A (en) * 1988-03-29 1989-11-28 Bell Communications Research, Inc. Lift-off and subsequent bonding of epitaxial films
US4846931A (en) * 1988-03-29 1989-07-11 Bell Communications Research, Inc. Method for lifting-off epitaxial films
US4891303A (en) 1988-05-26 1990-01-02 Texas Instruments Incorporated Trilayer microlithographic process using a silicon-based resist as the middle layer
JPH0224848A (ja) 1988-07-14 1990-01-26 Canon Inc 光記録媒体用基板の製造方法
JPH0269936A (ja) 1988-07-28 1990-03-08 Siemens Ag 半導体材料上の樹脂構造の形成方法
US5108875A (en) 1988-07-29 1992-04-28 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
US4921778A (en) 1988-07-29 1990-05-01 Shipley Company Inc. Photoresist pattern fabrication employing chemically amplified metalized material
EP0355496A3 (en) * 1988-08-15 1990-10-10 Sumitomo Heavy Industries Co., Ltd. Position detector employing a sector fresnel zone plate
JP2546350B2 (ja) 1988-09-09 1996-10-23 キヤノン株式会社 位置合わせ装置
JPH0292603A (ja) 1988-09-30 1990-04-03 Hoya Corp 案内溝付き情報記録用基板の製造方法
US5876550A (en) * 1988-10-05 1999-03-02 Helisys, Inc. Laminated object manufacturing apparatus and method
US5171490A (en) 1988-11-29 1992-12-15 Fudim Efrem V Method and apparatus for production of three-dimensional objects by irradiation of photopolymers
US4964945A (en) 1988-12-09 1990-10-23 Minnesota Mining And Manufacturing Company Lift off patterning process on a flexible substrate
US5439766A (en) 1988-12-30 1995-08-08 International Business Machines Corporation Composition for photo imaging
CA2010169A1 (en) 1989-02-21 1990-08-21 Masakazu Uekita Multi-layer resist
US4999280A (en) 1989-03-17 1991-03-12 International Business Machines Corporation Spray silylation of photoresist images
US5169494A (en) 1989-03-27 1992-12-08 Matsushita Electric Industrial Co., Ltd. Fine pattern forming method
JP3001607B2 (ja) 1989-04-24 2000-01-24 シーメンス、アクチエンゲゼルシヤフト 二層法における寸法安定な構造転写方法
EP0394741B1 (de) 1989-04-24 1997-06-25 Siemens Aktiengesellschaft Verfahren zur Erzeugung ätzresistenter Strukturen
US5110514A (en) 1989-05-01 1992-05-05 Soane Technologies, Inc. Controlled casting of a shrinkable material
US5053318A (en) 1989-05-18 1991-10-01 Shipley Company Inc. Plasma processing with metal mask integration
CA2011927C (en) 1989-06-02 1996-12-24 Alan Lee Sidman Microlithographic method for producing thick, vertically-walled photoresist patterns
US4919748A (en) 1989-06-30 1990-04-24 At&T Bell Laboratories Method for tapered etching
JP2704001B2 (ja) * 1989-07-18 1998-01-26 キヤノン株式会社 位置検出装置
US5151754A (en) * 1989-10-06 1992-09-29 Kabushiki Kaisha Toshiba Method and an apparatus for measuring a displacement between two objects and a method and an apparatus for measuring a gap distance between two objects
US5139925A (en) 1989-10-18 1992-08-18 Massachusetts Institute Of Technology Surface barrier silylation of novolak film without photoactive additive patterned with 193 nm excimer laser
US5362606A (en) 1989-10-18 1994-11-08 Massachusetts Institute Of Technology Positive resist pattern formation through focused ion beam exposure and surface barrier silylation
US5505349A (en) * 1990-02-09 1996-04-09 Berg Company, A Division Of Dec International, Inc. Electronic dispensing heads
JP3197010B2 (ja) * 1990-03-05 2001-08-13 株式会社東芝 間隔設定方法及び間隔設定装置
US5073230A (en) * 1990-04-17 1991-12-17 Arizona Board Of Regents Acting On Behalf Of Arizona State University Means and methods of lifting and relocating an epitaxial device layer
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
JP2586692B2 (ja) * 1990-05-24 1997-03-05 松下電器産業株式会社 パターン形成材料およびパターン形成方法
JP2524436B2 (ja) 1990-09-18 1996-08-14 インターナショナル・ビジネス・マシーンズ・コーポレイション 表面処理方法
DE4029912A1 (de) 1990-09-21 1992-03-26 Philips Patentverwaltung Verfahren zur bildung mindestens eines grabens in einer substratschicht
US5314772A (en) 1990-10-09 1994-05-24 Arizona Board Of Regents High resolution, multi-layer resist for microlithography and method therefor
US5126006A (en) 1990-10-30 1992-06-30 International Business Machines Corp. Plural level chip masking
US5072126A (en) 1990-10-31 1991-12-10 International Business Machines Corporation Promixity alignment using polarized illumination and double conjugate projection lens
JP2796899B2 (ja) * 1991-02-16 1998-09-10 住友重機械工業株式会社 色収差2重焦点装置における帯域光および複色光照明方法
DE4108539A1 (de) 1991-03-15 1992-09-17 Hoesch Metall & Kunststoffwerk Verfahren zur desinfektion von rohrleitungssystemen sanitaerer anlagen und whirlpool-anlagen zur durchfuehrung des verfahrens
US5155749A (en) * 1991-03-28 1992-10-13 International Business Machines Corporation Variable magnification mask for X-ray lithography
US5240878A (en) 1991-04-26 1993-08-31 International Business Machines Corporation Method for forming patterned films on a substrate
US5212147A (en) 1991-05-15 1993-05-18 Hewlett-Packard Company Method of forming a patterned in-situ high Tc superconductive film
US5206983A (en) * 1991-06-24 1993-05-04 Wisconsin Alumni Research Foundation Method of manufacturing micromechanical devices
US5421981A (en) 1991-06-26 1995-06-06 Ppg Industries, Inc. Electrochemical sensor storage device
EP0524759A1 (en) 1991-07-23 1993-01-27 AT&T Corp. Device fabrication process
US5242711A (en) 1991-08-16 1993-09-07 Rockwell International Corp. Nucleation control of diamond films by microlithographic patterning
US5563702A (en) * 1991-08-22 1996-10-08 Kla Instruments Corporation Automated photomask inspection apparatus and method
JPH0553289A (ja) * 1991-08-22 1993-03-05 Nec Corp 位相シフトレチクルの製造方法
US5357122A (en) * 1991-09-05 1994-10-18 Sony Corporation Three-dimensional optical-electronic integrated circuit device with raised sections
US5317386A (en) 1991-09-06 1994-05-31 Eastman Kodak Company Optical monitor for measuring a gap between two rollers
EP0534720B1 (en) * 1991-09-24 1998-05-27 Raphael L. Levien Register marks
JPH0580530A (ja) * 1991-09-24 1993-04-02 Hitachi Ltd 薄膜パターン製造方法
US5277749A (en) * 1991-10-17 1994-01-11 International Business Machines Corporation Methods and apparatus for relieving stress and resisting stencil delamination when performing lift-off processes that utilize high stress metals and/or multiple evaporation steps
US5263073A (en) * 1991-12-20 1993-11-16 Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College Scanning systems for high resolution E-beam and X-ray lithography
JP3074579B2 (ja) * 1992-01-31 2000-08-07 キヤノン株式会社 位置ずれ補正方法
US5204739A (en) 1992-02-07 1993-04-20 Karl Suss America, Inc. Proximity mask alignment using a stored video image
US5244818A (en) 1992-04-08 1993-09-14 Georgia Tech Research Corporation Processes for lift-off of thin film materials and for the fabrication of three dimensional integrated circuits
US5545367A (en) 1992-04-15 1996-08-13 Soane Technologies, Inc. Rapid prototype three dimensional stereolithography
DK0567831T3 (da) 1992-04-28 2003-06-10 Sika Schweiz Ag Hærdemiddel til vandige epoxidharpiksdispersioner, fremgangsmåde til dets fremstilling og dets anvendelse
EP0568478A1 (en) 1992-04-29 1993-11-03 International Business Machines Corporation Darkfield alignment system using a confocal spatial filter
US5731981A (en) * 1992-06-08 1998-03-24 Azbar, Inc. Beverage dispensing system for bar
US5376810A (en) 1992-06-26 1994-12-27 California Institute Of Technology Growth of delta-doped layers on silicon CCD/S for enhanced ultraviolet response
US5601641A (en) * 1992-07-21 1997-02-11 Tse Industries, Inc. Mold release composition with polybutadiene and method of coating a mold core
US5431777A (en) 1992-09-17 1995-07-11 International Business Machines Corporation Methods and compositions for the selective etching of silicon
TW227628B (zh) 1992-12-10 1994-08-01 Samsung Electronics Co Ltd
JPH06183561A (ja) * 1992-12-18 1994-07-05 Canon Inc 移動ステージ装置
JP2821073B2 (ja) * 1992-12-18 1998-11-05 松下電器産業株式会社 ギャップ制御装置及びギャップ制御方法
US6153886A (en) * 1993-02-19 2000-11-28 Nikon Corporation Alignment apparatus in projection exposure apparatus
DE69405451T2 (de) * 1993-03-16 1998-03-12 Koninkl Philips Electronics Nv Verfahren und Vorrichtung zur Herstellung eines strukturierten Reliefbildes aus vernetztem Photoresist auf einer flachen Substratoberfläche
US5348616A (en) 1993-05-03 1994-09-20 Motorola, Inc. Method for patterning a mold
US5884292A (en) * 1993-05-06 1999-03-16 Pitney Bowes Inc. System for smart card funds refill
US5380474A (en) 1993-05-20 1995-01-10 Sandia Corporation Methods for patterned deposition on a substrate
US5414514A (en) * 1993-06-01 1995-05-09 Massachusetts Institute Of Technology On-axis interferometric alignment of plates using the spatial phase of interference patterns
US5324683A (en) 1993-06-02 1994-06-28 Motorola, Inc. Method of forming a semiconductor structure having an air region
JP2837063B2 (ja) 1993-06-04 1998-12-14 シャープ株式会社 レジストパターンの形成方法
JP3325351B2 (ja) 1993-08-18 2002-09-17 株式会社東芝 半導体装置
US5776748A (en) * 1993-10-04 1998-07-07 President And Fellows Of Harvard College Method of formation of microstamped patterns on plates for adhesion of cells and other biological materials, devices and uses therefor
US5512131A (en) 1993-10-04 1996-04-30 President And Fellows Of Harvard College Formation of microstamped patterns on surfaces and derivative articles
US6776094B1 (en) 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
KR970009858B1 (ko) 1994-01-12 1997-06-18 엘지반도체 주식회사 다층 레지스트 패턴 형성방법
KR0157279B1 (ko) * 1994-03-15 1999-05-01 모리시타 요이찌 노광방법
US5417802A (en) 1994-03-18 1995-05-23 At&T Corp. Integrated circuit manufacturing
US5453157A (en) 1994-05-16 1995-09-26 Texas Instruments Incorporated Low temperature anisotropic ashing of resist for semiconductor fabrication
US5670415A (en) * 1994-05-24 1997-09-23 Depositech, Inc. Method and apparatus for vacuum deposition of highly ionized media in an electromagnetic controlled environment
US5425964A (en) 1994-07-22 1995-06-20 Rockwell International Corporation Deposition of multiple layer thin films using a broadband spectral monitor
US5515167A (en) 1994-09-13 1996-05-07 Hughes Aircraft Company Transparent optical chuck incorporating optical monitoring
US5477058A (en) 1994-11-09 1995-12-19 Kabushiki Kaisha Toshiba Attenuated phase-shifting mask with opaque reticle alignment marks
US5458520A (en) 1994-12-13 1995-10-17 International Business Machines Corporation Method for producing planar field emission structure
US6034378A (en) * 1995-02-01 2000-03-07 Nikon Corporation Method of detecting position of mark on substrate, position detection apparatus using this method, and exposure apparatus using this position detection apparatus
US5849209A (en) 1995-03-31 1998-12-15 Johnson & Johnson Vision Products, Inc. Mold material made with additives
US5743998A (en) * 1995-04-19 1998-04-28 Park Scientific Instruments Process for transferring microminiature patterns using spin-on glass resist media
US5820769A (en) * 1995-05-24 1998-10-13 Regents Of The University Of Minnesota Method for making magnetic storage having discrete elements with quantized magnetic moments
US5948570A (en) 1995-05-26 1999-09-07 Lucent Technologies Inc. Process for dry lithographic etching
US5808742A (en) * 1995-05-31 1998-09-15 Massachusetts Institute Of Technology Optical alignment apparatus having multiple parallel alignment marks
US5654238A (en) 1995-08-03 1997-08-05 International Business Machines Corporation Method for etching vertical contact holes without substrate damage caused by directional etching
AU6774996A (en) * 1995-08-18 1997-03-12 President And Fellows Of Harvard College Self-assembled monolayer directed patterning of surfaces
JPH0963929A (ja) * 1995-08-25 1997-03-07 Nippon Telegr & Teleph Corp <Ntt> X線露光装置およびそれを用いたx線露光方法
JPH0988506A (ja) 1995-09-21 1997-03-31 Ngk Insulators Ltd ハイブリッド型ガスタービン動翼用のブレード及びタービンディスク並びにこれらからなるハイブリッド型ガスタービン動翼
US5849222A (en) 1995-09-29 1998-12-15 Johnson & Johnson Vision Products, Inc. Method for reducing lens hole defects in production of contact lens blanks
US20030080471A1 (en) * 2001-10-29 2003-05-01 Chou Stephen Y. Lithographic method for molding pattern with nanoscale features
US7758794B2 (en) * 2001-10-29 2010-07-20 Princeton University Method of making an article comprising nanoscale patterns with reduced edge roughness
US6518189B1 (en) 1995-11-15 2003-02-11 Regents Of The University Of Minnesota Method and apparatus for high density nanostructures
US20040036201A1 (en) * 2000-07-18 2004-02-26 Princeton University Methods and apparatus of field-induced pressure imprint lithography
US6309580B1 (en) * 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US5772905A (en) * 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US6482742B1 (en) * 2000-07-18 2002-11-19 Stephen Y. Chou Fluid pressure imprint lithography
US20040137734A1 (en) * 1995-11-15 2004-07-15 Princeton University Compositions and processes for nanoimprinting
US5725788A (en) * 1996-03-04 1998-03-10 Motorola Apparatus and method for patterning a surface
US5669303A (en) * 1996-03-04 1997-09-23 Motorola Apparatus and method for stamping a surface
US6355198B1 (en) * 1996-03-15 2002-03-12 President And Fellows Of Harvard College Method of forming articles including waveguides via capillary micromolding and microtransfer molding
US20030179354A1 (en) * 1996-03-22 2003-09-25 Nikon Corporation Mask-holding apparatus for a light exposure apparatus and related scanning-exposure method
JPH09283621A (ja) 1996-04-10 1997-10-31 Murata Mfg Co Ltd 半導体装置のt型ゲート電極形成方法およびその構造
US5802914A (en) * 1996-05-30 1998-09-08 Eastman Kodak Company Alignment mechanism using flexures
US5717518A (en) * 1996-07-22 1998-02-10 Kla Instruments Corporation Broad spectrum ultraviolet catadioptric imaging system
US6753131B1 (en) * 1996-07-22 2004-06-22 President And Fellows Of Harvard College Transparent elastomeric, contact-mode photolithography mask, sensor, and wavefront engineering element
US6036055A (en) * 1996-11-12 2000-03-14 Barmate Corporation Wireless liquid portion and inventory control system
JPH10172897A (ja) * 1996-12-05 1998-06-26 Nikon Corp 基板アダプタ,基板保持装置及び基板保持方法
US5895263A (en) 1996-12-19 1999-04-20 International Business Machines Corporation Process for manufacture of integrated circuit device
US5757160A (en) * 1996-12-23 1998-05-26 Svg Lithography Systems, Inc. Moving interferometer wafer stage
US20040022666A1 (en) * 1998-06-30 2004-02-05 Invitrogen Corporation Methods for reducing adventitious agents and toxins and cell culture reagents produced thereby
US6049373A (en) 1997-02-28 2000-04-11 Sumitomo Heavy Industries, Ltd. Position detection technique applied to proximity exposure
US6051179A (en) * 1997-03-19 2000-04-18 Replicator Systems, Inc. Apparatus and method for production of three-dimensional models by spatial light modulator
JP3296239B2 (ja) 1997-03-27 2002-06-24 ウシオ電機株式会社 間隙設定機構を備えたプロキシミティ露光装置
US5948470A (en) * 1997-04-28 1999-09-07 Harrison; Christopher Method of nanoscale patterning and products made thereby
US5948219A (en) 1997-05-07 1999-09-07 Advanced Micro Devices, Inc. Apparatus for selectively exposing a semiconductor topography to an electric field
US5926690A (en) * 1997-05-28 1999-07-20 Advanced Micro Devices, Inc. Run-to-run control process for controlling critical dimensions
US6033977A (en) 1997-06-30 2000-03-07 Siemens Aktiengesellschaft Dual damascene structure
WO1999005724A1 (en) 1997-07-25 1999-02-04 Regents Of The University Of Minnesota Single-electron floating-gate mos memory
US5988859A (en) * 1997-07-30 1999-11-23 Kirk; Lester C. Apparatus for dispensing valuable bulk commodities and method therefor
US6069655A (en) 1997-08-01 2000-05-30 Wells Fargo Alarm Services, Inc. Advanced video security system
JPH11126401A (ja) 1997-10-20 1999-05-11 Sony Corp 記録再生装置
US5937758A (en) * 1997-11-26 1999-08-17 Motorola, Inc. Micro-contact printing stamp
US6539286B1 (en) * 1998-01-26 2003-03-25 Micron Technology, Inc. Fluid level sensor
US6117708A (en) * 1998-02-05 2000-09-12 Micron Technology, Inc. Use of residual organic compounds to facilitate gate break on a carrier substrate for a semiconductor device
SE514520C2 (sv) * 1998-03-05 2001-03-05 Etchtech Sweden Ab Mönsterkort, substrat eller halvledarbricka med en ledare med etsad ytstruktur
US6150680A (en) 1998-03-05 2000-11-21 Welch Allyn, Inc. Field effect semiconductor device having dipole barrier
WO1999045179A1 (en) * 1998-03-05 1999-09-10 Obducat Ab Method of etching
TW352421B (en) * 1998-04-27 1999-02-11 United Microelectronics Corp Method and process of phase shifting mask
JP3780700B2 (ja) 1998-05-26 2006-05-31 セイコーエプソン株式会社 パターン形成方法、パターン形成装置、パターン形成用版、パターン形成用版の製造方法、カラーフィルタの製造方法、導電膜の製造方法及び液晶パネルの製造方法
US6239590B1 (en) * 1998-05-26 2001-05-29 Micron Technology, Inc. Calibration target for calibrating semiconductor wafer test systems
US6150231A (en) * 1998-06-15 2000-11-21 Siemens Aktiengesellschaft Overlay measurement technique using moire patterns
US5907782A (en) * 1998-08-15 1999-05-25 Acer Semiconductor Manufacturing Inc. Method of forming a multiple fin-pillar capacitor for a high density dram cell
US6096655A (en) 1998-09-02 2000-08-01 International Business Machines, Corporation Method for forming vias and trenches in an insulation layer for a dual-damascene multilevel interconnection structure
US6713238B1 (en) * 1998-10-09 2004-03-30 Stephen Y. Chou Microscale patterning and articles formed thereby
AU1444800A (en) 1998-10-09 2000-05-01 Trustees Of Princeton University, The Microscale patterning and articles formed thereby
US6218316B1 (en) 1998-10-22 2001-04-17 Micron Technology, Inc. Planarization of non-planar surfaces in device fabrication
US6437891B1 (en) * 1998-10-27 2002-08-20 Agere Systems Guardian Corp. Integrated dual-wavelength transceiver
US6248486B1 (en) * 1998-11-23 2001-06-19 U.S. Philips Corporation Method of detecting aberrations of an optical imaging system
JP4846888B2 (ja) 1998-12-01 2011-12-28 キヤノン株式会社 位置合わせ方法
US6388755B1 (en) 1998-12-03 2002-05-14 Advanced Optical Technologies, Inc. Wireless position and orientation detecting system
US6251207B1 (en) * 1998-12-31 2001-06-26 Kimberly-Clark Worldwide, Inc. Embossing and laminating irregular bonding patterns
US6387797B1 (en) * 1999-01-20 2002-05-14 Philips Electronics No. America Corp. Method for reducing the capacitance between interconnects by forming voids in dielectric material
US6274294B1 (en) * 1999-02-03 2001-08-14 Electroformed Stents, Inc. Cylindrical photolithography exposure process and apparatus
US6565928B2 (en) 1999-03-08 2003-05-20 Tokyo Electron Limited Film forming method and film forming apparatus
US6334960B1 (en) * 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
US6569481B1 (en) * 1999-03-29 2003-05-27 The Quaker Oats Company Method for making a puffed food starch product
JP4286374B2 (ja) 1999-03-30 2009-06-24 新日鐵化学株式会社 シリコーン樹脂及びこれを含有する感光性樹脂組成物
JP4151151B2 (ja) * 1999-04-06 2008-09-17 松下電器産業株式会社 ダイボンディング用のペースト塗布装置およびペースト塗布方法
US6387783B1 (en) * 1999-04-26 2002-05-14 International Business Machines Corporation Methods of T-gate fabrication using a hybrid resist
JP2000309037A (ja) * 1999-04-27 2000-11-07 Sodick Co Ltd 射出成形機の金型取付装置
JP2000323461A (ja) * 1999-05-11 2000-11-24 Nec Corp 微細パターン形成装置、その製造方法、および形成方法
EP1203304A1 (en) * 1999-05-20 2002-05-08 Lancer Partnership, Ltd. A beverage dispenser including an improved electronic control system
WO2000072093A1 (en) * 1999-05-25 2000-11-30 Massachusetts Institute Of Technology Optical gap measuring apparatus and method using two-dimensional grating mark with chirp in one direction
US6255022B1 (en) 1999-06-17 2001-07-03 Taiwan Semiconductor Manufacturing Company Dry development process for a bi-layer resist system utilized to reduce microloading
JP2001143982A (ja) 1999-06-29 2001-05-25 Applied Materials Inc 半導体デバイス製造のための統合臨界寸法制御
US6190929B1 (en) * 1999-07-23 2001-02-20 Micron Technology, Inc. Methods of forming semiconductor devices and methods of forming field emission displays
EP1072954A3 (en) * 1999-07-28 2002-05-22 Lucent Technologies Inc. Lithographic process for device fabrication
US6383928B1 (en) 1999-09-02 2002-05-07 Texas Instruments Incorporated Post copper CMP clean
JP3507374B2 (ja) * 1999-09-03 2004-03-15 キヤノン株式会社 二次元位相素子の作製方法
US6517995B1 (en) * 1999-09-14 2003-02-11 Massachusetts Institute Of Technology Fabrication of finely featured devices by liquid embossing
JP4091222B2 (ja) * 1999-09-16 2008-05-28 株式会社東芝 加工装置
US6329256B1 (en) 1999-09-24 2001-12-11 Advanced Micro Devices, Inc. Self-aligned damascene gate formation with low gate resistance
US6873087B1 (en) * 1999-10-29 2005-03-29 Board Of Regents, The University Of Texas System High precision orientation alignment and gap control stages for imprint lithography processes
DE19958966A1 (de) * 1999-12-07 2001-06-13 Infineon Technologies Ag Erzeugung von Resiststrukturen
AU779699B2 (en) 1999-12-23 2005-02-10 Universitat Konstanz Methods and apparatus for forming submicron patterns on films
US6498640B1 (en) * 1999-12-30 2002-12-24 Koninklijke Philips Electronics N.V. Method to measure alignment using latent image grating structures
US6337262B1 (en) * 2000-03-06 2002-01-08 Chartered Semiconductor Manufacturing Ltd. Self aligned T-top gate process integration
JP2001277200A (ja) * 2000-03-30 2001-10-09 Toshiba Corp 微細加工装置
JP2001287200A (ja) * 2000-04-07 2001-10-16 Toshiba Corp スタンプ装置、スタンプ方法および原盤作製方法
US6245581B1 (en) * 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
US6921615B2 (en) * 2000-07-16 2005-07-26 Board Of Regents, The University Of Texas System High-resolution overlay alignment methods for imprint lithography
US7635262B2 (en) * 2000-07-18 2009-12-22 Princeton University Lithographic apparatus for fluid pressure imprint lithography
US20050037143A1 (en) * 2000-07-18 2005-02-17 Chou Stephen Y. Imprint lithography with improved monitoring and control and apparatus therefor
US7211214B2 (en) * 2000-07-18 2007-05-01 Princeton University Laser assisted direct imprint lithography
KR20030040378A (ko) * 2000-08-01 2003-05-22 보드 오브 리전츠, 더 유니버시티 오브 텍사스 시스템 임프린트 리소그래피를 위한 투명한 템플릿과 기판사이의고정확성 갭 및 방향설정 감지 방법
US6326627B1 (en) 2000-08-02 2001-12-04 Archimedes Technology Group, Inc. Mass filtering sputtered ion source
US6777170B1 (en) 2000-08-04 2004-08-17 Massachusetts Institute Of Technology Stereolithographic patterning by variable dose light delivery
US6730256B1 (en) 2000-08-04 2004-05-04 Massachusetts Institute Of Technology Stereolithographic patterning with interlayer surface modifications
US8016277B2 (en) * 2000-08-21 2011-09-13 Board Of Regents, The University Of Texas System Flexure based macro motion translation stage
US6451705B1 (en) 2000-08-31 2002-09-17 Micron Technology, Inc. Self-aligned PECVD etch mask
US6455411B1 (en) 2000-09-11 2002-09-24 Texas Instruments Incorporated Defect and etch rate control in trench etch for dual damascene patterning of low-k dielectrics
US6718630B2 (en) * 2000-09-18 2004-04-13 Matsushita Electric Industrial Co., Ltd. Apparatus and method for mounting components on substrate
EP1352295B1 (en) * 2000-10-12 2015-12-23 Board of Regents, The University of Texas System Template for room temperature, low pressure micro- and nano-imprint lithography
JP2004515918A (ja) * 2000-12-04 2004-05-27 株式会社荏原製作所 基板処理装置及びその方法
US6632742B2 (en) 2001-04-18 2003-10-14 Promos Technologies Inc. Method for avoiding defects produced in the CMP process
US6783719B2 (en) * 2001-01-19 2004-08-31 Korry Electronics, Co. Mold with metal oxide surface compatible with ionic release agents
US6489068B1 (en) * 2001-02-21 2002-12-03 Advanced Micro Devices, Inc. Process for observing overlay errors on lithographic masks
US6387787B1 (en) * 2001-03-02 2002-05-14 Motorola, Inc. Lithographic template and method of formation and use
US6517977B2 (en) * 2001-03-28 2003-02-11 Motorola, Inc. Lithographic template and method of formation and use
DE10119531A1 (de) 2001-04-12 2002-10-24 Siemens Ag Mobile Rechnereinheit
US6791669B2 (en) 2001-04-12 2004-09-14 Nikon Corporation Positioning device and exposure apparatus including the same
US6383888B1 (en) 2001-04-18 2002-05-07 Advanced Micro Devices, Inc. Method and apparatus for selecting wafer alignment marks based on film thickness variation
US6534418B1 (en) * 2001-04-30 2003-03-18 Advanced Micro Devices, Inc. Use of silicon containing imaging layer to define sub-resolution gate structures
US6541360B1 (en) * 2001-04-30 2003-04-01 Advanced Micro Devices, Inc. Bi-layer trim etch process to form integrated circuit gate structures
US6964793B2 (en) * 2002-05-16 2005-11-15 Board Of Regents, The University Of Texas System Method for fabricating nanoscale patterns in light curable compositions using an electric field
US6847433B2 (en) * 2001-06-01 2005-01-25 Agere Systems, Inc. Holder, system, and process for improving overlay in lithography
TW488080B (en) 2001-06-08 2002-05-21 Au Optronics Corp Method for producing thin film transistor
US6561706B2 (en) 2001-06-28 2003-05-13 Advanced Micro Devices, Inc. Critical dimension monitoring from latent image
US20050064344A1 (en) * 2003-09-18 2005-03-24 University Of Texas System Board Of Regents Imprint lithography templates having alignment marks
EP1417474B1 (en) 2001-07-25 2021-12-29 The Trustees Of Princeton University Nanochannel arrays and their preparation and use for high throughput macromolecular analysis
CN100347608C (zh) * 2001-09-25 2007-11-07 米卢塔技术株式会社 利用毛细作用力在基体上形成微型图案的方法
US6716767B2 (en) 2001-10-31 2004-04-06 Brewer Science, Inc. Contact planarization materials that generate no volatile byproducts or residue during curing
US6890688B2 (en) 2001-12-18 2005-05-10 Freescale Semiconductor, Inc. Lithographic template and method of formation and use
US6737202B2 (en) * 2002-02-22 2004-05-18 Motorola, Inc. Method of fabricating a tiered structure using a multi-layered resist stack and use
US7455955B2 (en) 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
US6716754B2 (en) * 2002-03-12 2004-04-06 Micron Technology, Inc. Methods of forming patterns and molds for semiconductor constructions
US20040112881A1 (en) 2002-04-11 2004-06-17 Bloemeke Stephen Roger Circle laser trepanning
JP4799861B2 (ja) 2002-04-16 2011-10-26 プリンストン ユニバーシティ マイクロ流体とナノ流体間のインターフェース用勾配構造と、その製造方法および使用方法
US6881366B2 (en) * 2002-04-22 2005-04-19 International Business Machines Corporation Process of fabricating a precision microcontact printing stamp
US6743713B2 (en) 2002-05-15 2004-06-01 Institute Of Microelectronics Method of forming dual damascene pattern using dual bottom anti-reflective coatings (BARC)
US6849558B2 (en) * 2002-05-22 2005-02-01 The Board Of Trustees Of The Leland Stanford Junior University Replication and transfer of microstructures and nanostructures
US7179079B2 (en) * 2002-07-08 2007-02-20 Molecular Imprints, Inc. Conforming template for patterning liquids disposed on substrates
US7077992B2 (en) * 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US6900881B2 (en) * 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US7019819B2 (en) * 2002-11-13 2006-03-28 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
US6908861B2 (en) * 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US6932934B2 (en) * 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US7070405B2 (en) * 2002-08-01 2006-07-04 Molecular Imprints, Inc. Alignment systems for imprint lithography
US6916584B2 (en) * 2002-08-01 2005-07-12 Molecular Imprints, Inc. Alignment methods for imprint lithography
US7027156B2 (en) * 2002-08-01 2006-04-11 Molecular Imprints, Inc. Scatterometry alignment for imprint lithography
US6665119B1 (en) 2002-10-15 2003-12-16 Eastman Kodak Company Wire grid polarizer
US6770410B2 (en) * 2002-10-31 2004-08-03 Xerox Corporation Imaging member
KR100492800B1 (ko) * 2002-11-12 2005-06-07 주식회사 하이닉스반도체 불휘발성 강유전체 메모리 제어 장치
US6980282B2 (en) * 2002-12-11 2005-12-27 Molecular Imprints, Inc. Method for modulating shapes of substrates
US6929762B2 (en) * 2002-11-13 2005-08-16 Molecular Imprints, Inc. Method of reducing pattern distortions during imprint lithography processes
US7750059B2 (en) * 2002-12-04 2010-07-06 Hewlett-Packard Development Company, L.P. Polymer solution for nanoimprint lithography to reduce imprint temperature and pressure
EP1426458B1 (en) * 2002-12-06 2008-03-12 ALSTOM Technology Ltd Method of locally depositing a MCrAlY coating
US20040158586A1 (en) * 2003-02-10 2004-08-12 Mingtar Tsai Method and system of using shared file for data collaboration
US6770852B1 (en) 2003-02-27 2004-08-03 Lam Research Corporation Critical dimension variation compensation across a wafer by means of local wafer temperature control
JP2004296921A (ja) * 2003-03-27 2004-10-21 Canon Inc 位置検出装置
JP4651390B2 (ja) * 2003-03-27 2011-03-16 コリア・インスティテュート・オブ・マシナリー・アンド・マテリアルズ 多重浮彫要素スタンプを利用したuvナノインプリントリソグラフィ法
TWI228638B (en) 2003-06-10 2005-03-01 Ind Tech Res Inst Method for and apparatus for bonding patterned imprint to a substrate by adhering means
US7136150B2 (en) * 2003-09-25 2006-11-14 Molecular Imprints, Inc. Imprint lithography template having opaque alignment marks
US20050084804A1 (en) * 2003-10-16 2005-04-21 Molecular Imprints, Inc. Low surface energy templates
US7122482B2 (en) * 2003-10-27 2006-10-17 Molecular Imprints, Inc. Methods for fabricating patterned features utilizing imprint lithography
US20050098534A1 (en) * 2003-11-12 2005-05-12 Molecular Imprints, Inc. Formation of conductive templates employing indium tin oxide

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101604124B (zh) * 2005-06-08 2011-07-27 佳能株式会社 模子、图案形成方法以及图案形成设备
CN103226284A (zh) * 2012-01-31 2013-07-31 佳能株式会社 压印装置和制造物品的方法
CN103226284B (zh) * 2012-01-31 2015-11-25 佳能株式会社 压印装置和制造物品的方法
US9616613B2 (en) 2012-01-31 2017-04-11 Canon Kabushiki Kaisha Imprint apparatus including alignment and overlay measurement
CN105223769A (zh) * 2012-06-18 2016-01-06 Hoya株式会社 光掩模的制造方法、转印方法及平板显示器的制造方法
CN105223769B (zh) * 2012-06-18 2019-07-16 Hoya株式会社 光掩模的制造方法、转印方法及平板显示器的制造方法
CN110083009A (zh) * 2013-05-27 2019-08-02 佳能株式会社 压印方法、压印装置和器件制造方法
CN105759566A (zh) * 2015-01-05 2016-07-13 佳能株式会社 压印装置、压印方法以及物品的制造方法
US10315344B2 (en) 2015-01-05 2019-06-11 Canon Kabushiki Kaisha Imprint apparatus, imprint method, and method of manufacturing article
CN105759566B (zh) * 2015-01-05 2020-01-10 佳能株式会社 压印装置、压印方法以及物品的制造方法
CN110402189A (zh) * 2016-12-23 2019-11-01 德克萨斯大学系统董事会 使用基于莫尔的计量学和基于真空的取放将组件异构集成到紧凑型器件上
TWI747446B (zh) * 2019-08-29 2021-11-21 荷蘭商Asml控股公司 感測器裝置及偵測系統

Also Published As

Publication number Publication date
SG142150A1 (en) 2008-05-28
US20040141168A1 (en) 2004-07-22
US6842229B2 (en) 2005-01-11
US20040189994A1 (en) 2004-09-30
JP4511786B2 (ja) 2010-07-28
JP2010087529A (ja) 2010-04-15
JP4512168B2 (ja) 2010-07-28
WO2002008835A2 (en) 2002-01-31
US20040209177A1 (en) 2004-10-21
EP1303792A2 (en) 2003-04-23
EP2264524A2 (en) 2010-12-22
EP2264523A2 (en) 2010-12-22
CN100504598C (zh) 2009-06-24
US6986975B2 (en) 2006-01-17
US20020098426A1 (en) 2002-07-25
EP2264522A3 (en) 2011-12-14
WO2002008835A3 (en) 2003-01-23
US20040086793A1 (en) 2004-05-06
US6921615B2 (en) 2005-07-26
EP2264524A3 (en) 2011-11-30
US20040053146A1 (en) 2004-03-18
US6919152B2 (en) 2005-07-19
JP4512167B2 (ja) 2010-07-28
JP2004505439A (ja) 2004-02-19
US20070264588A1 (en) 2007-11-15
KR20030079909A (ko) 2003-10-10
KR100862301B1 (ko) 2008-10-13
US6916585B2 (en) 2005-07-12
US7303383B1 (en) 2007-12-04
US20040163563A1 (en) 2004-08-26
EP1303792B1 (en) 2012-10-03
EP2264523A3 (en) 2011-11-30
JP2010087528A (ja) 2010-04-15
JP2010087526A (ja) 2010-04-15
AU2001273491A1 (en) 2002-02-05
US6902853B2 (en) 2005-06-07
US7186483B2 (en) 2007-03-06
US20040189996A1 (en) 2004-09-30
JP4601712B2 (ja) 2010-12-22
EP2264522A2 (en) 2010-12-22

Similar Documents

Publication Publication Date Title
CN1476551A (zh) 用于平版印刷工艺中的高分辨率重叠对齐方法和系统
CN1455888A (zh) 影印用于平版印刷工艺中的自动化液体分配的方法和系统
CN1531668A (zh) 用于室温下低压微刻痕和毫微刻痕光刻的模板
US6954275B2 (en) Methods for high-precision gap and orientation sensing between a transparent template and substrate for imprint lithography
US20020150398A1 (en) Flexure based macro motion translation stage
Ekerdt et al. Method of creating a dispersion of a liquid on a substrate

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term
CX01 Expiry of patent term

Granted publication date: 20090624