CN1484712B - 半导体工艺设备的氮化硼/氧化钇复合材料部件及其制造方法 - Google Patents

半导体工艺设备的氮化硼/氧化钇复合材料部件及其制造方法 Download PDF

Info

Publication number
CN1484712B
CN1484712B CN018215572A CN01821557A CN1484712B CN 1484712 B CN1484712 B CN 1484712B CN 018215572 A CN018215572 A CN 018215572A CN 01821557 A CN01821557 A CN 01821557A CN 1484712 B CN1484712 B CN 1484712B
Authority
CN
China
Prior art keywords
coating
parts
inter coat
yttria composite
boron
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN018215572A
Other languages
English (en)
Other versions
CN1484712A (zh
Inventor
R·J·奥多尼尔
C·C·常
J·E·道格尔蒂
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN1484712A publication Critical patent/CN1484712A/zh
Application granted granted Critical
Publication of CN1484712B publication Critical patent/CN1484712B/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof

Abstract

半导体工艺设备如等离子体腔包括含氮化硼/氧化钇复合材料的表面的抗腐蚀部件,及其制造方法。

Description

半导体工艺设备的氮化硼/氧化钇复合材料部件及其制造方法
技术领域
本发明涉及半导体工艺设备以及提高这些部件抗腐蚀性的方法。
背景技术
在半导体工艺领域中,通常使用真空工艺腔来在衬底上进行材料的刻蚀和化学气相沉积(CVD),将刻蚀或者沉积气体供入真空腔中,并对气体施加RF场将气体激发到等离子体状态。在专利号为4340462,4948458,5200232和5820723的共同所有的US专利中公开了平行板式变压耦合等离子体(TCPTM),也叫做电感耦合等离子体(ICP),和电子回旋共振(ECR)反应器及其部件的例子。由于这些反应器中等离子体环境的腐蚀性以及极小化颗粒和/或重金属污染的需要,高度希望这些设备的部件呈现出高的抗腐蚀性。
在半导体衬底的处理过程中,衬底一般用衬底夹具例如机械钳和静电钳(ESC)固定在真空腔中。这些钳制系统及其部件的例子可以在专利号为5262029和5838529的共同所有的US专利中找到。处理气体可以通过许多方式供入腔室中,比如通过气体喷嘴,导气环,气体分布板等。在专利号为5863376的共同所有的US专利中可以找到用于电感耦合等离子体反应器的温控气体分布板及其部件的例子。除了等离子体腔室设备,在半导体衬底处理过程中使用的其它设备包括传送机构,气体供应系统,衬里,起落机构,加载锁,室门机构,机械臂,紧固件及其它类似的设备。这些设备的部件要承受多种与半导体工艺相关的腐蚀条件。而且,考虑到半导体衬底处理对高纯度的需要,如硅晶片及介电材料如用于平板显示的玻璃衬底,高度希望在这些环境中的部件具有改善了的抗腐蚀性。
通常地,将铝和铝合金用于等离子体反应器的器壁,电极,衬底支撑,紧固件及其它的部件。为了防止这些金属部件的腐蚀,已提出了在铝表面用各种涂层进行涂覆的多种技术。例如,在专利号为5641375的US专利中公开了进行了阳极化处理的铝腔室壁,减少了等离子体对腔壁的侵蚀和磨损。专利’375指出,阳极化层最终会被溅射掉或刻蚀掉,腔室必须进行更换。专利号为5895586的US专利指出,可以在序列号为62-103379的日本申请公开中找到一种技术,来在铝材料上制备Al2O3,AlC,TiN,TiC,AlN或者其它类似的抗侵蚀膜。
专利号为5680013的US专利指出,在专利号为4491496的US专利中公开了在刻蚀腔室的金属表面火焰喷镀Al2O3的技术。专利’013指出铝和陶瓷涂层如氧化铝间的热膨胀系数的不同会导致涂层因热循环而开裂,涂层最终在腐蚀环境下失效。为保护腔壁,专利号为5366585,5798016和5885356的US专利提出了衬里配置。例如,专利’016公开了因其易加工性而优选的与铝共用的由陶瓷,铝,钢和/或石英组成的衬里,并涂覆氧化铝,Sc2O3或者Y2O3涂层来为铝针对等离子体提供保护,优选的是Al2O3。专利’585公开了独立式陶瓷衬里,厚度至少为0.005英寸,由固体氧化铝加工而成。专利’585提到通过火焰喷镀或者等离子体喷镀氧化铝,也能提供不用消耗下面的铝即可沉积的陶瓷层。专利’356公开了用于晶片基座的氧化铝陶瓷衬里和氮化铝陶瓷屏蔽。专利号为5885356的US专利公开了在CVD腔室内可用的陶瓷衬里材料。
对于半导体工艺设备的金属部件已提出了多种涂层。例如,专利号为5879523的US专利公开了一种溅射腔,其中在诸如不锈钢或者铝的金属上应用了热喷镀Al2O3涂层,并且二者之间有可选的含NiAlx键合的涂层。专利号为5522932和5891253的US专利公开了用在衬底等离子体处理设备的金属部件上的铑涂层,二者之间有可选的镍涂层。专利号为5680013的US专利公开了在等离子体工艺腔室中保护金属表面的非键合陶瓷,优选的陶瓷材料是烧结AlN,其次优选的材料包括氧化铝,氟化镁和氧化镁。专利号为5904778的US专利公开了在独立式SiC上的SiC CVD涂层,可用作腔室壁,腔室顶或者围绕晶片的套环。
对于等离子体反应器的部件,如莲蓬式喷头气体分布系统,已有针对莲蓬式喷头材料的许多提议。例如,专利号为5569356的共同所有的US专利公开了由硅,石墨或者碳化硅制成的莲蓬式喷头。专利号为5494713的US专利指出,可在铝电极上制备防蚀钝化铝膜,并在该钝化膜上加覆硅涂层膜,如氧化硅或者氮化硅。专利’713指出,由于铝涂层膜,防蚀钝化铝涂层膜和硅涂层膜具有不同的线膨胀系数,硅涂层膜的厚度应为10μm或者以下,优选的为5μm,硅涂层膜太厚时容易产生裂纹。然而,厚度低于5μm,由于对铝衬底的保护不充分,因而是不可取的。专利号为4534816的US专利公开了一种不锈钢,铝,铜及其它类似材料制成的上部莲蓬式喷头电极。专利号为4612077的US专利公开了镁制成的莲蓬式喷头电极。专利号为5888907的US专利公开了无定形碳,SiC或者Al制成的莲蓬式喷头电极。专利号为5006220和5022979的US专利公开了一种莲蓬式喷头电极,要么完全由SiC制成,要么是将通过CVD沉积的SiC涂覆在碳基体上,提供高纯SiC的表面层。
考虑到半导体工艺设备部件对高纯度和抗腐蚀性的需求,在该领域内,对用于这些部件的材料和/或涂层需要进行改善。而且,对于腔室材料来讲,能够提高等离子体反应器腔室的使用寿命,从而减少设备的停机时间的任何材料将有利于降低半导体晶片处理的成本。
发明内容
本发明的第一个方面,提供一种方法,该方法可以在半导体工艺设备部件表面提供抗侵蚀的含氮化硼/氧化钇复合材料的涂层。该方法包括在半导体工艺设备部件表面沉积含氮化硼/氧化钇复合材料的涂层,以形成抗侵蚀的外表面。抗侵蚀表面,意味着表面涂层抵抗等离子体腔室气体对涂层的刻蚀时,保护下面的材料免于等离子体腔室气体的腐蚀作用。下面的被涂覆的工艺设备部件的表面可包含金属,陶瓷或者聚合物材料,优选的材料是阳极化铝。
本发明提供一种对半导体工艺设备部件表面进行涂层的方法,该方法包括:(a)可选地,在半导体工艺设备部件表面沉积第一中间涂层;(b)可选地,在上述第一中间涂层或者上述表面上沉积第二中间涂层;并(c)在上述部件上沉积含氮化硼/氧化钇复合材料的涂层以形成抗侵蚀的外表面。
在一个优选的实施方案中,在半导体工艺设备的表面和含氮化硼/氧化钇复合材料的涂层之间,可以使用一种或者多种中间金属,陶瓷或者聚合物涂层。用于等离子体腔室的可被涂覆的金属的表面包括阳极化铝或非阳极化铝,不锈钢,难熔金属如钼或其它金属或者合金。可被涂覆的陶瓷的表面包括氧化铝,SiC,AlN,Si3N4,BC或者其它与等离子体相容的陶瓷材料。可被涂覆的聚合物的表面包括含氟聚合物如聚酰亚胺如和其它可在达200℃的温度下用于等离子体腔室的聚合物材料。
本发明的第二个方面,提供一种金属部件。该部件包括:(a)金属表面;(b)可选的在金属表面上的第一中间涂层;(c)可选的在第一中间涂层或者金属表面上的第二中间涂层;及在此部件上提供抗腐蚀的外表面的含氮化硼/氧化钇复合材料的涂层。第一和第二中间涂层的每个可以是金属或其合金,陶瓷,聚合物或用于等离子体腔室反应器的材料的混合材料或复合材料。
本发明提供一种涂层的半导体工艺设备部件,包含:(a)表面;(b)可选的在上述表面上的第一中间涂层;(c)可选的在上述第一中间涂层或者上述表面上的第二中间涂层;及(d)在所述表面上形成抗侵蚀外表面的含氮化硼/氧化钇复合材料的涂层,该含氮化硼/氧化钇复合材料的涂层暴露于设备中的等离子体和/或腐蚀性气体中。
本发明的另一个方面,提供一种由含氮化硼/氧化钇复合材料的材料制成的半导体工艺设备部件。该部件可以包括用于该设备的任意的一种或者多种涂层。
本发明提供一种涂层的半导体工艺设备部件,包括:表面;可选的在该表面上的第一中间涂层;可选的在该第一中间涂层或该表面上的第二中间涂层;和在该表面上的含氮化硼/氧化钇复合材料的涂层,以形成耐侵蚀的外表面,其中氮化硼或氧化钇在含氮化硼/氧化钇复合材料的涂层中形成连续的基体相,且含氧化硼/氧化钇复合材料的涂层暴露于设备中的等离子体和/或腐蚀性气体中。
本发明提供一种涂层的半导体工艺设备部件,包括:表面;可选的在该表面上的第一中间涂层;可选的在该第一中间涂层或该表面上的第二中间涂层;和含氮化硼/氧化钇复合材料的涂层,该涂层形成在该第一中间涂层和该第二中间涂层的一个上且不形成在该表面上,所述含氮化硼/氧化钇复合材料的涂层形成耐侵蚀的外表面且暴露于设备中的等离子体和/或腐蚀性气体中。
附图说明
结合附图对其优选的实施方案进行下面的详述将使本发明的目的和优势变得明显,附图中:
图1是等离子体反应器腔室的剖面示意图,其中含有根据本发明涂覆了抗腐蚀涂层的部件。
图2是图1中细节A中的抗腐蚀涂层的详细说明图。
具体实施方式
本发明通过利用抗侵蚀涂层,为给半导体工艺设备部件如等离子体工艺反应器腔室部件的金属,陶瓷和聚合物表面提供抗腐蚀性提供了有效的方法。这些部件包括腔室壁,衬底支撑,气体分布系统包括莲蓬式喷头,折流板,套环,喷嘴等,紧固件,加热元件,等离子体屏,衬里,传输模块部件,例如:机械臂,紧固件,内外腔室壁等,以及其它部件。
尽管本发明适用于任意类型的具有金属,陶瓷或者聚合物表面的部件,为了便于说明,结合参考在专利号为5820723的US专利中描述的设备来对本发明作更详细的描述,这里对整个专利作了参考。
图1示意的是真空工艺反应器腔室10,包括衬底支撑70,其为衬底60提供静电钳力,当用He背冷时也为衬底提供RF偏置。聚焦环72,在衬底上方限定了等离子体域。能量源,以在腔室中保持高密度(如1011-1012离子/cm3)的等离子体,例如由适宜的RF源驱动以提供高密度等离子体的天线40,放置在反应器腔室10的顶部。该腔室包括适宜的真空泵浦设备,通过在腔室底部的位于中心的真空接口20将腔室抽空,以使腔室的内部30保持在所希望的压力(例如低于50mTorr,一般的在1-20mTorr)。
在天线40和工艺腔室10的内部之间是基本上具有均一厚度的平面介电窗50,其在工艺腔室10的顶部构成真空壁。在窗50下面是气体分布板52,其上包含诸如圆孔的开口,以使处理气体从供气处传递到腔室10中。锥形的衬里54从气体分布板延伸出来并包围在衬底支撑70之上。
在操作中,将半导体衬底如硅晶片60放置在衬底支撑70上,典型地,当使用He背冷时,用静电钳74来固定。然后通过使处理气体穿过窗50和气体分布板52之间的间隙将处理气体供给到真空工艺腔室10中。在专利号为5824605,6048798和5863376的共同所有的US专利中公开了适用的气体分布板配置(如莲蓬式喷头),据此将这些公开资料作为参考。例如,尽管在图1中的窗口和气体分布板配置是平面的并有均一的厚度,对窗口和/或气体分布板而言,可以用非平面的和/或非均一厚度的几何形状。通过向天线40施加适宜的RF源,在衬底和窗口之间的空间里触发高密度的等离子体。
腔室壁28,比如阳极化或非阳极化的铝壁,和金属,陶瓷或者聚合物部件,比如衬底支撑70,紧固件56,衬里54等,暴露在等离子体中并表现出腐蚀征兆,根据本发明,选择将这些部件进行涂层,这样,在等离子体腔室的操作中就不需要把它们罩住。可被涂覆的金属和/或合金的例子包括阳极化或非阳极化铝及其合金,不锈钢,难熔金属如W和Mo及其合金,铜及其合金等。可被涂覆的陶瓷的表面的例子包括氧化铝,SiC,AlN,Si3N4,BC和TiO2。商业可获的可被涂覆的聚合物材料的例子包括含氟聚合物如聚酰亚胺如和其它在达200℃的温度下可用于等离子腔室的聚合物材料。在优选的实施方案中,被涂覆的部件是具有阳极化或者非阳极化铝的表面29的腔室壁28。根据本发明,涂层允许使用铝合金而不用考虑它的组成(这样除了高纯铝外,还允许使用更经济的铝合金),晶粒结构或者表面条件。在下面的讨论中,被涂覆的部件的例子是铝腔室壁28,其具有可选的第一中间涂层80,可选的第二中间涂层90和含氮化硼/氧化钇复合材料的涂层100,如图2中所示。
为了保证涂覆材料的好的粘附性,优选地,在涂覆之前,将铝衬底28表面彻底净化以除去表面物质,比如氧化物或者油脂。另外,特别希望的是,在应用任意所希望的涂层之前,将衬底表面粗化,阳极化衬底表面并对阳极化的衬底表面再次粗化。
根据本发明,可选地,通过传统技术将第一中间涂层80涂覆在铝侧壁28上。这种可选的第一中间涂层80是足够厚的,以粘附在衬底之上并进一步允许在制备可选的第二中间涂层90或者下面要提及的含氮化硼/氧化钇复合材料的涂层之前对其进行处理。第一中间涂层80可以是任意适用的厚度,比如至少大约0.001英寸的厚度,优选的从大约0.001到大约0.25英寸,更优选的在0.001和0.1英寸之间,最优选的在从0.001英寸到0.05英寸。
在将可选的第一中间涂层80沉积到铝衬底28上之后,镀层可以用任意适用的技术来进行喷砂或者粗化,然后,用可选的第二涂层90或者含氮化硼/氧化钇复合材料的涂层100来涂覆。粗化层80提供相当好的键合。所希望地,第二中间涂层90给涂层80带来高的机械压缩强度并在涂层90中减少裂缝的形成。
可选的第二中间涂层90是足够厚的,以粘附在第一中间涂层80上,并进一步允许在制备任意附加的中间涂层或者下述的含氮化硼/氧化钇复合材料的外部涂层100之前对其进行处理。第二中间涂层90可以是任意适用的厚度,比如至少大约0.001英寸的厚度,优选的从大约0.001到大约0.25英寸,更优选的在0.001和0.1英寸之间,最优选的在0.001英寸和0.05英寸之间。
第一和第二中间涂层可以用在传统的等离子体工艺腔室中使用的任意一种或者多种材料制成。这些材料的例子包括金属,陶瓷和聚合物。尤其希望的金属包括任意一种或多种难熔金属,含这些金属的复合材料或合金。尤其希望的陶瓷包括Al2O3,SiC,Si3N4,BC,AlN,TiO2等。尤其希望的聚合物包括含氟聚合物如聚酰亚胺如和其它的在达200℃的温度下可用于等离子体腔室的聚合物材料。预期的中间层的特殊材料还包括含富勒烯的材料;其它含碳的硬质材料如金刚石和类金刚石材料;例如铪,钽,钛和/或硅的碳化物,硼化物,氮化物和/或碳氮化物;碳化硼;氮化硼;碳氮化硼;氧化锆;氧化钇,或者任意上述材料的混合材料。
所希望地,可选的第一和第二中间涂层80和90可以是上述材料的任意一种,这样依赖所预想的性能,涂层可以是相同的或者不同的。也希望可以采用相同或者不同材料的附加中间层如第三,第四或者第五中间层。
含氮化硼/氧化钇复合材料的涂层100沉积在可选的第二中间层90上,或者可选的第一中间层80上,或者铝衬底28上。含氮化硼/氧化钇复合材料的涂层的所希望的厚度是至少0.001英寸;优选的从大约0.001到大约0.25英寸,更优选的从大约0.001到大约0.1英寸,最优选的在从0.001到0.05英寸。含氮化硼/氧化钇复合材料的涂层100的厚度可以选择以与在反应器中遇到的等离子体环境(如刻蚀,CVD等)相容。这种含氮化硼/氧化钇复合材料的涂覆层可以将上述反应器腔室和部件整个涂覆,或者部分涂覆。最希望地,含氮化硼/氧化钇复合材料的涂层的厚度应能为下面的层,尤其是衬底,在暴露于腐蚀性腔室气体的相当长的阶段提供侵蚀和/或腐蚀保护。
本发明中的含氮化硼/氧化钇复合材料的涂层100同时包括氮化硼和氧化钇。该复合材料的氮化硼组分可以是六方,立方或其混合物中的任意一种。氮化硼组分最希望的是100%的立方相,或者按重量比立方相的含量超过60%,优选的按重量比超过80%,最优选的按重量比超过90%。立方形式的氮化硼有更高的密度,非常硬而且可以在高温和压力下从六方形式制备。可选地,氮化硼可以是100%的六方相。
该复合材料的氧化钇组分存在的量可以在占整个复合材料的大约1%到99%之间,更加希望的是在大约40%到99%之间,进一步更加希望的是在大约60%到80%之间。该复合材料的氮化硼组分存在的量可以是在占整个复合材料的大约1%到99%之间,更希望的是在大约1%到60%之间,进一步更加希望的是在大约20%到40%之间。
该复合材料中可以包含其它的保护性材料,其量可达整个复合材料的50%,或者更多。所希望地,在该复合材料中,由氮化硼,氧化钇或者氧化锆来构成连续的基体相。更希望地,本发明的复合材料按重量比包括占整个复合材料1%到40%的附加材料,更希望的是按重量比包括1%到20%的附加材料,进一步更加希望的是按重量比包括1%到10%的附加材料。
这些材料可以包括在等离子体工艺腔室中采用的任意一种或者多种材料。这些材料的例子包括任意一种或多种金属,陶瓷或者聚合物。尤其希望的金属包括任意一种或多种难熔金属,含这些金属的复合材料或合金。尤其希望的陶瓷包括Al2O3,SiC,Si3N4,BC,AlN,TiO2等。尤其希望的聚合物包括含氟聚合物如聚酰亚胺如
Figure G018215572D00111
和其它的在达200℃的温度下可用于等离子体腔室的聚合物材料。相信最希望的材料将包括单独存在的或者与例如铪,钽,钛和/或硅的碳化物,硼化物,氮化物和/或碳氮化物;碳化硼;氮化硼;碳氮化硼;氧化锆;氧化钇,或者上述材料的混合物一同存在的氮化硼/氧化钇复合材料。
本发明中的含氮化硼/氧化钇复合材料的涂层100可用任意所知的涂覆技术来沉积到所希望的表面上,如热喷涂,等离子体喷涂,化学气相沉积,升华,激光蒸发,溅射,溅射沉积,粒子束涂层,喷敷涂层,浸镀,蒸发,辊涂,毛刷涂层等。还希望将多层含氮化硼/氧化钇复合材料的涂层与或者不与其它材料的中间层一起用任意适宜的技术沉积到所希望的表面上。
在本发明的可选的方面,提供一种由含氮化硼/氧化钇复合材料的材料制成的半导体工艺设备部件。该部件可以包括传统地用于该设备的任意一种或者多种涂层。
通过使用本发明的含氮化硼/氧化钇复合材料的涂层或者部件,优选的可获得超硬的,抗侵蚀的表面。在该涂层或者部件中,希望不含那些与工艺腔室气体反应的物质而且是化学惰性的,这样就有低的颗粒污染或者没有颗粒污染,轻微的腐蚀或者没有腐蚀,轻微的金属污染或者没有金属污染及/或少量的挥发性的刻蚀产物或者没有挥发性的刻蚀产物。
优选地,含氮化硼/氧化钇复合材料的涂层或者部件放在那些可以或者不可以暴露在等离子体环境下的区域里,如直接与等离子体接触的部分或者腔室部件后面的部分,如衬里等,以防止在反应器腔室中处理的半导体衬底的金属污染。尤其优选的是要限制或者排除过渡金属的尘埃;比如在周期表中的元素21到29(钪到铜),39到47(钇到银),57到79(镧到金)和所有从89(锕)往后的所知元素中的任意的一种或者多种元素。所以,本发明的一个优势就是通过抑制这些因刻蚀或者腐蚀而产生的尘埃,在沉积膜上减少了所不满意的刻蚀或者不希望的针状气孔的形成。
尽管已结合其具体的实施方案,对本发明进行了详细的描述,对本领域技术人员来讲,明显地,不脱离所附权利要求的范围,可进行多样的变化和改善,并可等价地采用。

Claims (27)

1.一种对半导体工艺设备部件表面进行涂层的方法,该方法包括:
(a)可选地,在半导体工艺设备部件表面沉积第一中间涂层;
(b)可选地,在上述第一中间涂层或者上述表面上沉积第二中间涂层;并
(c)在上述部件上的所述表面或者所述第一或第二中间涂层上沉积含氮化硼/氧化钇复合材料的涂层以形成抗侵蚀的外表面。
2.权利要求1中的涂层方法,其中所述部件的所述表面包含金属,陶瓷或者聚合物的表面。
3.权利要求2中的涂层方法,其中所述表面是阳极化铝。
4.权利要求1中的涂层方法,其中所述第一中间涂层是必需的。
5.权利要求4中的涂层方法,其中所述第一中间涂层包含金属,陶瓷或者聚合物的涂层。
6.权利要求1中的涂层方法,其中所述部件包含等离子体刻蚀腔室的腔室壁。
7.权利要求1中的涂层方法,进一步包含在所述部件上制备粗化表面,在该粗化表面上沉积所述含氮化硼/氧化钇复合材料的涂层。
8.权利要求1中的涂层方法,其中所述含氮化硼/氧化钇复合材料的涂层包括立方相,六方相或其混合物。
9.权利要求1中的涂层方法,其中所述含氮化硼/氧化钇复合材料的涂层包含至少一种除了氮化硼或者氧化钇之外的其它材料,其中所述其它材料是金属,陶瓷或者聚合物。
10.权利要求9中的涂层方法,其中所述其它材料是氧化锆。
11.权利要求9中的涂层方法,其中所述其它材料包括碳化钛,硼化钛,氮化钛,碳化硅,硼化硅,氮化硅或其混合物。
12.权利要求1中的涂层方法,其中所述氧化钇占所述复合材料重量的60%到80%。
13.权利要求1中的涂层方法,其中所述含有的氮化硼占所述复合材料重量的20%到40%。
14.权利要求1中的涂层方法,其中所述含氮化硼/氧化钇复合材料的涂层通过化学气相沉积,等离子体喷敷涂层,升华,激光蒸发,溅射,溅射沉积,离子束涂层,喷敷涂层,浸镀,蒸发涂层,辊涂或者毛刷涂层进行沉积。
15.一种涂层的半导体工艺设备部件,包含:
(a)表面;
(b)可选的在上述表面上的第一中间涂层;
(c)可选的在上述第一中间涂层或者上述表面上的第二中间涂层;及
(d)在所述表面上或者所述第一或第二中间涂层上的含氮化硼/氧化钇复合材料的涂层,所述含氮化硼/氧化钇复合材料的涂层形成抗侵蚀外表面,该含氮化硼/氧化钇复合材料的涂层暴露于设备中的等离子体和/或腐蚀性气体中。
16.权利要求15中的部件,其中所述表面是金属,陶瓷或者聚合物的表面。
17.权利要求16中的部件,其中所述表面是阳极化铝。
18.权利要求15中的部件,其中所述第一中间涂层是必需的。
19.权利要求15中的部件,其中所述氮化硼/氧化钇复合材料包括立方相,六方相或其混合物。
20.权利要求15中的部件,其中所述含氮化硼/氧化钇复合材料的涂层包含除了氮化硼和氧化钇之外的至少一种材料,其中所述至少一种材料是至少一种金属,陶瓷或者聚合物。
21.权利要求20中的部件,其中所述至少一种材料是氧化锆。
22.权利要求20中的部件,其中所述至少一种材料包括碳化钛,硼化钛,氮化钛,碳化硅,硼化硅,氮化硅或其混合物。
23.权利要求15中的部件,其中所述氧化钇占所述复合材料重量的60%到80%。
24.权利要求15中的部件,其中所述氮化硼占所述复合材料重量的20%到40%。
25.权利要求15中的部件,进一步包含至少一个附加的含氮化硼/氧化钇复合材料的涂层或者中间涂层。
26.权利要求15中的部件,其中所述含氮化硼/氧化钇复合材料的涂层(i)完全形成在所述表面上且(ii)形成耐侵蚀外表面。
27.一种涂层的半导体工艺设备部件,包括:
表面;
可选的在该表面上的第一中间涂层;
可选的在该第一中间涂层或该表面上的第二中间涂层;和
在该表面上或者所述第一或第二中间涂层上的含氮化硼/氧化钇复合材料的涂层,所述含氮化硼/氧化钇复合材料的涂层形成耐侵蚀的外表面,其中氮化硼或氧化钇在含氮化硼/氧化钇复合材料的涂层中形成连续的基体相,且含氧化硼/氧化钇复合材料的涂层暴露于设备中的等离子体和/或腐蚀性气体中。
CN018215572A 2000-12-29 2001-11-23 半导体工艺设备的氮化硼/氧化钇复合材料部件及其制造方法 Expired - Fee Related CN1484712B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/749,924 2000-12-29
US09/749,924 US6613442B2 (en) 2000-12-29 2000-12-29 Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
PCT/US2001/043836 WO2002053799A1 (en) 2000-12-29 2001-11-23 Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof

Publications (2)

Publication Number Publication Date
CN1484712A CN1484712A (zh) 2004-03-24
CN1484712B true CN1484712B (zh) 2010-04-21

Family

ID=25015789

Family Applications (1)

Application Number Title Priority Date Filing Date
CN018215572A Expired - Fee Related CN1484712B (zh) 2000-12-29 2001-11-23 半导体工艺设备的氮化硼/氧化钇复合材料部件及其制造方法

Country Status (7)

Country Link
US (2) US6613442B2 (zh)
EP (1) EP1364075A1 (zh)
JP (1) JP4634005B2 (zh)
KR (1) KR100830068B1 (zh)
CN (1) CN1484712B (zh)
TW (1) TW533494B (zh)
WO (1) WO2002053799A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102522306A (zh) * 2011-12-29 2012-06-27 中微半导体设备(上海)有限公司 喷淋头
CN102629541A (zh) * 2012-04-25 2012-08-08 中微半导体设备(上海)有限公司 喷淋头及其形成方法

Families Citing this family (70)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010062209A (ko) 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
JP3510993B2 (ja) * 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
JP4790896B2 (ja) * 2000-05-26 2011-10-12 エーユー オプトロニクス コーポレイション トップゲート型tftを含むアクティブマトリックスデバイスの製造方法および製造装置
US6613442B2 (en) * 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
US7670688B2 (en) * 2001-06-25 2010-03-02 Applied Materials, Inc. Erosion-resistant components for plasma process chambers
KR100443772B1 (ko) * 2002-01-16 2004-08-09 삼성전자주식회사 코팅 처리된 기재
US20080213496A1 (en) * 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US20080264564A1 (en) 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US6780787B2 (en) * 2002-03-21 2004-08-24 Lam Research Corporation Low contamination components for semiconductor processing apparatus and methods for making components
US7026009B2 (en) * 2002-03-27 2006-04-11 Applied Materials, Inc. Evaluation of chamber components having textured coatings
US6784017B2 (en) * 2002-08-12 2004-08-31 Precision Dynamics Corporation Method of creating a high performance organic semiconductor device
US7204912B2 (en) 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7137353B2 (en) 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US6837966B2 (en) 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US7166166B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US7147749B2 (en) 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US7780786B2 (en) 2002-11-28 2010-08-24 Tokyo Electron Limited Internal member of a plasma processing vessel
US6907841B2 (en) * 2002-12-27 2005-06-21 Korea Institute Of Science And Technology Apparatus and method for synthesizing spherical diamond powder by using chemical vapor deposition method
US20040134427A1 (en) * 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
WO2004095532A2 (en) 2003-03-31 2004-11-04 Tokyo Electron Limited A barrier layer for a processing element and a method of forming the same
WO2005029564A1 (ja) * 2003-09-16 2005-03-31 Shin-Etsu Quartz Products Co., Ltd. プラズマエッチング装置用部材及びその製造方法
JP2005167227A (ja) * 2003-11-11 2005-06-23 Ibiden Co Ltd ガス噴出ヘッド、その製法、半導体製造装置及び耐食性材料
US7220497B2 (en) * 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
WO2006001975A1 (en) * 2004-06-15 2006-01-05 Tosoh Smd, Inc. Metal foam shield for sputter reactor
US7119032B2 (en) 2004-08-23 2006-10-10 Air Products And Chemicals, Inc. Method to protect internal components of semiconductor processing equipment using layered superlattice materials
US20060043067A1 (en) * 2004-08-26 2006-03-02 Lam Research Corporation Yttria insulator ring for use inside a plasma chamber
JP2006186306A (ja) * 2004-09-30 2006-07-13 Toshiba Ceramics Co Ltd ガス拡散プレートおよびその製造方法
TWI282597B (en) 2004-12-28 2007-06-11 Toshiba Ceramics Co Yttrium-containing ceramic coated material and method of manufacturing the same
JP2006207012A (ja) * 2004-12-28 2006-08-10 Toshiba Ceramics Co Ltd イットリウム系セラミックス被覆材およびその製造方法
JP2006199998A (ja) * 2005-01-20 2006-08-03 Seiko Epson Corp 成膜装置、成膜方法
JP4813115B2 (ja) * 2005-07-14 2011-11-09 国立大学法人東北大学 半導体製造装置用部材及びその洗浄方法
US20070079936A1 (en) * 2005-09-29 2007-04-12 Applied Materials, Inc. Bonded multi-layer RF window
US8608851B2 (en) * 2005-10-14 2013-12-17 Advanced Micro-Fabrication Equipment, Inc. Asia Plasma confinement apparatus, and method for confining a plasma
US7942970B2 (en) * 2005-12-20 2011-05-17 Momentive Performance Materials Inc. Apparatus for making crystalline composition
US7743731B2 (en) * 2006-03-30 2010-06-29 Tokyo Electron Limited Reduced contaminant gas injection system and method of using
US7514125B2 (en) * 2006-06-23 2009-04-07 Applied Materials, Inc. Methods to improve the in-film defectivity of PECVD amorphous carbon films
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US8367227B2 (en) 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
KR101486553B1 (ko) * 2008-03-20 2015-01-26 주식회사 원익아이피에스 진공처리장치
US20100055298A1 (en) * 2008-08-28 2010-03-04 Applied Materials, Inc. Process kit shields and methods of use thereof
US20100140222A1 (en) * 2008-12-10 2010-06-10 Sun Jennifer Y Filled polymer composition for etch chamber component
US20130115418A1 (en) * 2011-11-03 2013-05-09 Coorstek, Inc. Multilayer rare-earth oxide coatings and methods of making
RU2515600C2 (ru) * 2011-11-29 2014-05-20 Федеральное государственное бюджетное образовательное учреждение высшего профессионального образования "Воронежский государственный технический университет" Способ получения наноструктурного покрытия
US20130277203A1 (en) * 2012-04-24 2013-10-24 Applied Materials, Inc. Process kit shield and physical vapor deposition chamber having same
US20140097752A1 (en) * 2012-10-09 2014-04-10 Varian Semiconductor Equipment Associates, Inc. Inductively Coupled Plasma ION Source Chamber with Dopant Material Shield
CN103794460B (zh) * 2012-10-29 2016-12-21 中微半导体设备(上海)有限公司 用于半导体装置性能改善的涂层
KR101486057B1 (ko) * 2013-05-31 2015-01-23 주)에코텍코리아 산화이트륨과 질화붕소를 이용한 반도체 cvd 공정용 제품의 제조방법
CN104347389B (zh) * 2013-07-23 2017-07-21 中微半导体设备(上海)有限公司 等离子体刻蚀方法
US11015244B2 (en) 2013-12-30 2021-05-25 Advanced Material Solutions, Llc Radiation shielding for a CVD reactor
CN110189988B (zh) * 2014-06-11 2023-10-03 高美科株式会社 用于薄膜沉积设备的内部材料及其制造方法
KR101790394B1 (ko) 2014-06-11 2017-10-26 (주)코미코 박막 증착 장치용 내부재 및 이의 제조 방법
CN105304519A (zh) * 2014-07-11 2016-02-03 北京北方微电子基地设备工艺研究中心有限责任公司 内衬、内衬的制备方法及反应腔室
KR20170006807A (ko) 2015-07-09 2017-01-18 (주)티티에스 공정 챔버의 부품파트 및 화학기상증착에 의해 이트리아를 부품파트에 증착하는 방법
CN108431930A (zh) * 2016-01-07 2018-08-21 应用材料公司 具有远程等离子体源和dc电极的原子层蚀刻系统
KR102603742B1 (ko) 2016-03-11 2023-11-16 어플라이드 머티어리얼스, 인코포레이티드 알루미늄 반도체 프로세스 장비를 위한 배리어 층으로서의 알루미늄 전기도금 및 산화물 형성
KR102210971B1 (ko) 2016-03-11 2021-02-01 어플라이드 머티어리얼스, 인코포레이티드 반도체 프로세싱 장비 상에 이트륨 산화물을 형성하기 위한 방법
US20180005867A1 (en) * 2016-07-01 2018-01-04 Lam Research Corporation Esc ceramic sidewall modification for particle and metals performance enhancements
US20180016678A1 (en) 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
US10407789B2 (en) 2016-12-08 2019-09-10 Applied Materials, Inc. Uniform crack-free aluminum deposition by two step aluminum electroplating process
US11261533B2 (en) 2017-02-10 2022-03-01 Applied Materials, Inc. Aluminum plating at low temperature with high efficiency
JP7065599B2 (ja) * 2017-12-28 2022-05-12 株式会社トクヤマ パイロリティック窒化ホウ素及びパイロリティック窒化ホウ素の製造方法、並びにパイロリティック窒化ホウ素を用いた結晶成長装置
CN108374160B (zh) * 2018-03-08 2019-10-22 南京元汀环境科技有限公司 一种钛基掺硼金刚石涂层的制备方法
CN110453195B (zh) * 2018-05-07 2021-09-28 中国科学院宁波材料技术与工程研究所 用于金属表面腐蚀防护的氮化硼复合薄膜、其制法与应用
US10923327B2 (en) * 2018-08-01 2021-02-16 Applied Materials, Inc. Chamber liner
CN111632205B (zh) * 2020-06-24 2023-04-14 北京华钽生物科技开发有限公司 一种应用于植入医疗器械的医用涂层及其制备方法
WO2022040233A1 (en) * 2020-08-21 2022-02-24 Lam Research Corporation Erosion resistant plasma processing chamber components
US20230097687A1 (en) * 2021-09-30 2023-03-30 Entegris, Inc. Additive manufactured articles having coated surfaces and related methods

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1999020812A1 (en) * 1997-10-21 1999-04-29 Applied Materials, Inc. Method for cleaning an etching chamber

Family Cites Families (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
US4397724A (en) 1981-08-24 1983-08-09 Bell Telephone Laboratories, Incorporated Apparatus and method for plasma-assisted etching of wafers
FR2538987A1 (fr) 1983-01-05 1984-07-06 Commissariat Energie Atomique Enceinte pour le traitement et notamment la gravure de substrats par la methode du plasma reactif
US4534816A (en) 1984-06-22 1985-08-13 International Business Machines Corporation Single wafer plasma etch reactor
US4612077A (en) 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
JPS62103379A (ja) 1985-10-29 1987-05-13 Showa Alum Corp Cvd装置およびドライ・エツチング装置における真空チヤンバの製造方法
JPS6362858A (ja) * 1986-09-01 1988-03-19 Toyota Motor Corp セラミツク溶射層の形成方法
JPH0741153Y2 (ja) 1987-10-26 1995-09-20 東京応化工業株式会社 試料処理用電極
US5024716A (en) 1988-01-20 1991-06-18 Canon Kabushiki Kaisha Plasma processing apparatus for etching, ashing and film-formation
JPH01275779A (ja) 1988-04-28 1989-11-06 Tanaka Kikinzoku Kogyo Kk クラッド容器
US5262029A (en) 1988-05-23 1993-11-16 Lam Research Method and system for clamping semiconductor wafers
FR2662704B2 (fr) 1989-06-08 1992-08-14 Inst Francais Du Petrole Utilisation d'alliages a base de nickel dans un procede de craquage thermique d'une charge petroliere et reacteur pour la mise en óoeuvre du procede.
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
FR2662701B1 (fr) 1990-05-31 1997-07-18 Oreal Composition tinctoriale a base de 5,6-dihydroxyindolines et procede de teinture des fibres keratiniques.
US5192849A (en) 1990-08-10 1993-03-09 Texas Instruments Incorporated Multipurpose low-thermal-mass chuck for semiconductor processing equipment
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US5268217A (en) 1990-09-27 1993-12-07 Diamonex, Incorporated Abrasion wear resistant coated substrate product
US5200232A (en) 1990-12-11 1993-04-06 Lam Research Corporation Reaction chamber design and method to minimize particle generation in chemical vapor deposition reactors
EP1120817B8 (en) 1991-03-26 2007-10-10 Ngk Insulators, Ltd. Use of a corrosion-resistant member
US5273588A (en) 1992-06-15 1993-12-28 Materials Research Corporation Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means
US5366585A (en) 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5364522A (en) * 1993-03-22 1994-11-15 Liang Wang Boride, carbide, nitride, oxynitride, and silicide infiltrated electrochemical ceramic films and coatings and the method of forming such
US5891253A (en) 1993-05-14 1999-04-06 Applied Materials, Inc. Corrosion resistant apparatus
US5522932A (en) 1993-05-14 1996-06-04 Applied Materials, Inc. Corrosion-resistant apparatus
JPH07153370A (ja) * 1993-11-30 1995-06-16 Kyocera Corp 放電管
US5822171A (en) 1994-02-22 1998-10-13 Applied Materials, Inc. Electrostatic chuck with improved erosion resistance
JP3308091B2 (ja) 1994-02-03 2002-07-29 東京エレクトロン株式会社 表面処理方法およびプラズマ処理装置
US5798016A (en) 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
TW321821B (zh) 1994-05-17 1997-12-01 Hitachi Ltd
US5641375A (en) 1994-08-15 1997-06-24 Applied Materials, Inc. Plasma etching reactor with surface protection means against erosion of walls
JPH0892747A (ja) * 1994-09-22 1996-04-09 Sekisui Chem Co Ltd 基板の表面処理方法
US5885356A (en) 1994-11-30 1999-03-23 Applied Materials, Inc. Method of reducing residue accumulation in CVD chamber using ceramic lining
US5569356A (en) 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
JP3164200B2 (ja) * 1995-06-15 2001-05-08 住友金属工業株式会社 マイクロ波プラズマ処理装置
US5824605A (en) 1995-07-31 1998-10-20 Lam Research Corporation Gas dispersion window for plasma apparatus and method of use thereof
US5686796A (en) 1995-12-20 1997-11-11 International Business Machines Corporation Ion implantation helicon plasma source with magnetic dipoles
US5838529A (en) 1995-12-22 1998-11-17 Lam Research Corporation Low voltage electrostatic clamp for substrates such as dielectric substrates
JPH09272965A (ja) * 1996-04-09 1997-10-21 Toshiba Corp 真空成膜装置用部品とそれを用いた真空成膜装置、およびターゲット、バッキングプレート
JP3360265B2 (ja) 1996-04-26 2002-12-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5863376A (en) 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US6048798A (en) 1996-06-05 2000-04-11 Lam Research Corporation Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
US5904778A (en) 1996-07-26 1999-05-18 Applied Materials, Inc. Silicon carbide composite article particularly useful for plasma reactors
WO1999021806A1 (fr) * 1997-10-23 1999-05-06 Nippon Tungsten Co., Ltd. Agglomere ceramique a base d'alumine et procede de production dudit agglomere
US6120640A (en) * 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
US5879523A (en) 1997-09-29 1999-03-09 Applied Materials, Inc. Ceramic coated metallic insulator particularly useful in a plasma sputter reactor
US6129808A (en) 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
JPH11340144A (ja) * 1998-05-22 1999-12-10 Hitachi Ltd 半導体装置の製造方法
US6123791A (en) * 1998-07-29 2000-09-26 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
JP2000096240A (ja) * 1998-09-25 2000-04-04 Neos Co Ltd プラズマ化学蒸着用有孔電極板の処理方法
JP3046288B1 (ja) * 1998-12-28 2000-05-29 京セラ株式会社 半導体・液晶製造装置用部材
JP2002249864A (ja) * 2000-04-18 2002-09-06 Ngk Insulators Ltd 耐ハロゲンガスプラズマ用部材およびその製造方法
US6533910B2 (en) * 2000-12-29 2003-03-18 Lam Research Corporation Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof
US6537429B2 (en) * 2000-12-29 2003-03-25 Lam Research Corporation Diamond coatings on reactor wall and method of manufacturing thereof
US6620520B2 (en) * 2000-12-29 2003-09-16 Lam Research Corporation Zirconia toughened ceramic components and coatings in semiconductor processing equipment and method of manufacture thereof
US6613442B2 (en) * 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1999020812A1 (en) * 1997-10-21 1999-04-29 Applied Materials, Inc. Method for cleaning an etching chamber

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102522306A (zh) * 2011-12-29 2012-06-27 中微半导体设备(上海)有限公司 喷淋头
CN102629541A (zh) * 2012-04-25 2012-08-08 中微半导体设备(上海)有限公司 喷淋头及其形成方法
CN102629541B (zh) * 2012-04-25 2016-02-17 中微半导体设备(上海)有限公司 喷淋头及其形成方法

Also Published As

Publication number Publication date
JP4634005B2 (ja) 2011-02-16
TW533494B (en) 2003-05-21
EP1364075A1 (en) 2003-11-26
KR20030066756A (ko) 2003-08-09
US6773751B2 (en) 2004-08-10
WO2002053799A1 (en) 2002-07-11
US20020086554A1 (en) 2002-07-04
JP2004523649A (ja) 2004-08-05
CN1484712A (zh) 2004-03-24
US6613442B2 (en) 2003-09-02
KR100830068B1 (ko) 2008-05-16
US20040137147A1 (en) 2004-07-15

Similar Documents

Publication Publication Date Title
CN1484712B (zh) 半导体工艺设备的氮化硼/氧化钇复合材料部件及其制造方法
CN1269989C (zh) 半导体工艺设备的碳氮化物涂层部件及其制造方法
CN1284880C (zh) 反应器壁上的金刚石涂层及其制造方法
CN1273640C (zh) 半导体工艺设备的富勒烯涂层部件及涂层方法
CN1260770C (zh) 在半导体加工设备中的氧化锆增韧陶瓷组件和涂层及其制造方法
CN1505695A (zh) 半导体工艺设备中的含铈氧化物的陶瓷部件与涂层
WO2001000901A9 (en) Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20100421

Termination date: 20191123

CF01 Termination of patent right due to non-payment of annual fee