CN1535436A - 产品产量预测的系统和方法 - Google Patents

产品产量预测的系统和方法 Download PDF

Info

Publication number
CN1535436A
CN1535436A CNA008173575A CN00817357A CN1535436A CN 1535436 A CN1535436 A CN 1535436A CN A008173575 A CNA008173575 A CN A008173575A CN 00817357 A CN00817357 A CN 00817357A CN 1535436 A CN1535436 A CN 1535436A
Authority
CN
China
Prior art keywords
layout
carrier
feature
characterization
product
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA008173575A
Other languages
English (en)
Other versions
CN100336063C (zh
Inventor
布赖恩・E.・斯泰恩
布赖恩·E.·斯泰恩
基巴里安
约翰·基巴里安
米歇尔斯
基蒙·米歇尔斯
维斯
乔·戴维斯
つψ婺返
P·K.·摩祖姆德
谢丽·李
托弗・赫斯
克里斯托弗·赫斯
威兰德
拉格·威兰德
・J.・西普里卡斯
丹尼斯·J.·西普里卡斯
M.・斯塔绍尔
大卫·M.·斯塔绍尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
PDF Solutions Inc
Original Assignee
PDF Solutions Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by PDF Solutions Inc filed Critical PDF Solutions Inc
Publication of CN1535436A publication Critical patent/CN1535436A/zh
Application granted granted Critical
Publication of CN100336063C publication Critical patent/CN100336063C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B15/00Systems controlled by a computer
    • G05B15/02Systems controlled by a computer electric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • H01L22/34Circuits for electrically characterising or monitoring manufacturing processes, e. g. whole test die, wafers filled with test structures, on-board-devices incorporated on each die, process control monitors or pad structures thereof, devices in scribe line
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

一种用于预测集成电路产量的系统和方法包括至少一种类型的特征化载体,它包括用于表示包括于集成电路最后产品中的至少一种类型特征的至少一个特征。该特征化载体经受至少一个组成将要用于制造集成电路产品制造周期的操作过程以便产生一个产量模型。该产量模型包含一个由该特征化载体所定义的布局,并且优选地包括有助于采集电气测试数据和以操作速度测试原型段的各特征。一个提取引擎从一个建议的产品布局中提取预定布局属性。该提取引擎在产量模型上操作而产生作为布局属性的函数的产量预测,并且被分解为制造过程中的各层或各步骤。这些产量预测然后被用于确定制造过程中哪些区域最需要改进。

Description

产品产量预测的系统和方法
发明背景
本发明属于集成电路制造领域,更具体地属于提高制造产量的系统和方法。
集成电路的制造是一个极端复杂的过程,它可能涉及成百个个别操作。该过程基本上包括精确地将预定数量的掺杂材料扩散入硅晶片上的精确预定区域以便产生有源设备例如晶体管。这个过程通常是通过在晶片上形成一层氧化硅,然后利用一个光掩模和光刻胶来形成一个在其中通过一个氧化硅掩模进行扩散的区域图形而完成的。接着通过该氧化硅层进行蚀刻以便形成具有精确尺寸和位置的通过其进行扩散的开口图形。在完成预定数量的这类扩散操作以便在晶片上产生所需数量的晶体管之后,它们按照互连线的要求被互连。这些互连线或被称为的互连通常是通过使用一个光掩模、光刻胶和蚀刻过程将导电材料淀积于所需互连图形上而形成的。通常一个完成的集成电路可能在一块0.1英寸乘0.1英寸的硅芯片上包含上百万个晶体管和亚微米尺寸的互连。
考虑到当今集成电路所要求的设备和互连密度,制造过程必须在极端精确和最少废品的方式下完成。对于可靠的操作而言,电路的电气特性必须被保持于小心控制的限度内,它隐含着对无数操作和制造过程的高度控制。例如,在光刻和光掩模操作中,在光掩模上的图形中出现的杂质例如灰尘、微小划痕和其他缺陷将会在半导体晶片上产生缺陷图形,其结果是造成缺陷集成电路。此外,在电路本身的扩散操作期间缺陷可能被引入电路中。能够使用高放大倍数下的目视检查和电气测试两者来识别缺陷电路。一旦识别出缺陷集成电路,希望采取步骤来减少制造过程中产生的缺陷集成电路的数量,因而增加能满足技术要求的集成电路产量。
在过去,许多促使集成电路产量低的缺陷是由颗粒污染或其他随机来源所造成的。现代集成电路制造过程中出现的愈来愈多的缺陷不是来源于颗粒或随机污染,而是来自非常系统的来源,特别在过程开发或产量提升的早期阶段更如此。这些系统缺陷来源包括使用活跃的金属板印刷工具中的可印刷性问题,来自形成的不好的硅化物的多桁条,由密度驱动和光学靠近效应造成的门长度变化。
在减少制造过程中产生的缺陷集成电路的数量因而增加产量的尝试中,人们面临的事实是任何一个或多个可能的上百个处理步骤可能已经造成一个具体的缺陷电路。由于存在这么多的变量,因此要确定一个具体电路中的缺陷的真正原因是极端困难的,因而识别和校正产量下降过程的操作是特别困难的。对完成的集成电路的详细观察可能提供某些迹象来显示哪个操作过程已经造成缺陷电路。然而,观察设备并不能获取许多系统缺陷源和/或一些工具可能难于调整、优化或有效地和可靠地使用。此外,观察设备尤其是新技术经常被许多假警报或无关缺陷所困扰,人们知道它们会破坏任何可靠地观察真正缺陷或缺陷源的尝试。
通常发现,在完成制造周期之后,一旦在最后测试中识别一个具体问题,则能够确认在执行该具体操作过程期间的确存在问题,问题出现的时间可能是在数周或甚至数月之前。因此该问题可能在事后很好地纠正。此时不同操作过程可能造成各问题。因此对缺陷集成电路的事后分析和对造成执行缺陷产品的操作过程的识别在作为一种用于改进集成电路的总产量的手段方面具有很大的局限性。
若干用于预测产量而不是进行不满意的事后分析的尝试已经在不同程度上取得成功。因此需要一种改进的系统和方法,用于预测集成电路产品产量。
发明概要
一种用于预测集成电路产量的系统和方法包括至少一种类型的特征化载体,它包括用于表示包括于集成电路最后产品中的至少一种类型特征的至少一个特征。该特征化载体经受至少一个组成将要用于制造集成电路产品制造周期的操作过程以便产生一个产量模型。该产量模型包含一个由该特征化载体所定义的布局,并且优选地包括有助于采集电气测试数据和以操作速度测试原型段的各特征。一个提取引擎从一个建议的产品布局中提取预定布局属性。该提取引擎在产量模型上操作而产生作为布局属性的函数的产量预测,并且被分解为制造过程中的各层或各步骤。这些产量预测然后被用于确定制造过程中哪些区域最需要改进。
附图的简要描述
图1是一个用于阐述本发明系统的优选实施例所完成的各步骤的框图。
图2是一个用于阐述本发明系统为实现一个反馈回路而完成的各附加步骤的框图。
图3是用于阐述包括一个单金属印刷板层的短流程掩模的图像。
图4阐述一个示例性金属短流程芯片上的衬垫帧。
图5阐述图4中阐述的每个衬垫帧内的各衬垫。
图6阐述两种类型的衬垫帧结构,它们包含van der Pauw结构。
图7阐述包含van der Pauw结构的衬垫帧在示例性芯片上的位置。
图8阐述一个示例性van der Pauw结构。
图9阐述示例性金属短流程芯片上叠套缺陷尺寸分布结构的示例性位置。
图10阐述一个示例性叠套缺陷尺寸分布结构。
图11阐述一个示例性Kelvin临界尺寸结构。
图12阐述一个示例性金属短流程芯片上的Kelvin结构的示例性位置。
图13阐述一个示例性金属短流程芯片上的蛇和梳的示例性位置。
图14阐述一个示例性金属短流程芯片中所用示例性蛇和梳结构。
图15阐述一个示例性金属短流程芯片中所用边界结构的不同例子。
图16阐述一个示例性金属短流程芯片上边界结构的示例性位置。
图17阐述一个示例性金属短流程芯片上扫描电子显微镜结构的示例性位置。
图18阐述用于解释可短路面积的一个示例性测试结构。
图19阐述用于检查线端头处T形端头产量的示例性测试图形。
图20阐述用于提取缺陷尺寸分布的示例性叠套结构。
图21阐述用于确定缺陷随着尺寸减弱的速率的一条曲线。
图22(a)、22(b)和22(c)分别阐述一个样本产品布局的金属-1层的线宽、间距和图形密度分布。
详细说明
现在参照图1,其中显示一个用于阐述一个一般由10标示的系统所完成的步骤的框图,该系统根据本发明预测集成电路产量。系统10利用至少一种类型的特征化载体12。该特征化载体12优选地具有软件形式,它包含为制造一个集成电路结构所需信息,它包括用于表示将要被包括于最后产品中的至少一种特征类型的具体特征。例如,特征化载体12可能定义一个单个金属印刷板层的短流程测试载体,该金属印刷板层用于检测所考虑的过程流的金属互连模块的质量和可制造性。这些结构必须足够大和与制造过程中移动的实际产品或产品类型足够类似,以便可靠地扑捉或记录可能影响制造期间的产品的不同毛病。以下将描述短流程和埋嵌于它们之中的结构的更具体的例子和描述。
短流程被定义为只包含集成电路制造周期内整个过程步骤中的一个特定子集。例如,当整个制造周期可能包含多至450个或更多过程步骤时,一个特征化载体例如一个被设计为调查单个互连层的可制造性的特征化载体只需包括少量例如10至25个过程步骤,因为有源设备和多互连层并不被要求获得一个产量模型或允许正确地诊断那些困扰与过程流中单个互连层相关联的步骤的毛病。
特征化载体12定义那些与所建议产品布局的一个或多个属性相匹配的特征。例如,特征化载体12可能定义一个短流程测试载体,它具有的一个部分布局,包括用于表示所建议产品布局的各特征(例如线尺寸、间距和周期性;线弯曲度和布线等的例子)以便确定可能困扰这些具体设计类型的和促使产量降低的毛病。
特征化载体12可能也定义一个或多个有源区域和所建议设计的邻域特征以便发现布局邻域对设备性能和过程参数的影响;作为布局属性的函数的模型设备参数;和确定哪些设备与产品性能最为相关。此外,通过构作和分析足够多的短流程载体从而经历整个过程的所有模块化部件的所有可能的或主要子集的范围,即能发现、作出模型和/或诊断出对许多(如果不是全部)困扰所制造具体产品的产量问题的充分评价。
除提供信息以供评估和诊断可能从制造中的产品中看出的产量问题外,特征化载体被设计为产生产量模型16,它能够用于正确地预测产量。这些产量模型16能够用于以下目的:包括但不限于产量计划、在整个过程中将产量改进活动排定优先级、和修改产品本身的原有设计以使它更便于制造。
本发明中所设想的特征化载体12中的大多数测试结构是为电气测试所设计的。为此目的,由每个特征化载体评估的对模块中故障和缺陷进行检测的可靠性是非常高的。观察设备无法提供或允诺如此高的可靠程度。此外,数据采集的速度和体积是分别非常快和大的,因为电气测试是快和廉价的。以此方式,能够实现统计学上有效的诊断和/或产量模型。
特征化载体12优选地采取一个带或盘上的GDS2布局的形式,然后它被用于产生一个标线组。该标线组在制造周期14的所选部分期间用于产生产量模型16。因此该产量模型16优选地从晶片的至少一部分中测量的数据中构作而成,而该晶片已经经受过由特征化载体12所定义的标线组所选择使用的的制造过程步骤。
产量模型16不但埋嵌由特征化载体所定义的布局,它还包括由制造操作过程本身所引入的人工制品。产量模型16可能还包括原型体系结构和布局图形以及便于以操作速度采集电气测试数据和测试原型段,这些特征能够加强产量预测的正确度和可靠性。
提取引擎18是一个工具,用于从所建议产品布局20中提取布局属性和将此信息植入产量模型16内以便获得一个产品产量预测22。这类布局属性可能包括例如通道冗余、临界面积、净长度分布和线宽/间距分布。然后,在给定来自所建议产品布局20的布局属性和来自根据来自特征化载体12的信息而制造的产量模型16的数据的情况下,能够预测产品产量22。使用本发明的系统和方法,该获得的可预测产品产量能够与每个定义的属性、功能块或层、或整个产品布局的最后产量预测相关联。
现在参照图2,其中显示一个根据本发明的用于预测集成电路产量10的系统的框图,该系统附加地包括一个一般由24标示的反馈回路,用于依靠提取引擎28从产品布局20中提取设计属性26。根据本发明的这个特征,使用产品布局20的属性来开发特征化载体12。在此情况下,产品布局的属性被提取,确保属性的范围在特征化载体12中被跨越。例如,产品布局被分析以便确定间距分布、宽度分布、密度分布、岛状图形数量,因而实际上开发制造过程的设计规则的整个集合的一个子集,该子集能够被应用于所考虑的具体产品布局。就图形而言,产品布局分析将确定最普通图形,次普通图形等。这些将由提取引擎28所提取并产生设计属性26,它们包括所有这些图形,以供被包括入特征化载体12内。就密度而言,如果产品布局的分析发现第一金属的密度为自10%至50%,则特征化载体将包括第一金属的自10%至50%的整个范围。
特征化载体的一个类型是金属短流程特征化载体。金属短流程特征化载体的目的是将单个互连层的可印刷性和可制造性加以量化。通常一个金属短流程在过程中很早操作,因为对于高产品产量而言金属产量是重要的,通常很难获得,并且只包含少数独立的过程步骤。使用金属短流程掩模进行短流程实验,这能够有助于快速而连续地进行实验和分析,以便消除任何系统化缺陷产量或随机缺陷产量或使它们最小,这些缺陷产量被检测而不必等待整个流程的完成。
参照图3,其中显示一个通常的和阐述性的一般由30标示的金属短流程掩模的图象,它包含单个金属印刷板层。该掩模30用于定义芯片上的单个金属层,以及图3中阐述的示例性芯片32与分档器一般大,能够容纳例如此例中大约22mm×22mm的尺寸。它被分为4个象限,如图4中所示42、44、46和48,其中每个包含以下6个基本结构中的一个或多个:(i)Kelvin金属临界尺寸结构;(ii)蛇和梳结构;(iii)叠套缺陷尺寸分布结构;(iv)Van der Pauw结构;(v)OPC评估结构;和(vi)古典扫描电子显微镜(SEM)结构。
大约50%的芯片面积用于叠套结构,用于提取缺陷尺寸分布,而40%的芯片面积用于检测系统产量损失机制和测量参数化变动。图3也阐述芯片上衬垫帧34的位置。在此处描述的实施例中,在芯片上有131个衬垫帧,其中每个衬垫帧34包括32个衬垫,如图5中所示。每个衬垫帧34中的衬垫提供电气连接点,按照以下将要描述的测试程序所要求的,这些电气连接点被外部测试设备接触。
此芯片中使用的van der Pauw测试结构82(见图8)是4端方形结构,它们利用结构的对称性来直接确定面电阻。正确地确定面电阻是测量线宽变动的一个要求。该van der Pauw结构82被安排为两个不同帧类型:混合型62(见图6A)和VDP 1型64(见图6B)。图7阐述包含此处阐述的示例性金属短流程芯片中的van der Pauw结构的衬垫帧72的位置。在此示例性芯片中,van der Pauw结构占据小于芯片面积的1%。在van der Pauw结构中,线宽(LW)和LW抽头(见图8)是变化的参数。表I显示此处阐述的示例性金属短流程芯片中的van der Pauw结构中的变动。
表I
    LW(μm)     LW抽头(μm)
    1(DR)     1(DR)
    1.1     1.1
    5     1
    10     2
    25     5
    35     7
    35     3.5
    50     5
叠套缺陷尺寸分布结构是被叠套的连续线阵列,这些叠套连续线阵列被设计为用于开路和短路检测和用于提取缺陷尺寸分布。线宽和间距是被改变以方便于提取缺陷尺寸分布的参数。在此处描述的实施例中,这些结构在图9中所示位置92和94处占据芯片面积的50%,并且在总共10个单元96中具有14个变动。这些结构能够占据的面积必须足够大以便正确地检测出每个晶片中小于0.25缺陷/cm2。变动的数量通常包括设计规则(DR)、稍低于DR、稍高于DR和实际上高于DR。因此,如果DR是间距1.0μm,则曲线可能是如表II中所示的0.9、1.1、1.3和2.5。
              表II
    线宽=间距(μm)     长度(cm)
    0.9     39.6
    1.0(DR)     36
    1.1     33
    1.3     28.2
    2.5     24.6
每个单元被划分为6个子单元,以便将线电阻减少至合理水平(小于250kΩ),同时使每个单元的多缺陷机会最小。在此实施例中,每个单元有16个蛇。一个一般以1002标示的示例性叠套缺陷尺寸分布结构本身被阐述于图10中。该叠套缺陷尺寸分布结构被设计为使线宽(LW)等于间距(S),以便简化随后的数据分析。
开尔文(Kelvin)金属临界尺寸(CD)结构由每一端处与终端连接的连续直线所组成。这些结构允许进行精确线电阻测量,该测量与根据vander Pauw结构所确定的面电阻一起用于确定Kelvin线宽。这些结构被主要设计为用于确定电气临界尺寸中的变动。一个一般以110标示的示例性Kelvin临界尺寸结构被阐述于图11中。为研究光学逼近效应对电气临界尺寸可变动性的影响,将本地邻域结构改变。为本地邻域而改变的参数是线的数量112、线宽114和间距116。围绕Kelvin结构的全局环境118也是变动的,主要用于研究对电气临界尺寸的蚀刻相关效应(见图11)。为全局邻域而变动的参数是密度和面积。全局邻域结构也能用于其他电气测量的需要。例如,这些结构的产量能够被测量以便不但获得作为环境函数的金属临界尺寸,而且获得作为环境函数的产量。图12阐述此处描述的金属短流程芯片中的Kelvin结构122的位置。这些位置被选择以便覆盖有用面积。表III至IX描述此处描述的金属短流程芯片中使用的Kelvin结构中的变动。这些值被选择以便覆盖在图22(a)至22(b)中所识别的间距。例如,图形密度围绕45%为中心以及线宽和间距的范围为1.0至3.3μm,因为这是大多数示例性产品布局的中心所在。
                         表III
 线宽(μm)   间距(μm) 本地线数量 固定的参数
    0.75     0.75     6 本地线宽=1μm
    0.9     0.9 密度=45%
  1μm(DR)     1.0(DR) 梳的线宽=1.3μm
    1.1     1.1 Dx max=400(μm)
    1.3     1.3 Dy max=400(μm)
    2.5     2.5
    3.3     3.0
    10     3.3
    10
    50
                         表IV
线宽(μm)     间距比 本地线数量 固定的参数
    0.75     2比1     6 本地线宽=1μm
    0.9     3比1     2 密度=45%
    1(DR) 梳的线宽=1.3μm
    1.1 Dx max=400(μm)
    1.3 Dy max=400(μm)
    2.5
    3.3
    10
                              表V
  线宽(μm)   本地线数量   本地线宽(μm)  间距(μm) 固定的参数
  0.75     1     1(DR)     1(DR) 密度=45%
  0.9     2     1.3     1.3 梳的线宽=1.3μm
  1(DR)     4 Dx max=400(μm)
  1.1 Dy max=400(μm)
  1.3
  2.5
  3.3
  10
                                表VI
  线宽(μm) 间距(μm) 本地线数量  密度   LW梳(μm) 固定的参数
   1.0(DR) 1.0(DR) 6  0   1.3  Dx max=400(μm)
   1.3 1.3 2  0.2   10  Dy max=400(μm)
 0.40
 0.45
 0.50
                            表VII
线宽(μm)   间距(μm) 本地线宽(μm)     固定的参数
 0.9   1.0(DR)     10 本地线数量2
 1.0(DR)   1.1     30 密度45%
 1.1   1.3     100 梳的线宽1.3
 1.3   2.5 Dx max=400(μm)
 2.5   3.3 Dy max=400(μm)
 3.3   10
 10
                     表VIII
  线宽(μm)   间距(μm) 固定的参数
  1.0(DR)   1.0(DR) 本地线数量6密度-45%梳的线宽1.3Dx_max=400(μm)Dy_max=400(μm)本地线宽1.3
  1.1   1.1
  1.3   1.3
  2.5   2.5
  10   3.0
  5.3
                                        表IX
线宽(μm)     间距(μm)     本地密度     Dx_max     固定的参数 备注
0.75 本地线数量0密度0梳的线宽0本地线宽0Dx_max=400(μm) 孤立的Kelvins
0.9
1.0(DR)
1.1
1.3
2.5 Dy_max=400(μm)线宽=1.0(μm)本地线宽=1.0(μm)本地线数量2密度0.45梳的线宽1.3Dx_max=400(μm)Dy_max=400(μm) 本地邻域尺寸
3.3
10
    10     2.5
    20     3.5
    30     4.5
    40     5.5
    50     6.5
    60     7.5
    70     8.5
    80     9.5
    25 线宽1.0本地线宽1.0间距1.0本地线数量6密度0.45梳的线宽1.3Dy_max 400(μm) 全局邻域尺寸
    50
    100
    150
    200
    250
    300
    线宽     间距     N_本地     Dx_max 固定的参数 备注
  1.0(DR)     1.0(DR)     6 D_本地5梳的线宽1.30.45 标准
    1.3     1.3     6
    1.0     40     2
    1.3     40     2
这些蛇、梳、蛇和梳结构被设计为主要用于检测很多不同图形中的短路和开路。蛇主要用于检测开路而也能用于监测电阻变动。梳用于监测短路。短路和开路是基本的产量损失机制,及这两者必须被减至最小以便获得高产品产量。图13显示此处描述的金属短流程芯片中的蛇和梳1302的位置。象限一1304还包含叠套于Kelvin结构中的蛇1402和梳1404,如图14中所示。参照图14,线宽(LW)和间距(S)是在这些结构上变化以便研究它们对短路和开路的影响的参数。表X至XIII描述此处描述的金属短流程芯片中使用的蛇和梳结构的变动。再次,这些参数被选择以使线宽、间距和密度中所覆盖的间距类似于图22(a)至22(c)中所示产品布局例子。
                    表X
  LW_梳(μm) 间距(μm)   LW_蛇(μm)   固定的参数
    20     0.9   1.0(DR) Dx_max=200μmDy_max=400μm
    50     1.0(DR)
    100     1.1
    200     1.3
    300     2.5
    3.0
    3.3
    10
    20     1.3   1.3
    50     3.1
    100     3,3
    200     3.5
    300     10
                  表XI
  LW_梳(μm)     间距(μm)     固定的参数
    0.75     0.75 Dx_max=200μmDy_max=400μm
    0.9     0.9
    1.0(DR)     1.0(DR)
    1.1     1.1
    1.3     1.2
    2.0     1.3
    3.3     2.5
    10     3.0
    3.3
    10
         表XII
    线宽(μm)     固定的参数
    0.75 Dx_max=200μmDy_max=400μm10μm
    0.9
    1.0(DR)
    1.1
    1.3
    2.5
    3.3
    10
                  表XIII
  LW(μm)     间距(μm)     固定的参数
    20     0.7 Dx_max=400μmDy_max=200μm
    50     1.0(DR)
    100     1.1
    200     1.3
    500     2.5
    2.7
    3.0
    3.3
    5
    10
边界和边缘结构被设计为研究光学逼近校正(OPC)结构对短路的影响。这些光学逼近校正通常被加入以便改进通道产量。然而,必须使用和不使用这些边界来检查金属短流程产量以便保证对短路产量没有不良影响。边界1502被放置于梳线一端和梳结构内部这两处,一般以1504标示,如图15中所示。图16显示此处描述的金属短流程芯片中的一般以1602标示的边界结构的位置。
扫描电子显微镜(SEM)结构被用于自顶向下地或通过截面SEM对线宽进行非电气测量。对于此处描述的金属短流程芯片中的SEM条而言,线宽与根据传统SEM技术的间距相同。图17阐述此处描述的金属短流程芯片中的SEM结构1702的位置。这些结构被放置于所阐述实施例的每个象限1704、1706、1708和1710的底部,因为在该处有空间。
在图3至17及其所附说明中,已经描述一个用于改进金属产量的示例性特征化载体。其他用于通道、设备、硅化物、聚合物等的特征化载体通常被设计和利用。然而设计它们所用过程和技术是相同的。为阐述目的,将在提取引擎和产量模型上执行该示例性金属特征化载体。
提取引擎18具有两个主要目的:(1)它用于确定级别范围(例如线宽、间距、密度)以便在设计特征化载体时使用。(2)它用于提取一个产品布局的属性,它然后被用于产量模型中以便预测产量。上面已经结合示例性特征化载体中如何选择蛇、梳和Kelvin结构的线宽、间距和密度来描述(1)。以下大部分讨论将集中于(2)。
由于几乎无数个属性能够被从产品布局中提取出,因此不可能为每个产品列举所有属性。因此,需要一个过程来指导应该提取哪些属性。通常该特征化载体驱动要提取的那些属性。该过程包含:
1.列出特征化载体中的所有结构。
2.将每个结构划分为组或族,以使族中所有结构对一个特定属性形成一个实验。例如,在以上所述金属特征化载体中,族分类的表可能如下:
族                 发现的属性。
叠套结构           在少数线宽和间距上的基本缺陷。
蛇和梳             在线宽和间距的广阔范围上的产量包括
                   小间距附近的非常大线宽及小线宽附近
                   的非常大间距。
Kelvin-CD          在密度、线宽和间距方面的CD变动。
+van der Pauws
边界结构           不同OPC方案对产量的影响。
3.为每个族确定必须从产品布局中提取哪些属性。要提取的正确属性是根据被发现的属性而被驱动的。例如,如果一个具体族发现不同空间范围上的产量,则必须提取每个空间的空间直方图或可短路面积。对于以上例子,属性的所需列表可能是:
族             发现的属性           要从产品布局中提取
                                    的属性
(A)叠套结构    在少数线宽和间       临界面积曲线。
               距上的基本缺陷
(B)蛇和梳      在广阔范围的线       特征化载体中发现的
               宽和间距上的产       每个线宽和间距的可
               量包括…             短路面积和/或实例计
                                    数。
(C)Kelvin-CD   在密度、线宽和       图形密度、线宽和间距
和van der      间距上的CD变         的直方图(类似于图22
Pauw           动                   中所示例子)。
(D)边界结构    不同OPC方案对        对于每个被选用于产
               产量的影响           品布局的OPC方案的
                                    可短路面积或实例计
                                    数。
4.如前所述,使用从合适的产量模型中提取的属性。
对于其他特征化载体,这些族和所需属性将显然不同。然而,过程和实施方式类似于以上所述例子。
如上所述,产量模型16优选地从测量的数据中进行构作,这些测量的数据是从经受过使用由特征化载体12所定义标线组的制造过程步骤的晶片的至少一部分中测量所得。在优选实施例中,该产量被构作为随机部分和系统部分的乘积的模型:
Y = ( Π i = 1 n Y s i ) ( Π j = 1 m Y r j )
用于定义Ysi和Yrj的方法和技术如下。
系统产量模型
由于存在许多类型的系统产量损失机制,及它们随着工厂不同而不同,要列举每个可能的系统产量模型是不现实的。然而以下描述两个非常普通的技术,同时给出特别是在特征化载体上下文内使用它们的例子和此处描述的方法学。
基于面积模型
基于面积模型能够书写如下:
Ys i = [ Y o ( q ) Y r ( q ) ] A ( q ) / A o ( q )
其中q是特征化载体中的一个设计因子,例如线宽、间距、长度、线宽/间距比、密度等。Yo(q)是具有来自特征化载体的设计因子q的结构的产量。Ao(q)是此结构的可短路面积及A(q)是产品布局上的所有实例类型q的可短路面积。Yr(q)是在假设随机缺陷是唯一的产量损失机制的情况下此结构的预测产量。用于计算此量的过程在下面结合随机产量模型进行描述。
可短路面积的定义最好地阐述于图18中所示例子中。这个类型的测试结构能够用于判断该工厂是否能够生产具有间距s的弯曲宽线。在此示例性测试结构中,通过将一个电压施加于端头(1)和(2)之间同时测量自端头(1)流向(2)的电流而测量一个短路。如果此电流大于一个规定阈值(通常为1-100na),则检测出一个短路。可短路面积被定义为一个面积,其中如果出现跨接,则将能测量一个短路。在图18的例子中,该可短路面积大约为x*s。A(q)项是产品布局中图18中所示正确的或几乎正确的图形(即一条具有间距s和弯曲45度的粗线)的所有实例的可短路面积。Yr(q)项是使用以下将要描述的临界面积方法来预测此特定结构的随机产量极限而被提取的。
重要的是意识到此模型的有效性只是与被放置于特征化载体上的结构数量和结构尺寸同样良好。例如,如果图18中所示的有角度弯曲测试结构从未被放置于特征化载体上或被放置得不够经常以致无法获得有意义的产量数量,则将没有希望将产品布局上的宽线弯曲的产量损失进行模型化。由于难于正确地定义多少个多大的测试结构应该被放置于特征化载体上,实际实验已经显示出特征化载体上的每个测试结构的总可短路面积应该理论上为使A(q)/Ao(q)<10。
以上讨论集中于短路上,因为它们通常比开路产量损失机制更为重要。然而,只要可短路面积能够被造成开路面积所替代,则开路产量损失机制能够同样良好地使用此产量模型进行模型化。
基于实例产量模型
基于实例产量模型的一般形式为:
Ys i = [ Y o ( q ) Y r ( q ) ] N i ( q ) / N o ( q )
其中Yo(q)和Yr(q)如同基于面积产量模型中一样是完全相同的。Ni(q)是特征化载体上的单元图形或与测试图形非常类似的单元图形出现于产品布局中的次数。No(q)是单元图形出现于特征化载体中的次数。
例如,图19显示一个简单的测试图形,用于检查间距s附近的各线端头处的T型端头的产量。此测试图形通过将一个电压施加于端头(1)和(2)上同时测量该短路电流而进行测量。如果在特征化载体上某处该图形被重复25次,则No(q)将为25×5=125,因为每个测试结构具有5个单元。
如果这个间距s附近的单元的出现次数是从产品布局中提取的,则能够预测这种类型的结构的系统产量。例如,如果有5个结构,而每个结构中有500个单元,则No(q)=2500。如果某些产品的Ni(q)是10,000,并且测量到特征化载体上的测试结构的产量为98.20%。使用以下所述技术,能够将Yr(q)估计为99.67%。在等式中使用这些数:
Ys i = [ 0.9820 0.9967 ] 10000 / 2500 = 92.84 %
随机产量模型
随机分量能够被写为:
Y r = e - ∫ xo ∞ CA ( x ) × DSD ( x ) dx
其中CA(x)是缺陷尺寸x的临界面积及DSD(x)是缺陷尺寸分布,它也被描述于“VSLI电路的CAD的金属印刷板相关产量损失的模型化”,W.Maly,IEEE Trans.on CAD,July 1985,pp 161-177,它在此处全部引为参考。Xo是能够被可信地观察或测量的最小缺陷尺寸。这通常是在最小间距设计规则时设置的。该临界面积是一块面积,其中如果存在一个尺寸为x的缺陷则将出现短路。对于非常小的x而言,临界面积接近于0,而非常大的缺陷尺寸将具有一个接近于整块芯片面积的临界面积。临界面积和提取技术的附加描述能够在以下文章中找到:P.K.Nag和W.Maly,“VLSI电路的产量评估”,Techcon90,Oct.16-18,1990.SanJose;P.K.Nag和W.Malv,“非常大IC中的短路临界面积的阶层性提取”,Proceedings of The IEEE International Workshop on Detect andFault Tolerance in VLSI Systems,IEEE Computer Society Press 1995,pp.10-18;I.Bubel,W.Malv,T.Waas,P.K.Nag,H.Hartmann,D.Schmitt-landsiedel和S.Griep,“AFFCCA:对圆形缺陷和金属印刷板变形布局的临界面积分析的工具”,Proceedings of The IEEEInternational Workshop on Detect and Fault Tolerance in VLSI Systems,IEEE Computer Society Press 1995,pp.19-27;C.Ouyang和W.Maly,“大VISI IC中的临界面积的有效提取”,Proc.IEEE IntenationalSymposium on Semiconductor Manufacturing,1996,pp.301-304;C.Ouyang,W.Pleskacz和W.Walv,“大VLSI电路中开路的临界面积的提取”,Proc.IEEE International Workshop on Detect and FaultTolerance of VLSI Systems,1996,pp.21-29,所有以上文章都全部引为参考。
该缺陷尺寸分布表示尺寸为x的缺陷的缺陷密度。有许多缺陷尺寸分布的建议模型(例如见“产量模型-比较性研究”,W.Malv,Defect andFault Tolerance in VLSI Systems,由C.Stapper等编辑,Plenum Press,New York,1990,及“集成电路缺陷敏感性的模型化”,C.H.Stapper,IBM J.Res.Develop.,Vol.27,No.6,November,1983,这两者都在此处全部引为参考),但为阐述目的,最普通的分布:
DSD ( x ) = D o × k x p
将被使用,其中Do表示大于观察的xo的缺陷/cm2的总数。P是一个无单位值,它表示缺陷随着尺寸的变化而衰减的速率。通常p位于2和4之间。K是一个规范化因子,以使
∫ x o ∞ k x p dx = 1
以下两段描述用于从特征化载体中提取缺陷尺寸分布的技术。
叠套结构技术
叠套结构被设计为用于提取缺陷尺寸分布。它由线宽为w和间距为s的N条线组成,如图20中所示。通过测量线1和2、2和3、3和4、…、及N-1和N之间的短路电流来测试此结构。任何大于给定特定限值的电流被认为是短路。此外,能够通过测量各线1、2、3、…、N-1和N的电阻来测试开路。任何大于给定特定限值的电阻被认为是开路线。通过检查多少条线被短路在一起,能够确定缺陷尺寸分布。
如果只有两条线被短路,则缺陷尺寸必定大于s及不大于3w+2s。任何小于s的缺陷根本不会造成短路,而大于3w+2s的缺陷则肯定会造成至少3条线的短路。对于被短路线的每个数量,能够建立一个尺寸范围:
  被短路的线数     尺寸范围
    2     s至2s+3w
    3     2s+w至3s+4w
    4     3s+2w至4s+5w
    …     …
    N     (N-1)s+(N-2)w至(N)s+(N+1)w
应该注意到,这些范围是重叠的;因此无法直接计算缺陷尺寸分布。此局限性只对p提取有限制。因此,为评估p,从所有偶数线的分布中计算一个p估计,然后从所有奇数线的分布中计算一个p估计。最后这两个值被求平均以便估计p。为提取p,画出ln(x条短路线的故障数量)与log([x-1]s+[x-2]w)的曲线。能够看出,此线的斜率为-p。该Do项是通过将每一组线的故障数量计数并且除以结构面积而提取的。然而,对于非常大的Do,此估计值将会太乐观。有关从类似于测试结构的结构中提取缺陷尺寸分布的附加信息能够在以下文章中找到,例如“使用测试结构数据提取IC层中的缺陷尺寸分布”,J.Khare,W.Naly和M.E.Thomas,IEEE Transactions on Semiconductor Manufacturing,pp.354-368,Vol.7,No.3,August,1994,它在此处被全部引为参考。
作为例子,考虑以下从一片具有100个小片的晶片中取出的数据:
    被短路的线数     故障数量
    2     98
    3     11
    4     4
    5     2
    6     1
    7     0
    8     0
如果结构尺寸是1cm2,则Do将为98+11+4+2+1=133/(100*1)=1.33缺陷/cm2。此外,log(故障数量)与log([x-1]s+[x-2]w)的曲线(见图21)显示出p=2.05。
梳结构技术
假设一个梳的宽度=间距=s,则此结构的产量能够书写如下:
ln [ | ln ( Y ) | ] = ln [ - ∫ x o ∞ DSD ( x ) × CA ( x ) dx ] ∝ ( 1 - p ) × ln ( s )
因此能够从ln[|ln(Y)|]与ln(s)的曲线斜率来估计p。Do提取技术与以上所述技术相同。
产量影响和评价
一旦已经使用足够数量的特征化载体,并且为每个特征化载体作出产量估计,这些结果被放置于一张数据表内以便对产量活动赋予优先级。表XIV至XVI是包含于这类数据表中的信息的例子。它已经被划分为金属产量、聚合物和有源面积(AA)产量(表XIV)、触点和通道产量(表XV)和设备产量(表XVI)各段。左面各列标示系统产量损失机制,而右面各列标示随机产量损失机制。系统故障机制的正确类型随着产品不同而不同,随着技术不同而不同,在表XIV至XVI中显示各例子。
通常各目标被归属于数据表中列举的每个模块。一个模块离目标愈远,则为解决问题需要更多重视和资源。例如,在表XIV至XVI中所示例子中,如果每个模块的目标被人为地设置为95%,则很清楚(M2->M3)通道(75.12%)后随以类似的通道(M1->M2)(81.92%)。M1短路(82.25%),和触点至聚合物(87.22%)都低于目标,以及其中通道(M2->M3)最需要工作量及触点至聚合物需要最少的工作量。
在每个模块内,还有可能查出最大产量损失位于何处。也即,它是否为一个使产量下降的特定系统机制,或者它是否只是一个随机缺陷问题,或者它是否为两个问题的某些组合?例如,如表XV中所示,通道(M2->M3)产量损失清楚地是由一个系统问题起主要作用,它影响M3级别上连至长金属连线的通道(77.40%)。除随机缺陷问题(92.49%)之外,来自(M1->M2)的通道也受到同样问题的影响(91.52%)。要解决通道(M1->M2)产量问题必须都解决这两个问题。
如表XIV中所示,除影响小间距附近的宽线(96.66%)的系统问题外,M1产量损失也由一个随机缺陷问题起主要作用(85.23%)。为改进金属1,必须都解决这两个问题。对于数据表中的其他模块也能作出类似结论。
对于最坏产量模块,要求经常操作此模块的其他特征化载体。通常在这些特征化载体上进行划分以便试图改进和使模块产量中的改进生效。对于位于目标内的那些模块,仍然要求日常性地检测短流程特征化载体以便证实模块产量中没有下转或其他移动。然而,这些特征化载体不必如其他具有已知问题的模块的操作一样经常。
                                    表XIV
                                       开路和短路(金属层)
           系统产量损失机制     随机产量损失机制
可短路面积(cm2) 实例计数  估计产量 D0 P 估计产量
金属1 随机产量 0.7缺陷/cm2 2.3 85.23%
小间距附近的宽线 0.034  96.66%
小线附近的宽间距 0.00014  99.99%
OPC结构的产量 72.341  99.86%
弯曲线 492  100.00%
M1的总数 82.25%
金属2 随机产量 0.35缺陷/cm2 1.92 97.45%
小间距附近的宽线 0.00079  99.92%
小线附近的宽间距 0.000042  100.00%
OPC结构的产量 1040372  97.94%
弯曲线 103  100.00%
M2的总数  95.36%
金属3 随机产量 0.25缺陷/cm2  2.02  96.92%
小间距附近的宽线 0.0000034  100.00%
小线附近的宽间距 0  100.00%
OPC结构的产量 352  100.00%
弯曲线 7942  99.92%
M3的总数  96.84%
                                     开路和短路(聚合物和AA层)
聚合物 随机产量(没有硅化物) 0.17缺陷/cm2  2.03  99.81% 89.71%来自硅化物
随机产量(有硅化物) 434缺陷/cm2  4.56  89.54%
小间距附近的宽线 0  100.00%
小线附近的宽间距 0.01203  98.80%
OPC结构的产量 0  100.00%
弯曲线 786541  92.44%
在宽AA上 0.034  96.66%
在窄AA上 0,101  99.00%
聚合物的总数  87.22%
AA 随机产量(没有硅化物) 1.3  3.45  99.12% 99.60%来自硅化物
随机产量(有硅化物) 1.7  3.02  98.72%
小间距附近的宽线 10952  99.96%
小线附近的宽间距 0  100.00%
AA的总数  98.70%
                                                 表XV
                                                  触点和通道
          系统产量损失机制     随机产量损失机制   99.71%
  可短路面积(cm2)   实例计数   估计产量   故障率   数量   估计产量
至聚合物的触点 随机产量(没有硅化物)   2.20E-09  3270432   99.28%
随机产量(有硅化物)   3.1E-09  3270432   98.99%
长连线的产量(M1上) 11.921   100.00%
长连线的产量(聚合物上) 0   100.00%
冗余通道的产量 39421   100.00%
完全孤立触点的产量 7200   96.46%
至聚合物的触点的总数   94.80%
至n+AA的触点 随机产量(没有硅化物)   2.20E-09  5270432   98.85%   99.53%
随机产量(有硅化物)   3.10E-09  5270532   98.38%
长连线的产量(M1上) 75,324   99.99%
长连线的产量(聚合物上) 0   100.00%
冗余通道的产量 4032007   99.60%
完全孤立触点的产量 7200  99.93%
至AA(n+)的触点的总数 96.78%
至p+AA的触点 随机产量(没有硅化物) 2.20E-09  6093450 98.67%
随机产量(有硅化物) 3.10E-09  6093450 98.13%
长连线的产量(M1上) 96,732  99.99%
长连线的产量(聚合物上) 0  100.00%
冗余通道的产量 39421  100.00%
完全孤立触点的产量 7200  99.93%
AA(p+)触点的总数 96.74%
M1至M2通道 随机产量(单通道) 1.10E-08  7093210 92.49%
长连线的产量(M2) 88640  91.52%
长连线的产量(M1) 97645  99.03%
冗余通道的产量 11003456  96.91%
孤立通道的产量 119582  96.81%
M1->M2通道的总数 81.92%
M2至M3通道 随机产量(单通道) 3.10E-09  4002063 98.77%
长连线的产量(M3) 256128  77.40%
长连线的产量(M2) 103432  96.97%
冗余通道的产量 7096230  99.29%
孤立通道的产量 1024  99.99%
M2->M3通道的总数 75.12%
                                      表XVI
                                       设备
       系统产量损失机制         随机产量损失机制
可短路面积(cm2) 实例计数 估计产量 故障率  数量 估计产量
NMOS 随机产量(逻辑Xtor) 2.90E-09  1395228  99.60%
随机产量(SRAMXtor) 2.80E-09  2226720  99.38%
S/D短路 1.00E-09  3621948  99.64%
弯曲半导体 1113360 99.89%
大AA附近 754000  99.9200%
小AA附近 1023452  99.90%
NMOS半导体的总数  98.33%
PMOS 随机产量(逻辑Xtor) 1.80E-09  1491003  99.73%
随机产量(sRAMXtor) 3.10E-09  1113360  99.66%
S/D短路 9.00E-10  2604363  99.77%
弯曲半导体 556680  99.94%
大AA附近 789092  99.9200
小AA附近 1309970  99.87%
PMOs半导体的总数  98.89%

Claims (39)

1.一种用于预测集成电路产量的系统,包括:
a)至少一种类型的特征化载体,它包括用于表示包括于一种集成电路最后产品中的至少一种类型的特征的至少一个特征。
b)一个产量模型,它体现了一个由该特征化载体所定义的一种布局,所述产量模型经受至少一个组成将要用于制造集成电路产品的制造周期的处理操作;
c)一个产品布局;及
d)一个提取引擎,用于自该产品布局中提取预定的布局特性,这些布局特性与产量模型一起用于产生一个产量预测。
2.根据权利要求1的系统,其中该特征化载体布局包含产品布局中出现的每个特征变动的相同范围。
3.根据权利要求2的系统,其中该特征化载体包括一个短流程测试载体。
4.根据权利要求3的系统,其中该特征化载体包括一个短流程测试载体,该测试载体具有一个包括用于表示建议产品布局的特征的部分布局。
5.根据权利要求4的系统,其中该特征化载体定义至少一个有源区域和至少一个用于表示建议产品布局的预选邻域特征。
6.根据权利要求3的系统,其中该特征化载体包括一个金属短流程测试载体。
7.根据权利要求6的系统,其中该金属短流程测试载体包括至少一个基本结构。
8.根据权利要求7的系统,其中所述至少一个基本结构从包含以下各项的组内选出:
a)开尔文金属临界尺寸结构;
b)蛇结构;
c)梳结构;
d)蛇和梳结构;
e)叠套缺陷尺寸分布结构;
f)van der Pauw结构;
g)光学逼近校正结构;及
h)扫描电子显微镜结构。
9.根据权利要求8的系统,其中该金属短流程测试载体包括单层金属层中的至少一个基本结构。
10.根据权利要求8的系统,其中该金属短流程测试载体包括多层金属层中的至少一个基本结构。
11.根据权利要求4的系统,其中这些用于表示建议产品布局的特征包括至少一个通道或触点。
12.根据权利要求4的系统,其中这些用于表示建议产品布局的特征包括至少一个有源设备。
13.根据权利要求4的系统,其中这些用于表示建议产品布局的特征包括至少一个硅化物区域。
14.根据权利要求4的系统,其中这些用于表示建议产品布局的特征包括至少一个多硅化物或多晶硅区域。
15.根据权利要求1的系统,其中当设计一个特征化载体时,提取引擎也用于确定布局特征的级别范围。
16.根据权利要求15的系统,其中布局特征的级别范围包括线宽、间距和线密度。
17.一种用于预测集成电路产量的方法,包括:
a)提供信息用于制造至少一种类型的特征化载体,它包括用于表示包括于一种集成电路最后产品中的至少一种类型的特征的至少一个特征。
b)制造一个特征化载体,它体现了一个产量模型和布局特征,这些布局特征表示采用了组成将要用于制造集成电路产品制造周期的处理操作中的至少一个处理操作的产品的布局特征;
c)提供一个产品布局;
d)从该产品布局中提取预定的布局特性;及
e)与产量模型一起使用所提取的布局特性以产生一个产量预测。
18.根据权利要求17的方法,其中该特征化载体布局包含产品布局中出现的每个特征变动的相同范围。
19.根据权利要求18的方法,其中该特征化载体包括一个短流程测试载体。
20.根据权利要求19的方法,其中该特征化载体包括一个短流程测试载体,该测试载体具有一个包括用于表示建议产品布局的特征的部分布局。
21.根据权利要求20的方法,其中该特征化载体定义至少一个有源区域和至少一个用于表示建议产品布局的预选邻域特征。
22.根据权利要求19的方法,其中该特征化载体包括一个金属短流程测试载体。
23.根据权利要求22的方法,其中该金属短流程测试载体包括至少一个基本结构。
24.根据权利要求23的方法,其中所述至少一个基本结构从包含以下各项的组内选出:
a)开尔文金属临界尺寸结构;
b)蛇结构;
c)梳结构;
d)蛇和梳结构;
e)叠套缺陷尺寸分布结构;
f)van der Pauw结构;
g)光学逼近校正结构;及
h)扫描电子显微镜结构。
25.根据权利要求24的方法,其中该金属短流程测试载体包括单层金属层中的至少一个基本结构。
26.根据权利要求24的方法,其中该金属短流程测试载体包括多层金属层中的至少一个基本结构。
27.根据权利要求20的方法,其中这些用于表示建议产品布局的特征包括至少一个通道或触点。
28.根据权利要求20的方法,其中这些用于表示建议产品布局的特征包括至少一个有源设备。
29.根据权利要求20的方法,其中这些用于表示建议产品布局的特征包括至少一个硅化物区域。
30.根据权利要求20的方法,其中这些用于表示建议产品布局的特征包括至少一个多硅化物或多晶硅区域。
31.根据权利要求17的方法,其中当设计一个特征化载体时,提取引擎也用于确定使用的级别范围。
32.根据权利要求31的方法,其中级别范围包括线宽、间距和线密度。
33.根据权利要求17的方法,其中使用包括以下步骤的过程从产品布局中提取预定布局特征:
a)列举特征化载体中所有结构;
b)将每个结构划分为族以使每个族内的所有结构形成一个特定属性上的一个实验;及
c)为每个族确定为产品布局提取那些属性。
34.根据权利要求33的方法,其中各族中包括一个族,它包括用于发现所选数量的线宽和间距上的基本缺陷的叠套结构。
35.根据权利要求33的方法,其中各族中包括一个族,它包括用于发现预定范围的线宽和间距上的产量的蛇和梳结构。
36.根据权利要求35的方法,其中预定范围的线宽和间距包括相对地小的间距附近的相对地大的线宽及相对地小的线宽附近的相对地大的线间间距。
37.根据权利要求33的方法,其中各族中包括一个族,它包括用于发现线密度、线宽和间距上的临界尺寸变动的Kelvin临界尺寸和van derPauw结构。
38.根据权利要求33的方法,其中各族中包括一个族,它包括用于发现不同光学逼近校正方案对产量的影响的边界结构。
39.一种用于在给定特征化载体数据和所提取布局属性的情况下将产量损失机制确定和排队的系统。
CNB008173575A 1999-11-18 2000-11-17 产品产量预测的系统和方法 Expired - Fee Related CN100336063C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/442,699 1999-11-18
US09/442,699 US6449749B1 (en) 1999-11-18 1999-11-18 System and method for product yield prediction

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CNA2006100941316A Division CN1975741A (zh) 1999-11-18 2000-11-17 产品产量预测的系统和方法

Publications (2)

Publication Number Publication Date
CN1535436A true CN1535436A (zh) 2004-10-06
CN100336063C CN100336063C (zh) 2007-09-05

Family

ID=23757795

Family Applications (2)

Application Number Title Priority Date Filing Date
CNB008173575A Expired - Fee Related CN100336063C (zh) 1999-11-18 2000-11-17 产品产量预测的系统和方法
CNA2006100941316A Pending CN1975741A (zh) 1999-11-18 2000-11-17 产品产量预测的系统和方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CNA2006100941316A Pending CN1975741A (zh) 1999-11-18 2000-11-17 产品产量预测的系统和方法

Country Status (6)

Country Link
US (6) US6449749B1 (zh)
EP (1) EP1384179A4 (zh)
JP (2) JP2004505433A (zh)
CN (2) CN100336063C (zh)
AU (1) AU1774401A (zh)
WO (2) WO2001037150A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101965569A (zh) * 2008-03-06 2011-02-02 应用材料公司 用于控制设备工程系统的良品率预测反馈

Families Citing this family (121)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6449749B1 (en) * 1999-11-18 2002-09-10 Pdf Solutions, Inc. System and method for product yield prediction
US6738954B1 (en) * 1999-12-08 2004-05-18 International Business Machines Corporation Method for prediction random defect yields of integrated circuits with accuracy and computation time controls
US6560766B2 (en) * 2001-07-26 2003-05-06 Numerical Technologies, Inc. Method and apparatus for analyzing a layout using an instance-based representation
WO2003028412A2 (en) * 2001-08-31 2003-04-03 Pdf Solutions, Inc. Test structures and models for estimating the yield impact of dishing and/or voids
CN100409015C (zh) * 2001-09-28 2008-08-06 Pdf技术公司 在铜大马士革技术中用于估计凹陷和侵蚀效应的测试结构
US6681376B1 (en) * 2001-10-17 2004-01-20 Cypress Semiconductor Corporation Integrated scheme for semiconductor device verification
US6751519B1 (en) * 2001-10-25 2004-06-15 Kla-Tencor Technologies Corporation Methods and systems for predicting IC chip yield
US6948141B1 (en) 2001-10-25 2005-09-20 Kla-Tencor Technologies Corporation Apparatus and methods for determining critical area of semiconductor design data
US6918101B1 (en) 2001-10-25 2005-07-12 Kla -Tencor Technologies Corporation Apparatus and methods for determining critical area of semiconductor design data
US6966047B1 (en) * 2002-04-09 2005-11-15 Kla-Tencor Technologies Corporation Capturing designer intent in reticle inspection
US6826738B2 (en) * 2002-05-10 2004-11-30 Pdf Solutions, Inc. Optimization of die placement on wafers
US6914443B2 (en) * 2002-07-24 2005-07-05 Applied Materials Israel, Ltd. Apparatus and method for enhanced voltage contrast analysis
JP2006515464A (ja) * 2002-12-11 2006-05-25 ピー・デイ・エフ ソリユーシヨンズ インコーポレイテツド 集積回路上の電気的故障を高速位置決めするシステムおよび方法
US6898780B2 (en) * 2002-12-20 2005-05-24 Lsi Logic Corporation Method and system for constructing a hierarchy-driven chip covering for optical proximity correction
AU2003291579A1 (en) * 2003-01-02 2004-07-29 Pdf Solutions, Inc. Yield improvement
US7752581B2 (en) * 2003-06-10 2010-07-06 International Business Machines Corporation Design structure and system for identification of defects on circuits or other arrayed products
US7346470B2 (en) * 2003-06-10 2008-03-18 International Business Machines Corporation System for identification of defects on circuits or other arrayed products
US7558419B1 (en) 2003-08-14 2009-07-07 Brion Technologies, Inc. System and method for detecting integrated circuit pattern defects
WO2005020297A2 (en) * 2003-08-25 2005-03-03 Tau-Metrix, Inc. Technique for evaluating a fabrication of a semiconductor component and wafer
US7013441B2 (en) * 2003-09-26 2006-03-14 International Business Machines Corporation Method for modeling integrated circuit yield
US7003758B2 (en) 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
US8178876B2 (en) * 2003-10-15 2012-05-15 Pdf Solutions, Inc. Method and configuration for connecting test structures or line arrays for monitoring integrated circuit manufacturing
DE10355573B4 (de) * 2003-11-28 2007-12-20 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erhöhen der Produktionsausbeute durch Steuern der Lithographie auf der Grundlage elektrischer Geschwindigkeitsdaten
US7251793B1 (en) * 2004-02-02 2007-07-31 Advanced Micro Devices, Inc. Predicting defect future effects in integrated circuit technology development to facilitate semiconductor wafer lot disposition
US7849366B1 (en) * 2004-03-26 2010-12-07 Advanced Micro Devices, Inc. Method and apparatus for predicting yield parameters based on fault classification
US8818784B1 (en) * 2004-06-23 2014-08-26 Cypress Semiconductor Corporation Hardware description language (HDL) incorporating statistically derived data and related methods
TW200622275A (en) * 2004-09-06 2006-07-01 Mentor Graphics Corp Integrated circuit yield and quality analysis methods and systems
US7496478B2 (en) 2005-07-18 2009-02-24 Dieter Rathei Method of monitoring a semiconductor manufacturing trend
US20070016321A1 (en) * 2005-07-18 2007-01-18 Dieter Rathei Method for screening risk quality semiconductor products
US7318206B2 (en) * 2005-09-30 2008-01-08 International Business Machines Corporation Offset determination for measurement system matching
US7187179B1 (en) 2005-10-19 2007-03-06 International Business Machines Corporation Wiring test structures for determining open and short circuits in semiconductor devices
US7386815B2 (en) 2005-10-27 2008-06-10 International Business Machines Corporation Test yield estimate for semiconductor products created from a library
US7469394B1 (en) * 2005-12-09 2008-12-23 Altera Corporation Timing variation aware compilation
KR100703982B1 (ko) * 2006-01-23 2007-04-09 삼성전자주식회사 반도체 집적 회로 장치의 레이아웃 분석 방법, 레이아웃 분석 시스템, 스탠다드 셀 라이브러리, 마스크 및 반도체 집적 회로 장치
US7477961B2 (en) * 2006-05-12 2009-01-13 International Business Machines Corporation Equivalent gate count yield estimation for integrated circuit devices
DE102006025351B4 (de) * 2006-05-31 2013-04-04 Globalfoundries Inc. Teststruktur zur Überwachung von Leckströmen in einer Metallisierungsschicht und Verfahren
JP2008033277A (ja) 2006-06-29 2008-02-14 Sharp Corp 設計データ又はマスクデータの補正方法および補正システム、設計データ又はマスクデータの検証方法および検証システム、半導体集積回路の歩留まり予測方法、デザインルールの改善方法、マスクの製造方法、並びに、半導体集積回路の製造方法
US7761824B2 (en) * 2006-07-05 2010-07-20 Chew Marko P System and method to generate an IC layout using simplified manufacturing rule
KR101269055B1 (ko) * 2006-08-19 2013-05-29 삼성전자주식회사 레이아웃의 수율을 높이기 위한 방법 및 상기 방법이저장된 기록 매체
US7448008B2 (en) * 2006-08-29 2008-11-04 International Business Machines Corporation Method, system, and program product for automated verification of gating logic using formal verification
US7448018B2 (en) * 2006-09-12 2008-11-04 International Business Machines Corporation System and method for employing patterning process statistics for ground rules waivers and optimization
DE102006051489B4 (de) * 2006-10-31 2011-12-22 Advanced Micro Devices, Inc. Teststruktur für durch OPC-hervorgerufene Kurzschlüsse zwischen Leitungen in einem Halbleiterbauelement und Messverfahren
US7886238B1 (en) * 2006-11-28 2011-02-08 Cadence Design Systems, Inc. Visual yield analysis of intergrated circuit layouts
US7962866B2 (en) 2006-12-29 2011-06-14 Cadence Design Systems, Inc. Method, system, and computer program product for determining three-dimensional feature characteristics in electronic designs
US20080162103A1 (en) * 2006-12-29 2008-07-03 Cadence Design Systems, Inc. Method, system, and computer program product for concurrent model aided electronic design automation
US7827519B2 (en) 2006-12-29 2010-11-02 Cadence Design Systems, Inc. Method, system, and computer program product for preparing multiple layers of semiconductor substrates for electronic designs
WO2008081227A1 (en) * 2007-01-05 2008-07-10 Freescale Semiconductor, Inc. Method and apparatus for designing an integrated circuit
US7494893B1 (en) 2007-01-17 2009-02-24 Pdf Solutions, Inc. Identifying yield-relevant process parameters in integrated circuit device fabrication processes
US20080178127A1 (en) * 2007-01-19 2008-07-24 Thomas J Dewkett Silicon Multiple Core or Redundant Unit Optimization Tool
US7574682B2 (en) * 2007-02-28 2009-08-11 Freescale Semiconductor, Inc. Yield analysis and improvement using electrical sensitivity extraction
US7962864B2 (en) * 2007-05-24 2011-06-14 Applied Materials, Inc. Stage yield prediction
US8924904B2 (en) * 2007-05-24 2014-12-30 Applied Materials, Inc. Method and apparatus for determining factors for design consideration in yield analysis
US7937179B2 (en) * 2007-05-24 2011-05-03 Applied Materials, Inc. Dynamic inline yield analysis and prediction of a defect limited yield using inline inspection defects
US8799831B2 (en) * 2007-05-24 2014-08-05 Applied Materials, Inc. Inline defect analysis for sampling and SPC
US20080312875A1 (en) * 2007-06-12 2008-12-18 Yu Guanyuan M Monitoring and control of integrated circuit device fabrication processes
US7902852B1 (en) 2007-07-10 2011-03-08 Pdf Solutions, Incorporated High density test structure array to support addressable high accuracy 4-terminal measurements
US8001495B2 (en) * 2008-04-17 2011-08-16 International Business Machines Corporation System and method of predicting problematic areas for lithography in a circuit design
US7682842B2 (en) * 2008-05-30 2010-03-23 International Business Machines Corporation Method of adaptively selecting chips for reducing in-line testing in a semiconductor manufacturing line
DE102008062153A1 (de) 2008-12-17 2010-12-30 Grenzebach Maschinenbau Gmbh Verfahren und Vorrichtung zum Schnelltransport von Glasplatten
US8082055B2 (en) * 2009-07-08 2011-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method for a bin ratio forecast at new tape out stage
US8421162B2 (en) 2009-09-30 2013-04-16 Suvolta, Inc. Advanced transistors with punch through suppression
US8273617B2 (en) 2009-09-30 2012-09-25 Suvolta, Inc. Electronic devices and systems, and methods for making and using the same
US8650518B2 (en) * 2010-01-08 2014-02-11 Cadence Design Systems, Inc. Method and apparatus for rule-based automatic layout parasitic extraction in a multi-technology environment
US8219964B2 (en) * 2010-01-14 2012-07-10 International Business Machines Corporation Method for creating electrically testable patterns
US8276102B2 (en) 2010-03-05 2012-09-25 International Business Machines Corporation Spatial correlation-based estimation of yield of integrated circuits
US8530286B2 (en) 2010-04-12 2013-09-10 Suvolta, Inc. Low power semiconductor transistor structure and method of fabrication thereof
US8569128B2 (en) 2010-06-21 2013-10-29 Suvolta, Inc. Semiconductor structure and method of fabrication thereof with mixed metal types
US8759872B2 (en) 2010-06-22 2014-06-24 Suvolta, Inc. Transistor with threshold voltage set notch and method of fabrication thereof
US8594963B2 (en) * 2010-09-01 2013-11-26 Macronix International Co., Ltd. In-line inspection yield prediction system
JP5566265B2 (ja) * 2010-11-09 2014-08-06 東京エレクトロン株式会社 基板処理装置、プログラム、コンピュータ記憶媒体及び基板の搬送方法
US8404551B2 (en) 2010-12-03 2013-03-26 Suvolta, Inc. Source/drain extension control for advanced transistors
US8461875B1 (en) 2011-02-18 2013-06-11 Suvolta, Inc. Digital circuits having improved transistors, and methods therefor
US8525271B2 (en) 2011-03-03 2013-09-03 Suvolta, Inc. Semiconductor structure with improved channel stack and method for fabrication thereof
US8400219B2 (en) 2011-03-24 2013-03-19 Suvolta, Inc. Analog circuits having improved transistors, and methods therefor
US8748270B1 (en) 2011-03-30 2014-06-10 Suvolta, Inc. Process for manufacturing an improved analog transistor
US8796048B1 (en) 2011-05-11 2014-08-05 Suvolta, Inc. Monitoring and measurement of thin film layers
US8999861B1 (en) 2011-05-11 2015-04-07 Suvolta, Inc. Semiconductor structure with substitutional boron and method for fabrication thereof
US8811068B1 (en) 2011-05-13 2014-08-19 Suvolta, Inc. Integrated circuit devices and methods
US8569156B1 (en) 2011-05-16 2013-10-29 Suvolta, Inc. Reducing or eliminating pre-amorphization in transistor manufacture
US8735987B1 (en) 2011-06-06 2014-05-27 Suvolta, Inc. CMOS gate stack structures and processes
US8995204B2 (en) 2011-06-23 2015-03-31 Suvolta, Inc. Circuit devices and methods having adjustable transistor body bias
US8629016B1 (en) 2011-07-26 2014-01-14 Suvolta, Inc. Multiple transistor types formed in a common epitaxial layer by differential out-diffusion from a doped underlayer
KR101891373B1 (ko) 2011-08-05 2018-08-24 엠아이이 후지쯔 세미컨덕터 리미티드 핀 구조물을 갖는 반도체 디바이스 및 그 제조 방법
US8748986B1 (en) 2011-08-05 2014-06-10 Suvolta, Inc. Electronic device with controlled threshold voltage
US8645878B1 (en) 2011-08-23 2014-02-04 Suvolta, Inc. Porting a circuit design from a first semiconductor process to a second semiconductor process
US8614128B1 (en) 2011-08-23 2013-12-24 Suvolta, Inc. CMOS structures and processes based on selective thinning
US9129076B2 (en) 2011-09-05 2015-09-08 United Microelectronics Corp. Hierarchical wafer yield prediction method and hierarchical lifetime prediction method
US8713511B1 (en) 2011-09-16 2014-04-29 Suvolta, Inc. Tools and methods for yield-aware semiconductor manufacturing process target generation
US9236466B1 (en) 2011-10-07 2016-01-12 Mie Fujitsu Semiconductor Limited Analog circuits having improved insulated gate transistors, and methods therefor
US8832621B1 (en) 2011-11-28 2014-09-09 Cadence Design Systems, Inc. Topology design using squish patterns
US8895327B1 (en) 2011-12-09 2014-11-25 Suvolta, Inc. Tipless transistors, short-tip transistors, and methods and circuits therefor
US8819603B1 (en) 2011-12-15 2014-08-26 Suvolta, Inc. Memory circuits and methods of making and designing the same
US8883600B1 (en) 2011-12-22 2014-11-11 Suvolta, Inc. Transistor having reduced junction leakage and methods of forming thereof
US8599623B1 (en) 2011-12-23 2013-12-03 Suvolta, Inc. Circuits and methods for measuring circuit elements in an integrated circuit device
US8877619B1 (en) 2012-01-23 2014-11-04 Suvolta, Inc. Process for manufacture of integrated circuits with different channel doping transistor architectures and devices therefrom
US8970289B1 (en) 2012-01-23 2015-03-03 Suvolta, Inc. Circuits and devices for generating bi-directional body bias voltages, and methods therefor
US9093550B1 (en) 2012-01-31 2015-07-28 Mie Fujitsu Semiconductor Limited Integrated circuits having a plurality of high-K metal gate FETs with various combinations of channel foundation structure and gate stack structure and methods of making same
US9406567B1 (en) 2012-02-28 2016-08-02 Mie Fujitsu Semiconductor Limited Method for fabricating multiple transistor devices on a substrate with varying threshold voltages
US8863064B1 (en) 2012-03-23 2014-10-14 Suvolta, Inc. SRAM cell layout structure and devices therefrom
US8631375B2 (en) 2012-04-10 2014-01-14 International Business Machines Corporation Via selection in integrated circuit design
US9299698B2 (en) 2012-06-27 2016-03-29 Mie Fujitsu Semiconductor Limited Semiconductor structure with multiple transistors having various threshold voltages
US9058034B2 (en) * 2012-08-09 2015-06-16 International Business Machines Corporation Integrated circuit product yield optimization using the results of performance path testing
US8539429B1 (en) * 2012-08-13 2013-09-17 International Business Machines Corporation System yield optimization using the results of integrated circuit chip performance path testing
US8745553B2 (en) * 2012-08-23 2014-06-03 Globalfoundries Inc. Method and apparatus for applying post graphic data system stream enhancements
US8637955B1 (en) 2012-08-31 2014-01-28 Suvolta, Inc. Semiconductor structure with reduced junction leakage and method of fabrication thereof
US9112057B1 (en) 2012-09-18 2015-08-18 Mie Fujitsu Semiconductor Limited Semiconductor devices with dopant migration suppression and method of fabrication thereof
US9041126B2 (en) 2012-09-21 2015-05-26 Mie Fujitsu Semiconductor Limited Deeply depleted MOS transistors having a screening layer and methods thereof
US9524916B2 (en) 2012-10-31 2016-12-20 International Business Machines Corporation Structures and methods for determining TDDB reliability at reduced spacings using the structures
US9431068B2 (en) 2012-10-31 2016-08-30 Mie Fujitsu Semiconductor Limited Dynamic random access memory (DRAM) with low variation transistor peripheral circuits
US8816754B1 (en) 2012-11-02 2014-08-26 Suvolta, Inc. Body bias circuits and methods
US9093997B1 (en) 2012-11-15 2015-07-28 Mie Fujitsu Semiconductor Limited Slew based process and bias monitors and related methods
US9070477B1 (en) 2012-12-12 2015-06-30 Mie Fujitsu Semiconductor Limited Bit interleaved low voltage static random access memory (SRAM) and related methods
US9112484B1 (en) 2012-12-20 2015-08-18 Mie Fujitsu Semiconductor Limited Integrated circuit process and bias monitors and related methods
WO2014133867A1 (en) * 2013-02-27 2014-09-04 The Regents Of The University Of California Ic layout adjustment method and tool for improving dielectric reliability at interconnects
US9268885B1 (en) 2013-02-28 2016-02-23 Mie Fujitsu Semiconductor Limited Integrated circuit device methods and models with predicted device metric variations
US9299801B1 (en) 2013-03-14 2016-03-29 Mie Fujitsu Semiconductor Limited Method for fabricating a transistor device with a tuned dopant profile
US9478571B1 (en) 2013-05-24 2016-10-25 Mie Fujitsu Semiconductor Limited Buried channel deeply depleted channel transistor
US9710006B2 (en) 2014-07-25 2017-07-18 Mie Fujitsu Semiconductor Limited Power up body bias circuits and methods
US9319013B2 (en) 2014-08-19 2016-04-19 Mie Fujitsu Semiconductor Limited Operational amplifier input offset correction with transistor threshold voltage adjustment
CN112784519A (zh) * 2019-11-05 2021-05-11 鸿富锦精密电子(天津)有限公司 Pcb走线参数设定装置、方法及存储介质
US11456224B2 (en) * 2020-08-11 2022-09-27 Nanya Technology Corporation Semiconductor structure with test structure

Family Cites Families (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3751647A (en) * 1971-09-22 1973-08-07 Ibm Semiconductor and integrated circuit device yield modeling
JPS5453864A (en) * 1977-10-05 1979-04-27 Sanyo Electric Co Ltd Monitoring method of line widths
US4835486A (en) * 1986-04-28 1989-05-30 Burr-Brown Corporation Isolation amplifier with precise timing of signals coupled across isolation barrier
US4795964A (en) 1986-08-01 1989-01-03 Texas Instruments Incorporated Method and apparatus for measuring the capacitance of complementary field-effect transistor devices
US4835466A (en) * 1987-02-06 1989-05-30 Fairchild Semiconductor Corporation Apparatus and method for detecting spot defects in integrated circuits
JP2695160B2 (ja) 1987-04-30 1997-12-24 株式会社日立製作所 任意形状抵抗体の端子間抵抗計算方法
US5067101A (en) 1988-11-29 1991-11-19 Mitsubishi Denki Kabushiki Kaisha Topography simulation method
US5070469A (en) 1988-11-29 1991-12-03 Mitsubishi Denki Kabushiki Kaisha Topography simulation method
US5068547A (en) 1990-09-05 1991-11-26 Lsi Logic Corporation Process monitor circuit
JPH04167537A (ja) * 1990-10-31 1992-06-15 Matsushita Electric Ind Co Ltd テストチップ
JP2679500B2 (ja) 1990-12-17 1997-11-19 モトローラ・インコーポレイテッド 総合的なシステム歩留りを計算するための方法
US5301118A (en) 1991-11-18 1994-04-05 International Business Machines Corporation Monte carlo simulation design methodology
US5798649A (en) 1991-12-26 1998-08-25 Texas Instruments Incorporated Method for detecting defects in semiconductor insulators
JP2729130B2 (ja) 1992-04-16 1998-03-18 三菱電機株式会社 半導体装置の製造パラメタの設定方法及びその装置
JP2914040B2 (ja) * 1992-09-22 1999-06-28 日産自動車株式会社 四輪駆動車の駆動力配分制御装置
US5286656A (en) 1992-11-02 1994-02-15 National Semiconductor Corporation Individualized prepackage AC performance testing of IC dies on a wafer using DC parametric test patterns
JP3352153B2 (ja) 1993-06-17 2002-12-03 株式会社東芝 配水流量予測装置
JP3001351B2 (ja) 1993-06-24 2000-01-24 日本電気株式会社 シミュレーション方法
JPH0746895A (ja) 1993-07-29 1995-02-14 Canon Inc ステッピングモータ駆動回路
JP3039210B2 (ja) 1993-08-03 2000-05-08 日本電気株式会社 半導体装置の製造方法
US5497381A (en) * 1993-10-15 1996-03-05 Analog Devices, Inc. Bitstream defect analysis method for integrated circuits
IL109268A (en) 1994-04-10 1999-01-26 Advanced Recognition Tech Method and system for image recognition
JPH0851159A (ja) * 1994-08-05 1996-02-20 Mitsubishi Electric Corp 半導体集積回路
US5486786A (en) 1994-08-09 1996-01-23 Lsi Logic Corporation Process monitor for CMOS integrated circuits
JPH08148537A (ja) 1994-11-18 1996-06-07 Toshiba Corp 半導体集積回路
JP3986571B2 (ja) * 1994-12-09 2007-10-03 日本テキサス・インスツルメンツ株式会社 歩留り予測装置とその方法
US5646870A (en) 1995-02-13 1997-07-08 Advanced Micro Devices, Inc. Method for setting and adjusting process parameters to maintain acceptable critical dimensions across each die of mass-produced semiconductor wafers
US5598341A (en) * 1995-03-10 1997-01-28 Advanced Micro Devices, Inc. Real-time in-line defect disposition and yield forecasting system
US6072804A (en) 1995-05-24 2000-06-06 Thomson Consumer Electronics, Inc. Ring bus data transfer system
JP2770788B2 (ja) 1995-06-13 1998-07-02 富士ゼロックス株式会社 リングバスマルチプロセッサ装置及びリングバスマルチプロセッサ装置を構成するためのプロセッサボード
JPH098085A (ja) * 1995-06-16 1997-01-10 Casio Comput Co Ltd 基板の歩留まり予測演算方法
JPH09306837A (ja) * 1996-05-14 1997-11-28 Kanegafuchi Chem Ind Co Ltd 薄膜状半導体およびその製造方法
US5867033A (en) 1996-05-24 1999-02-02 Lsi Logic Corporation Circuit for testing the operation of a semiconductor device
US5767542A (en) 1996-05-28 1998-06-16 Analog Devices, Inc. Matching parasitic capacitances and characteristics of field effect transistors
US5852581A (en) 1996-06-13 1998-12-22 Micron Technology, Inc. Method of stress testing memory integrated circuits
US6075418A (en) 1996-09-17 2000-06-13 Xilinx, Inc. System with downstream set or clear for measuring signal propagation delays on integrated circuits
US5790479A (en) 1996-09-17 1998-08-04 Xilinx, Inc. Method for characterizing interconnect timing characteristics using reference ring oscillator circuit
US5773315A (en) * 1996-10-28 1998-06-30 Advanced Micro Devices, Inc. Product wafer yield prediction method employing a unit cell approach
US5966527A (en) 1996-10-28 1999-10-12 Advanced Micro Devices, Inc. Apparatus, article of manufacture, method and system for simulating a mass-produced semiconductor device behavior
US5822258A (en) 1997-05-05 1998-10-13 Micron Technology, Inc. Circuit and method for testing a memory device with a cell plate generator having a variable current
US6066179A (en) 1997-06-13 2000-05-23 University Of Edinburgh Property estimation of an integrated circuit
US5903012A (en) 1997-07-28 1999-05-11 International Business Machines Corporation Process variation monitor for integrated circuits
US6118137A (en) 1997-09-08 2000-09-12 Advanced Micro Devices, Inc. Test structure responsive to electrical signals for determining lithographic misalignment of conductors relative to vias
JP3895851B2 (ja) * 1997-12-09 2007-03-22 株式会社東芝 マスクパターン補正方法
US6075417A (en) 1998-01-05 2000-06-13 Advanced Micro Devices, Inc. Ring oscillator test structure
US6124143A (en) 1998-01-26 2000-09-26 Lsi Logic Corporation Process monitor circuitry for integrated circuits
JP3739201B2 (ja) * 1998-03-06 2006-01-25 富士通株式会社 半導体チップの相関解析方法及び装置、半導体チップ歩留まり調整方法並びに記憶媒体
US6063132A (en) 1998-06-26 2000-05-16 International Business Machines Corporation Method for verifying design rule checking software
US6393602B1 (en) * 1998-10-21 2002-05-21 Texas Instruments Incorporated Method of a comprehensive sequential analysis of the yield losses of semiconductor wafers
US6134191A (en) 1999-02-26 2000-10-17 Xilinx, Inc. Oscillator for measuring on-chip delays
US6298470B1 (en) * 1999-04-15 2001-10-02 Micron Technology, Inc. Method for efficient manufacturing of integrated circuits
US6184048B1 (en) 1999-11-03 2001-02-06 Texas Instruments Incorporated Testing method and apparatus assuring semiconductor device quality and reliability
US6449749B1 (en) * 1999-11-18 2002-09-10 Pdf Solutions, Inc. System and method for product yield prediction

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101965569A (zh) * 2008-03-06 2011-02-02 应用材料公司 用于控制设备工程系统的良品率预测反馈
CN101965569B (zh) * 2008-03-06 2017-05-03 应用材料公司 用于控制设备工程系统的良品率预测反馈
CN107479509A (zh) * 2008-03-06 2017-12-15 应用材料公司 用于控制设备工程系统的良品率预测反馈

Also Published As

Publication number Publication date
EP1384179A4 (en) 2006-06-07
US7174521B2 (en) 2007-02-06
US20080282210A1 (en) 2008-11-13
US20050158888A1 (en) 2005-07-21
US7373625B2 (en) 2008-05-13
WO2001035718A9 (en) 2002-05-30
US7356800B2 (en) 2008-04-08
US6901564B2 (en) 2005-05-31
AU1774401A (en) 2001-05-30
US7673262B2 (en) 2010-03-02
US20030145292A1 (en) 2003-07-31
EP1384179A2 (en) 2004-01-28
US20060277506A1 (en) 2006-12-07
WO2001035718A2 (en) 2001-05-25
WO2001035718A3 (en) 2003-10-30
WO2001037150A1 (en) 2001-05-25
US20070118242A1 (en) 2007-05-24
CN1975741A (zh) 2007-06-06
JP2004505433A (ja) 2004-02-19
JP2007201497A (ja) 2007-08-09
US6449749B1 (en) 2002-09-10
CN100336063C (zh) 2007-09-05

Similar Documents

Publication Publication Date Title
CN100336063C (zh) 产品产量预测的系统和方法
TWI469235B (zh) 決定實際缺陷是潛在系統性缺陷或潛在隨機缺陷之由電腦實施之方法
US6393602B1 (en) Method of a comprehensive sequential analysis of the yield losses of semiconductor wafers
JP4122735B2 (ja) 半導体デバイスの検査方法および検査条件設定方法
Friedman et al. Model-free estimation of defect clustering in integrated circuit fabrication
JP3698075B2 (ja) 半導体基板の検査方法およびその装置
US8289508B2 (en) Defect detection recipe definition
US20070238204A1 (en) Manufacturing Method of Semiconductor Device
US10719655B2 (en) Method and system for quickly diagnosing, classifying, and sampling in-line defects based on CAA pre-diagnosis database
Blanton et al. Yield learning through physically aware diagnosis of IC-failure populations
US20070114396A1 (en) Critical area calculation method and yield calculation method
JP5068591B2 (ja) 半導体欠陥分類方法、半導体欠陥分類装置、半導体欠陥分類装置のプログラム、半導体欠陥検査方法、および、半導体欠陥検査システム
CN107689335B (zh) 一种多种产品晶圆缺陷的分析方法
JP4080087B2 (ja) 分析方法,分析システム及び分析装置
US8526708B2 (en) Measurement of critical dimensions of semiconductor wafers
US20220236196A1 (en) Methods for determining focus spot window and judging whether wafer needs to be reworked
US7111257B2 (en) Using a partial metal level mask for early test results
Nag et al. Simulation of yield/cost learning curves with Y4
JPH10107111A (ja) 半導体装置の製造方法
JP5031157B2 (ja) 工程解析方法及びプログラム
JP2005044840A (ja) 検査データの解析プログラム、検査装置、レビュー装置、歩留り解析装置
US7197435B1 (en) Method and apparatus for using clustering method to analyze semiconductor devices
JP2004165395A (ja) 検査データ解析プログラムと検査方法
JP2010071996A (ja) 欠陥解析方法、プログラム及び電子デバイスの製造方法
Kermel et al. Using Partial Die in Radial Zone Analysis

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C19 Lapse of patent right due to non-payment of the annual fee
CF01 Termination of patent right due to non-payment of annual fee