CN1547757A - 快速热处理的快速环境转换系统和方法 - Google Patents

快速热处理的快速环境转换系统和方法 Download PDF

Info

Publication number
CN1547757A
CN1547757A CNA028165128A CN02816512A CN1547757A CN 1547757 A CN1547757 A CN 1547757A CN A028165128 A CNA028165128 A CN A028165128A CN 02816512 A CN02816512 A CN 02816512A CN 1547757 A CN1547757 A CN 1547757A
Authority
CN
China
Prior art keywords
workpiece
chamber
gas
equipment
heating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA028165128A
Other languages
English (en)
Other versions
CN100409402C (zh
Inventor
Y・刘
Y·刘
锼估扯
J·赫布
W·德里斯莱恩
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Axcelis Technologies Inc
Original Assignee
Axcelis Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Axcelis Technologies Inc filed Critical Axcelis Technologies Inc
Publication of CN1547757A publication Critical patent/CN1547757A/zh
Application granted granted Critical
Publication of CN100409402C publication Critical patent/CN100409402C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B31/00Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor
    • C30B31/06Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor by contacting with diffusion material in the gaseous state
    • C30B31/16Feed and outlet means for the gases; Modifying the flow of the gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers

Abstract

提供一种用于热处理工件的方法和设备。热处理系统中在一个或多个处理步骤中处理气体被净化或转换的时间明显减少。该热处理系统包括根据本发明的一个实施例所述的加热室。一个小体积工件室被布置在工件周围。一个传送机构例如定位组件的形式,支承小体积工件室,用于在加热室中移动小体积工件室和工件。该小体积工件室能够使用相对较少量的处理(环境)气体,并减少了这些气体的净化时间。加热室可具有热辐射强度梯度和温度梯度中的至少一种,用于对工件进行热处理。加热室可具有一个或多个布置在加热室周围的加热元器件。

Description

快速热处理的快速环境转换系统和方法
技术领域
本发明涉及用于工件热处理的系统和方法,更具体地,涉及调节工件处理温度、以及减少处理工件所需处理气体的量和相应的气体转换和净化时间的系统和方法。
背景技术
热处理装置已广为人知并得到了普遍的使用,其用于多种热处理方法,包括退火、扩散、氧化和化学气相沉积。利用这种热处理装置制作的常见工件为半导体晶片。本领域的普通技术人员了解这些热处理装置,尤其了解过程变量对最终产品的质量和均匀性的影响。
单晶片快速热处理(RTP)已为人所知,其用于在高温下对半导体晶片进行物理和化学处理以获得所需的半导体装置的电子性能。快速热处理过程通常采用两种技术来加热晶片。在第一种技术中,使用一种稳态热壁炉加热晶片,并且通过沿着温度或热辐射的梯度机械传输晶片来控制晶片的温度。在第二种技术中,通过冷壁室四周的白炽灯或弧光灯加热晶片,并通过改变每盏灯的光输出控制晶片的温度。
在第二种技术中,由于与灯相关的热质较低,其提供了用于晶片处理的较低的热累积(即温度对时间的积分),灯式快速热处理系统能够以较快的斜增和斜减速率加热和冷却晶片。灯式快速热处理中晶片温度的控制取决于响应晶片上一处或几处的温度读数所进行的多盏灯的转换(亮和熄)。该过程必须使用复杂的光学和电子反馈和控制系统以达到所需的温度精度和均匀性。被处理的晶片和它周围的冷环境并未处于热平衡。这和灯输出控制的随机特性结合在一起,使得灯式快速热处理难以获得温度的均匀性和重现性。但是,由于在快速热处理室中,除了沿晶片法线的旋转,无需晶片的侧向传输,灯式系统与热壁式快速热处理炉(室容量大约为100升)相比,自然地拥有较小的室容量(大约等于或小于10升)。在快速热处理周期中可以通过灯式快速热处理系统实现快速气体转换,使得晶片能够与晶片温度同步地连续地暴露于不同的环境气体中。但是,已知的热壁使快速热处理系统不具有快速气体转换的优势。
在授予高温工程公司的美国专利No.4,857,689中描述了一种商用热壁式RTP炉,其通过增加如美国专利No.6,183,127所述的原位发射率校准和封闭回路温度控制系统得到了改进。
更进一步介绍热壁式RTP系统,热壁式RTP炉的上部被持续加热,而下部则被有效地冷却以保持稳态的温度曲线图。环境气体被连续地引入炉子的上部,并从炉子的下部排出。从而,沿着RTP炉的轴存在一个单调的温度和热辐射梯度。温度曲线图是轴对称的,其径向分量被优化以确保均匀加热晶片。沿着温度梯度改变晶片的位置对晶片加热进行控制。由于在整个炉子内以及炉子和气体环境之间保持了热稳态,晶片的加热被晶片和它的炉子环境之间的热平衡所控制。因此,从温度的均匀性、处理的可重现性以及成本的角度来看,热壁式RTP炉能产生比灯式RTP炉更好的结果,同时,从热累积和处理能力方面来看,也拥有和灯式RTP炉相当的性能。热壁式RTP炉系统已经成功地用于注入退火和活化作用;硅化,干态和湿态氧化硅生长,扩散和金属退火生产中。
但是,与灯式RTP系统相比,热壁式RTP系统保持了更大的炉子内的容量。这是因为晶片必须行进长达100厘米的跨度,以利用炉温梯度来改变和控制温度。因此,炉子必须足够大以容纳晶片所扫过的较大的体积。例如,200和300毫米晶片所扫过的体积是大约分别为31和71升,对于100厘米的扫描,导致的典型的炉子体积大约为100升。如果处理需要环境气体的快速转换,其在一个RTP周期之内涉及多种环境气体的连续使用,这样的快速转换是很困难的。而且,由于在高温下使用了大量有毒的、腐蚀性的,可燃的或爆炸性的处理气体,较大的室容量有增加处理气体消耗量和增大安全隐患的趋势。
从RTP加工出现以来,一些进展集中于提高晶片温度斜增和斜减速率的技术,以使热累计最小。另外的进展集中于晶片温度的精确测量和控制。通过缺陷退火,再结晶,掺杂活化,以及注入层的扩散,快速热退火(RTA)步骤的热累积直接决定了CMOS(互补金属氧化物半导体)装置来源/流出接头深度和薄膜电阻。除了热累积控制,在RTP过程中,快速气体转换能力变得日益重要,强大的器件计数使得用含有氧化硅、硅的氧氮化合物(SiOxNy)以及硅的氮化物(Si3N4)的分层的选通电极电介质堆栈、并在将来用高-K电介质材料替代氧化硅(SO2)电介质层成为必然。在用于SO2生长的两步RTO加工中(参看J.Nulman,J.P.Krusius,P.Renteln,Mat.Res.Soc.,Symp.Proc.,52,34(1985)),例如,在氧环境下加热晶片到预定的温度,并进一步到达更高的温度,以便氧化硅生长。在将环境从氧变为氮之后进行RTA。RTA步骤提高了Si-SO2界面的电性能。
如另一个例子,通过原位RTP多步加工形成的超薄氮化物选通电极堆栈(参看S.C.Song,B.Y.Kim,H.F.Luan,D.L.Kwong,M.Gardner,J.Fulford,D.Wristers,J.Gelpey,S.Marcus,Advances in rapidthermal processing,ECS Proceedings of the Symposium,V99-100,p45(1999))需要在四种环境气体中并在不同的温度下连续进行四个步骤,即:(1)在氧化氮(NO)气体中进行界面钝化;(2)在低压下用硅烷(SiH4)和氨(NH3)进行氮化硅(Si3N4)快速热化学气相沉积(RTCVD);(3)在氨中进行氮化;以及(4)在一氧化二氮(N2O)中退火。因此,两个连续的RTP步骤之间的净化时间被延长,该净化时间的延长对于具有大的内部容积的RTP室是必需的,它降低了RTD加工的生产能力。
立式热处理炉通常在炉内垂直支撑着一根处理管。该热处理炉通常还采用一种工件船组件,其安装以充当转换机械装置以便将工件船移入和移出处理管或加热室。一个单独的工件处理组件将工件从储存介质中转移到工件船组件。工件船组件可容纳一个或更多的工件。该工件船组件随后选择性地在加热室内将组件升高且定位以便至少部分调节工件所处的温度。
发明内容
在本技术中,需要一种热处理装置,其与多种(或许)有害的处理气体一起使用,以便在提高处理生产能力的同时,以连续的方式处理工件。本发明及其实施例提供了解决这种需要的方案。方案包括:在处理中,减少环绕晶片的有效气体环境体积,以便缩短气体净化时间以实现快速气体转换,同时还保持现有RTP系统的RTP加工性能。
根据本发明的一方面,处理工件的热处理装置包括加热室。在工件四周布有小体积的工件室。一套转换机械装置,其具有定位组件的形式,支撑着小体积工件室,以便在加热室内移动小体积工件室及工件。加热室可以有热辐射强度梯度或温度梯度,以便对工件进行热处理。加热室可以在加热室的四周有一个或更多加热元件。加热室可以是钟形的容器。
根据本发明的另一方面,一气源可与小体积工件室相连,以便将一种或更多种气体引入小体积工件室的内部。
根据本发明的另一方面,可以在小体积工件室内安装气体扩散道,以便至少部分调节工件的温度。气体扩散道的表面是反射表面,其将工件未吸收和发出的热辐射反射回工件,以便至少部分调节工件的温度。该反射表面还能够补偿由工件室材料吸收和反射所引起的热辐射损耗。该反射表面可以具有均匀的或不均匀的反射率。此处所用的术语“气体扩散道”是指热处理装置的一个元件,其作为流入扩散道或环绕扩散道流动的气体的扩散道,或者作为适于反射气体和热辐射或发射的反射器,这取决于气体扩散道在热处理装置内的特别排列。气体扩散道还可以包括一个在气体扩散道内形成的窗口。
根据本发明的另一方面,利用气体缓冲器或扩散道内形成的窗口,与小体积工件室成比例安装的遥感器,如高温计,能够确定工件的温度。另一种选择是,与小体积工件室成比例安装的接触式温度传感器(如热电偶)能够确定工件的温度。
根据本发明的另一方面,至少一个支撑结构与小体积工件室相连,使得当小体积工件室比热处理装置的底部低时,至少一个支撑结构支撑着小体积工件室的第一部分。小体积工件室的第二部分最好可与第一部分分离,以提供到达小体积工件室内部的通道。或者,在水平型炉结构中,一个结构与小体积工件室的第一部分相连,以便于帮助第一部分从第二部分分离,以提供到达小体积工件室内部的通道。
根据本发明的另一方面,小体积工件室在其内部提供了至少一条在壁上形成的缝隙,使得提供给小体积工件室的气体能够最终穿过该缝隙。热处理装置的加热室还可以包括用于从加热室排出气体的出口。
根据本发明的另一方面,内壁将小体积工件室分成第一或外次隔室和第二或内次隔室。根据本发明的一方面,该内壁具有至少一条缝隙。
根据本发明的另一方面,提供了与小体积工件室相通的气体出口,其便于将小体积工件室内的气体排放到热处理装置外的某处。
根据本发明的一个实施例,加热室和小体积工件室的体积比为约大于2。
本发明还提供了热处理工件的方法。该方法包括以下一些步骤:将待加热的工件放入小体积工件室,其可以位于加热室内。加热加热室和小体积工件室,并将小体积工件室放置在热处理装置的加热室内。工件在加热室内进行热处理。
根据本发明的另一方面的方法可以包括这样的步骤:即将小体积工件室放置在热处理装置中控制工件加热所需的一个或更多个位置上。
根据本发明的另一方面,小体积工件室被供给将与工件相互作用的气体(包括一系列气体),并至少部分调节工件的加热。
根据本发明的另一方面,对小体积工件室内的工件进行热处理的方法包括以下步骤:将气体从小体积工件室排放到热处理装置内。该方法还包括这样的步骤:为热处理装置提供排放口以便将从小体积工件室排出的气体或其它任何处理气体排出。或者,该方法可以包括这样的步骤:通过排放线从小体积工件室排出气体。排放线可以直接延伸出热处理装置。
根据本发明的另一方面,热处理小体积工件的方法可以包括这样的步骤:将气体从小体积工件室排放到加热室以便稀释、清除或净化来自小体积工件室的气体。
根据本发明的另一方面,热处理小体积工件的方法包括这样的步骤:在气体进入小体积工件室之前,利用等离子体和光子激励装置来激发处理气体。
根据本发明的另一方面,提供了用于处理工件的热处理装置。该热处理装置包括:具有热辐射强度梯度和温度梯度中的至少一个的加热室。小体积工件室位于工件的四周;以及支撑着小体积工件室并移动小体积工件室和工件到达所需位置的定位组件,其位于加热室内,以便使工件经历不同的加热水平。该热处理装置能够实现用于金属硅化物的注入扩散和活化,干态或湿态快速热氧化技术、快速热氮化技术、快速热退火技术,快速热BPSG回流技术,有金属存在时的Si的选择性氧化技术,快速热化学气相沉积技术,低压化学气相沉积技术,金属-有机物化学气相沉积技术,远程-等离子体化学气相沉积技术,以及多层电介质选通电极堆栈形成技术。
附图说明
以下,通过详细说明并结合附图来更好地理解本发明的前述特征和优点,以及其它特征和方面,其中:
图1是根据本发明的教导所述的使用小体积工件室的热处理设备的示意图;
图2是根据本发明的一个方面所述的图1中的热处理设备在非工作位置时的示意图;
图3是描述根据本发明的多个方面所述的工件装载、处理和卸载的流程图;
图4是根据本发明的教导所述的另一个热处理设备实施例的示意图;
图5是根据本发明的教导所述的又一个热处理设备实施例的示意图;及
图6是根据本发明的教导所述的又一个热处理设备实施例的示意图。
具体实施形式
本发明涉及用于热处理工件的系统和方法。更具体地,该系统和方法涉及一种具有快速转换工件周围环境气分的能力的热处理系统。根据本发明所述的一个实施例,在石英升降管上安装小体积工件石英工件室。处理气体和其它气体向上流动经过升降管的内部、工件的下面、通过工件的顶部并从一个或多个设置在工件室顶部或盖子部分的孔排出小体积工件室。由于小体积工件室的体积相对较小,因此所需气体量相对较小。可能是有害的处理气体从小体积工件室排出进入较大的加热室中,在此,在将气体排出热处理设备前,足够量的清洗气体流(例如惰性气体或非反应性气体)将处理气体进行稀释。该独立的清洗气体流经加热室,将废气扫出加热室。
在此使用的术语“小体积”包括一个形成所需的尺寸大小的工件室,以使较大加热室的体积与小体积工件室的体积的比值大于约2。例如,一个具有10升体积的加热室能够拥有例如0.5升、2升、直至大约5升的小体积工件室;一个具有90升体积的加热室能够拥有直至大约45升的小体积工件室。小体积工件室的体积取决于以下因素如:工件的尺寸、工件室中的各种孔或装置的尺寸、需要用来支持所需气流的体积等等。
根据本发明所述的其它实施例,在小体积工件室中的潜在有害气体不排入较大加热室中,而是从小体积工件室经专用的排气联管直接排出热处理系统。用于将小体积工件室放置在加热室内的工件室定位组件包括两条管道或管线,一条是用作供气管道,另一条是用作排气管道。注入气体或其它气体流经升降机中的管道并流入小体积工件室的外次隔室。该气体继续通过外次隔室上的至少一个,最好一系列孔进入小体积工件室的内次隔室,在其中气体穿流通过工件。然后,该气体通过一个或多个排气口离开内次隔室,流经工件室定位组件,并被排出热处理设备。
在此如图所示的实施例描述了构造用于一次对单个半导体晶片进行热处理的热处理设备。然而,本发明并不意味着仅限于单个半导体晶片的热处理,在此所示的实施例以及本发明的相应的精神和范围应包含需要特定的、预先确定的和直接可控的热处理条件的单个或多个工件的热处理。
图1-图6示出了根据本发明所述的热处理设备的实施例,其中,使用相似的标号表示相似的部件。尽管将根据附图中所示的实施例对本发明进行描述,应这样理解即许多其它可选形式也可以体现本发明。此外,本领域的普通技术人员理解改变已公开实施例的参数,例如:尺寸、形状和元素或材料种类的不同方式,而仍使其与本发明的精神和范围保持一致。
图1示出了根据本发明的教导所述的热处理设备10的一个实施例。加热套13形成热处理设备10的外部结构,在其中布置有由炉壁12形成的加热(或处理)室11。加热套13可包含多种不同类型的发热元件如白炽灯、弧光灯、加热线圈等等。加热套13中还可含有多个具有不同温度的区域和有效冷却段。
炉壁12可由用于基于灯的加热结构的热辐射透明材料(例如石英)或用于基于热壁的加热结构的热辐射非透明材料(例如碳化硅)制成。除钟罩形外,炉壁12还可具有其它不同形状,例如圆柱形、立方形、球形等等。炉壁12可限定具有由工件运行方向,包括垂直和水平方向,限定的不同取向的加热室11。
发热元件可直接放置在加热室11内部。为了更简单、清晰地对本发明进行描述,其它一些结构,例如发热元件和隔离结构等被略去。加热室11和热处理设备10的加热套13的基本功能是提供一种至少在一个方向上具有热辐射强度梯度的封闭环境。通过使用真空技术和气动装置(例如:真空泵、流量控制器、压力控制器等等),加热室11中的工作压力可以为从零到几个大气压。热处理设备实例包括在美国专利No.4,857,689和美国专利No.6,183,127中描述的快速热处理炉,其内容在此作为参考被引用。
来自气体源16的清洗气体经气体进口14进入加热室11。在此使用的术语“清洗气体”的含义与本领域普通技术人员对这个用语的一般理解相一致。清洗气体可包括任何惰性气体(例如:He、Ar)和其它气体(例如:O2)。气体进口14可被设计用于将清洗气体通过带孔板、圆环等横越加热室11底部均匀分布或通过圆形缝隙呈环状地均匀分布。清洗气体流A在加热室11内,而非在工件室32和定位组件22内上升,混合并稀释从工件室32的出口孔35排出的处理气体流F和G。在此所使用的术语“处理气体”主要是指一种或多种在热处理设备10中与工件发生物理接触的气体。处理气体包括等离子体、含有电子、离子、原子、原子团、受能量激励的种类等的气体。
排风罩46用于将气体从加热室11内经排气管道48沿箭头E的方向抽出或排出热处理设备10。排气管道48可与多个不同类型的真空阀和真空泵(未示出)相连接,以使加热室11在从零到几个大气压条件下运转。为控制压力,可在控制气体从加热室11中的抽出速率时同时调节清洗气体、处理气体和净化气体的流速。在此所使用的术语“净化气体”是指一种不干扰温度遥感器或工件处理的气体,它被用于更换在温度遥感器光学通路中的其它气体。
清洗气体的流速可远大于处理气体的流速,以有效地清洗整个加热室11。可通过从气体源16向排气管道48供给清洗气体,并从气体进口14排出气体反转清洗气体的流向。对于一些要求低压或真空的用途,清洗气体的流速可设置为零。
工件室定位组件22通过加热室11的底部安装,且可在加热室11中向上延伸和向下缩回。工件室定位组件22可借助气体轴承25或使用其他已知技术如弹性密封、波纹管、差动泵浦等与加热室11相连接,以确保加热室11内的气体完整性。工件室定位组件22可以升降机组件的形式被用在如图1和图2所示的立式热处理设备中。工件室定位组件22也可被用在卧式或其它类型的热处理设备中。
如图1和图2所示实施例中的工件室定位组件22包括两根同轴管。内管29的内腔24为位于内管29下端的温度传感器44提供光学通路或电线通道。可使用来自净化气体源20经净化气体进口18引入的非旋光气体流B净化内管29的内腔24。该净化气体大大减少了由于一些处理气体的光学吸收而导致的对高温测量的干扰。在此根据温度测量使用的光学通路指的是如本领域的普通技术人员所理解的用于被工件朝向温度遥感器发射或反射的热辐射的物理通道。更具体而言,该光学通路允许被工件发射的热辐射通过定位组件22而被温度遥感器探测到。可将净化气体的流速设置为远低于处理气体的流速,以使净化气体对工件30的处理的影响最小化。
内管29和工件室定位组件22外壁之间的间隙23形成一条来自供气站26的处理气体的气体通道。处理气体可沿着定位组件22的间隙23流入小体积工件室32。供气站26可同时和/或顺序地供给一种或多种气体。也可在工件室定位组件22中并入多条气体通道用于不同的处理气体。
通过使用与从供气站26延伸过来的处理气体进口21相连接的能量激励装置27,远程等离子体可被用作小体积工件室32内的处理环境。该能量激励装置27可以是等离子发生装置,例如微波(MW)空腔、射频(RF)天线或电极、直流放电电极等。
通过使用不同类型的能量激励装置27,含有电子、离子、原子、原子团和/或受激励的种类等的气体介质可被用作小体积工件室32内的处理环境。在另一个实施例中,能量激励装置27可以是在进入工件室32之前电离和分解处理气体的光激励电池。能量激励装置27的光子源可以是激光束、同步辐射线、灯(真空紫外线(VUV)、紫外线-可见光和红外线)或其它。
小体积工件室32安装在工件室定位组件22的顶端。小体积工件室32具有一个与基座34分离的盖子33。当小体积工件室32处于如图1所示的加热室11内的升高位置时,盖子33被搁在基座34上。
盖子33和基座34的相交处应具有气密性。在实践中,有能力提供足够的流阻以限制盖子33和基座34接触面间部分的放气或换气的百分比。使用在盖子33上的自动对准功能部件37使盖子33对准基座34。如同本领域普通技术人员的理解:也可使用其他具有相同功能的结构。在如图所示的实施例中,小体积工件室32基本上是可以透过热辐射线的且可由一种或多种类型的高温材料如石英、蓝宝石、陶瓷等材料制成。根据被实施的特定的热处理和成形的工件的种类,小体积工件室32同样地可具有反射性、可以半透或不能透过热辐射线。
支承装置36如三脚架,从盖子33延伸至基座34底部下方用于装载和卸载工件30。当工件室定位组件22朝向加热室11基底降低至非工作位置时,支承装置36放置在加热室11的底部上且支承盖子33。当工件室定位组件22进一步降低一定量时(参见图2),盖子33和基座34相互间分开。因此,通过在加热室11下段的闸门31,工件转移装置(未示出)可达到小体积工件室32的内部。
支承装置36可采用除三脚架以外的其它形式,例如可被从炉底附近的垂直壁延伸出的多根杆支承或被从炉壁12底部垂直延伸出的一根或多根杆支承的装置。小体积工件室32的下部可包括气体扩散器28。在气体扩散器28上可形成一个窗口40。气体扩散器28被布置在基座34上方和工件30下方。工件30放置在从工件室32的基座34延伸出的支承部件47上。支承部件47可以是销钉、锐边、平整表面等。当盖子33受自身支撑时,可将工件30放入小体积工件室32或从小体积工件室32中取出,且在盖子33和基座34之间有一个间隙(参见图2)。
气体扩散器28控制小体积工件室32中的气流模式,例如通过将进入的气流从小体积工件室32的中央转向和发散到边缘处。该进入的气流通常比工件30和气体扩散器28冷。在与工件30相互作用前,气体扩散器28可对进入的一种或多种气体预加热。通过调节气体扩散器28的热辐射吸收功率可对气体预加热进行精细调节。较高的气体扩散器28的热辐射吸收功率可导致气体扩散器的平均温度较高,这样可以更有效地对进入的气流进行预加热。气体扩散器28的使用相当大地阻碍了由于较冷的进入气流造成的工件30的局部冷却。另一种选择是,进入的气流在进入工件室32前散布到工件室32的边缘。气体扩散器28可以采用圆环结构等。根据工件30的特定用途,热处理设备10也可不需要使用气体扩散器28。
为详述作为反射器的气体扩散器28,气体扩散器28可起到热辐射反射器或补偿器的作用。气体扩散器28可将由工件30发出的和未被吸收的热辐射线反射回工件30,以补偿由于被盖子33和基座34部分吸收和反射而产生的热辐射强度损耗。气体扩散器28的热辐射反射以及热辐射发射可使工件30的温度增高。气体扩散器28还可以将热辐射线反射离开全部或部分工件,以实现对工件30的温度控制和温度均一性。
气体扩散器38可由一种或多种类型的高温材料如带有可控涂覆层的石英、石英纤维、陶瓷、碳化硅、蓝宝石等材料制成。气体扩散器28可具有许多种不同尺寸和形状,并可布置与工件30有一规定距离,用于进一步对小体积工件室32中的气流模式进行控制。
气体扩散器28包括具有相同的反射率和随气体扩散器28上的位置呈函数关系变化的反射率之一的表面,以对工件30的温度均匀性进行微调。例如,一些快速热处理应用要求晶片到晶片的温度可重复性处于±1℃(1σ)的数量级,各晶片的不同部分之间的感官温度差异几乎很小,如处于±1℃(1σ)的数量级。不同的或变化的反射率水平决定了朝向工件30不同部分的被反射的热辐射线的量,并由此可被用于对工件30的均匀加热进行微调。
气体扩散器28在物理上可被分为两个实体。第一实体可起到气体扩散器的作用,对工件室32中的气流模式进行控制;而第二实体可起到热辐射反射器的作用,对工件30接收的热辐射线进行调节。例如,热辐射反射器可以采用基座34底部表面上的反射表面的形式,而扩散器由热辐射线高透过性材料制成。超过一个气体扩散器和热辐射反射器可被放置在工件室32附近。圆环43也可被放置在工件30的边缘附近,以有选择地屏蔽工件30边缘处的热辐射线并防止边缘过热。圆环43安装在突出盖子33内壁的支承指45上。另一种选择是,盖子33某些部分内的光透射率可被提供以有选择地减少工件30边缘接收的热辐射量。
温度传感器44被设置在工件室定位组件22的下端,加热室11的外部。温度传感器44在热处理过程中测量工件的温度。温度传感器44可以是非接触式的,例如高温计。高温计要求一条如由气体扩散器28窗口40和内管29的内腔24提供的光学通路。高温计的设置和运行是得到公认的,在此无需进一步加以讨论。前述美国专利No.6,183,127中描述了一种适用于测定晶片温度和辐射率的系统。
一些处理气体不利地吸收了非接触式温度传感器所需的热辐射。通过使用光学非吸收性气体沿箭头B的方向净化远程传感器的光学通路可解决这一问题。净化气体(例如:Ar或He、N2)可从净化气体源20通过净化气体进口18和内管29的内腔24引入。处理气体和净化气体在气体扩散器28下方混合。用于光学通路的净化气体可以是任何不干扰温度遥感器工作的,且不会导致工件30产生化学或物理变化的气体。
温度传感器44也可以是接触式的,即带有遥感器的温度读数装置(例如:带有热电偶的电压测量装置)或其它同类。遥感器需要用于电线(未示出)的从工件室30延伸出、经工件室定位组件22至温度读数装置的物理通路。热电偶可与工件30相接触或距离工件30一预定距离,以测量工件30的温度。热电偶的结构和操作细节已被本领域普通技术人员所了解,在此不再进行讨论。
根据本发明的教导,来自处理气供气站26的处理气或一系列不同种类的处理气可被引到处理气体进口21。使用电磁场、使用光子的含有原子团的气体、含有使用不同光源的电子、离子和原子团的气体介质,处理气体流可被等离子能量激励装置27激励产生等离子体。处理气体(或等离子体)在定位组件22的间隙23内向上流动至气体扩散器28处,在此与净化气体流混合并在气体扩散器28和工件室基座34之间向外传播。处理气体向上流动、绕过气体扩散器28,在工件30上发生气体-表面相互作用,以致最终通过出口孔35从小体积工件室32排入加热室11中(气流F和G)。处理气体的流速可被用于通过对流和传导局部地调节工件30的总体温度和温度均匀性。
当使用潜在的有害气体处理工件30时,使用工件室32限制处理气体的体积与使用清洗气体流A稀释通过出口孔35的处理气体相结合是有用的。例如,在某些RTP处理中需要氢。然而,若在较大的(≈100升)加热室11中充入纯的或高度浓缩的氢同时保持处理温度如500℃至1500℃时,爆炸危险极高。相对于其他不使用小体积工件室的相类似的热处理系统,当使用根据本发明教导的工件室32时,热处理设备10中的氢的量显著减少。更具体而言,通过一个相当于加热室11与小体积工件室32的内部体积比因数,处理气体如氢的量减少了,而工件30仍然暴露在有害处理气体中。来自工件室32的含氢的处理气体流容易地被清洗气体流稀释至例如低于4%的水平,根据现有工业标准该水平为安全排放水平。
清洗气体可以是惰性气体或反应性不活泼的气体,例如He、Ne、Ar、Kr、Xe和N2或其混合物。清洗气体也可以是活性气体,例如O2。根据具体进行的热处理过程,也可以使用其它反应性不活泼的气体或活性气体。
处理气体可与清洗气体相同。处理气体另外可包括H2、H2O、O2、O3、含卤素的气体或蒸汽(例如F2、HF、Cl2、HCl、Br2、HBr、I2)、卤素间分子(例如ICl、IBr)、含氮的气体或蒸汽(例如NO、N2O、NH3)、含Si、Ge、B、P、As和Ga的化学气相沉积前驱物、含金属(例如Al、W、Cu、Ti、Co、Ta)的气体或蒸汽、金属有机化学气相沉积前驱物、和含电子、离子、原子或原子团的气体。
处理气体还可含有可通过不同激励方法如使用等离子体、电子、光子、放电、放射性辐射或其它,产生的电子、离子、原子或原子团。能量激励装置27例如射频(RF)天线/电极、微波(MW)空腔、放电电极、紫外线光源、放射性材料、激光束、同步辐射和灯(真空紫外线(VUV)、紫外线-可见光和红外线),可通过工件室32的处理气体进口21被加入到处理过程中。
图3示出了描述根据本发明教导所述的图1和图2中所示本发明的实施例中的一个实例的流程图。可通过在作为工件30的Si(100)晶片上生长SiO2薄膜的两步RTP处理工艺对在热处理设备10中使用小体积工件室32进行说明。第一步是在1100℃纯氧环境下生长SiO2薄膜60秒。第二步是将该SiO2薄膜在1100℃纯氩环境下退火30秒。清洗气体使用氮气。由于所使用的全部气体不会对温度遥感器44产生干扰,因此不需要使用净化气体净化工件室定位组件22的内腔24。每一步的操作条件仅作为例证性的用途被选择,且不是用来描述一种生产具有最佳电学性能的硅的氧化物薄膜的方法。
为实施如前所述的两步RTP处理工艺,通过围绕加热室11布置的加热元件在加热室11内产生适当的热辐射和温度梯度,同时,将清洗氮气流(例如:50SLM,即每分钟标准升)从气体源16引入气体进口14(步骤400)。加热室11保持一个大气压。由于在本实例中所使用的气体(氩气、氮气和氧气)不会对所使用的温度遥感器44如:红外线高温计产生干扰,因此不需要净化气体流通过内管24。由于工艺气体仅为氧气和氩气,在该两步RTP处理工艺实例中也不需要激励处理气体。
工件室定位组件22的非工作位置也是工件30的装载/卸载位置,在此,工件室32的基座34保持在加热室11的底部附近。该定位组件22降低工件室32至非工作位置(步骤402)。盖子33被自保持在支承装置36上,以使在盖子33和基座34之间存在一个间隙,用于将Si(100)晶片装载到工件室32的支承部件47上(步骤406)。
从处理气体供气站26引入氮气流(如10SLM)作为默认气体流,通过处理气体通道23以净化被打开的工件室中在盖子33和基座34之间存在的空间(步骤404,参见图2)。当不需要使用其它处理气体时,默认气体可被提供用于在工件30进行热处理之前、之中和之后流过热处理设备10。以Si(100)晶片形式存在的工件30被通过闸门31的机械臂装载到定位针47上(步骤406)。定位组件22升起基座34以接触并抬起盖子33,而盖子33将小体积工件室关闭。在盖子33被基座34抬起后,默认氮气流过气体扩散器28和基座34之间、围绕气体扩散器28和Si(100)晶片工件30的边缘流到在Si(100)晶片工件30的顶面上,并通过出口孔35排出工件室32。
在使用小体积工件室32时,环绕Si(100)晶片工件30的处理气体的有效体积(也可表示为气体环境)被大大减少至由工件室32的盖子33和基座34所含有的内部体积。由此实现围绕工件的处理气体的快速转换。
此外,从工件室32的出口孔35排出的气体(在本实例中的氩气、氮气和氧气)与清洗氮气在加热室11中混合。该混合气体流进入排风罩46中并从整个热处理设备10中通过排气管道48排出。
该两步RTO+RTA处理周期是在在主计算机(未示出)的自动控制条件下开始进行。处理参数如,定位组件22的高度H(毫米)、工件30(晶片)的温度T(℃)以及氮气、氧气和氩气进入处理气体进口21的流速(SLM)被调节、同步和实时纪录。当工件30被预加热至一预先设置好的温度时(例如700℃),处理气体供气站26将默认氮气流转换成具有适当流速(例如10SLM)的第一处理气体,例如氧气(步骤410)。进一步升高和调节加热室11中工件室32的位置以将工件30保持在所需温度1000℃ 60秒(步骤412)以氧化Si(100)工件,即快速热氧化(RTO)步骤。
然后降低工件室32冷却Si(100)晶片。同时,处理气体供气站26中断氧气流并开始以适当流速(例如10SLM)输入作为第二处理气体的氮气(步骤414)。
再次升起工件室32以将Si(100)晶片工件30加热至1100℃。调节定位组件22的位置以将Si(100)晶片30保持在所需温度1100℃ 30秒用于在氩气环境中的快速热退火(RTA)步骤(步骤416)。
当工件室32被降低时,处理气体供气站中断氩气并开始以适当流速(例如10SLM)输入默认氮气流(步骤418)。工件室的盖子33与工件室的基座34分离并打开了盖子33和基座34的间隙(步骤420)。在定位组件22的非工作位置,Si(100)晶片被通过闸门31的机械臂卸载(步骤422),完成了含有两个连续步骤的整个热处理周期。可对下一个工件进行处理(步骤424)。
如果连续使用不能共存的处理气体,处理气体从工件室排入加热室就会存在问题。例如,在形成超薄氮化物叠片层的过程中,在进行硅烷/氨下一步骤之前,可不使用清洗气体流将一氧化氮(NO)完全从加热室11中净化掉。因此,残留的一氧化氮(NO)可与从小体积工件室32排出的氨(NH3)发生反应在加热室11内产生水蒸气(H2O)和氮气(N2)。湿度增加可导致含硅工件在装载和卸载过程中发生不利的氧化。尽管可通过在两连续步骤之间使用反应性不活泼的气体净化整个加热室11和工件室32解决这一问题,但是净化会显著降低热处理的产量。
图4、图5和图6提供了根据本发明教导所述的其它可选实施例。在这些实施例中,来自工件室的废气直接流出整个热处理设备。
图4示出了根据本发明的教导所述的一个热处理设备100实施例。加热套113形成了热处理设备100的内部结构,在其中布置有由炉壁112形成的加热室111。加热套113可包含多种不同的发热元件如白炽灯、弧光灯、加热线圈等等。加热套113中还可含有多个具有不同温度的区域和有效冷却段。
来自气体源116的清洗气体经气体进口114进入加热室111。气体进口114可被设计用于将清洗气体通过带孔板、圆环等横越加热室111底部均匀分布或通过圆形缝隙等呈环状地均匀分布。清洗气体流J在加热室111内侧、工件室132和定位组件122外侧上升,并进入排风罩146中(气流Q)。
排风罩146用于将气体从加热室111内经排气管道148沿箭头R的方向抽出或排出热处理设备100。排气管道148可与多个不同的真空阀和真空泵(未示出)相连接,以使加热室111在从零到几个大气压条件下运转。为控制压力,可在控制气体从加热室111中的抽出速率时同时调节清洗气体和处理气体的流速。
可通过从气体源116向排气管道148供给清洗气体,并从气体进口114排出气体反转清洗气体的流向(这将不得不重新进行构造以处理废气)。对于一些要求低压或真空的用途,清洗气体的流速可设置为零。
工件室定位组件122通过加热室111的底部安装,且可在加热室111中向上延伸和向下缩回。工件室定位组件122可借助气体轴承125或使用其他已知密封技术如弹性密封、波纹管、差动泵浦等与加热室111相连接,以确保加热室111内的气体完整性。工件室定位组件122可以升降机组件的形式被用在如图4所示的立式热处理设备中。工件室定位组件22也可被用在卧式或其它类型的热处理设备中。
如图4所示实施例中的工件室定位组件122包括一个为位于定位组件122下端的温度传感器144提供光学通路或电线通道的内腔124。定位组件122的内腔124用作通过定位组件122的处理排放通道。
布置在定位组件122内的细管123起到处理气体的气体通道的作用,用于处理气体沿着定位组件122流入小体积工件室132。处理气体通过处理气体进口121从供气站126被引入。位于工件室基底134下方的细管166将处理气体从定位组件122中导出至工件室132的盖子133和基底134之间的界面处。然后,处理气体通过盖子133底部上的孔168进入盖子133的一个次隔室(气流S)。该供气站126可同时和/或顺序地供给一种或多种气体。也可在工件室定位组件122中并入多条气体通道用于不同的处理气体。
通过使用与处理气体进口121相连接的能量激励装置127,远程等离子体可被用作小体积工件室132内的处理环境。该能量激励装置127可以是等离子发生装置,例如微波(MW)空腔、射频(RF)天线或电极、直流放电电极等。该能量激励装置127还可以是在进入工件室132之前电离和分解处理气体的光激励电池。其光子源可以是激光束、同步辐射线、灯(真空紫外线(VUV)、紫外线-可见光和红外线)及其它。
小体积工件室132安装在工件室定位组件122的顶端。小体积工件室132具有一个与基座134分离的盖子133。当小体积工件室132处于如图4所示的加热室111内的升高位置时,盖子133被搁在基座134上。
盖子133具有双层壁结构,包括在盖子133底部相连接的一层外壁160和一层内壁161以在盖子133内形成第一(外)次隔室162。至少一个孔168被布置在盖子133中并与基底134的处理气细管166对齐。内壁161具有多个孔135,使得在第一(外)次隔室162中的处理气体传送至由盖子133的内壁161和基底134形成的第二(内)次隔室164。
在处理气体通过莲蓬式喷头135进入第二(内)次隔室164与工件130发生相互作用前,第一(外)次隔室162预热处理气体流S。通过调节在盖子不同部分的热吸收功率可对处理气体的预热进行微调。
盖子133和基座134之间的密封装置应具有气密性。在实践中,有能力提供足够的流阻以限制盖子133和基座134接触面间的间隙放气或换气的百分比。使用在盖子133上的自动对准功能部件137或具有相同功能的其他结构确保盖子133对准基座134。
支承装置136如三脚架,从盖子133延伸至基座134底部下方用于装载和卸载工件130。当工件室定位组件122朝向加热室112基座降低至非工作位置时,支承装置136保持在加热室112的底部上且支承盖子133。当工件室定位组件122进一步降低一定量时,盖子133和基座134相互间分开。因此,通过在加热室112下段的闸门131,工件转移装置(未示出)可达到小体积工件室132的内部。
小体积工件室132的第二(内)次隔室可包括气体扩散器128。在气体扩散器128上可形成一个窗口140。气体扩散器128被布置在基座134上方和工件130下方。工件130可以是半导体晶片或其它种类的工件。工件130放置在工件室132的基座134上的支承部件147上。支承部件47可以是销钉、锐边、平整表面等其它。当盖子133受自身支撑时,可将工件130放入小体积工件室132或从小体积工件室132中取出,且基座134在其非工作位置开一个在盖子133和基座134之间的间隙。
气体扩散器128控制小体积工件室132中的气流模式,例如通过将在第二(内)次隔室164中的处理气体流U从边缘会聚中央,并进入定位组件122的内腔124(气流V)。
气体扩散器128可起到热辐射反射器或补偿器的作用。气体扩散器128可将由工件130发出的和未被吸收的热辐射线反射回工件130,以补偿由于被盖子33和基座34吸收和反射而产生的热辐射强度损耗。气体扩散器128的反射特性可使工件130的温度增高。气体扩散器128还可以将热辐射线反射离开全部或部分工件130,以实现对工件130的温度控制和温度均一性。
气体扩散器128包括具有相同的反射率和随气体扩散器128上的位置呈函数关系变化的反射率之一的表面,以对工件130的温度均匀性进行微调。气体扩散器128的不同的或变化的反射率水平决定了朝向工件130不同部分的被反射的热辐射线的量,以实现对工件130的均匀加热。
如前述实施例,气体扩散器128在物理上可被分为两个实体。第一实体可起到气体扩散器的作用,对工件室132中的气流模式进行控制;而第二实体可起到热辐射反射器的作用,对工件130接收的热辐射线进行调节。再有,热辐射反射器可以采用基座134底部表面上的反射表面的形式,而扩散器由热辐射线高透过性材料制成。超过一个气体扩散器和热辐射反射器也可被放置在工件室132附近。
圆环(未示出)可被放置在工件130的边缘附近,以有选择地屏蔽工件130边缘处的热辐射线并防止边缘过热。圆环安装在突出盖子133内壁161的支承指上。另一种选择是,盖子133某些部分内的光透射率可被提供以有选择地减少工件130边缘接收的热辐射量。
温度传感器144位于在工件室定位组件122的下端,加热室111的外部。温度传感器144在热处理过程中测量工件130的温度。温度传感器144可以是非接触式的,例如高温计。高温计要求一条如由气体扩散器128窗口140和定位管122的内腔124提供的光学通路。
温度传感器144也可以是接触式的,即带有温度读数装置的遥感器或带有电压测量装置的热电偶。遥感器或热电偶需要用于电线(未示出)的从工件室130延伸出、经工件室定位组件122至温度读数装置或电压感应装置的物理通路,如前述实施例所述。遥感器或热电偶可与工件130相接触或距离工件130一预定距离,以测量工件130的温度。
根据本发明的教导,来自处理气供气站126的处理气或一系列不同种类的处理气可被引到处理气体进口121。使用电磁场或含有使用不同光子源的电子、离子和原子团的气体介质,处理气体流可被能量激励装置127激励产生等离子体。处理气体(或等离子体)沿供气管道123和细管166向上流动至盖子133和基底134之间的界面处。处理气体通过孔168进入第一(外)次隔室162(气流S)。
处理气体在第一(外)次隔室162中预热并通过多个莲蓬式构造的孔135进入第二(内)次隔室164。处理气体流散布开并与工件130(气流T)的表面发生相互作用,并向下流动,绕过气体扩散器128(气流U)。处理气体前进通过气体扩散器128和基底134之间的间隙,集中在定位组件122的内腔124中(气流V)。处理气体通过定位组件122(气流W)并经处理气体出口170排出整个加热室111(气流X和Y)。处理气体的流速可被用于通过对流和传导局部地调节工件130的总体温度和温度均匀性。
在图4所示的这一实施例中,处理气体流动路线(通过处理气体进口121、供气管道123至细管166和气流S、T、U、V、X到Y)完全与清洗气体(气流J、Q和R)的流动分开。与前面实施例中将处理气体排入加热室11中不同(图1和图2),处理气体直接被排出这一实施例中的加热室111。这使得可以在多步RTP处理周期过程中以连续方式使用不能共存的处理气体。
处理气体沿箭头V、W和X的方向流入定位组件122的内腔124中,其与温度遥感器144的光学通道重叠。多种处理气体吸收温度遥感器144所使用的波长的热辐射线,对工件130的温度测量产生严重干扰。这一问题可通过如图5所示的本发明的另一实施例得以解决,其中将温度遥感器的光学通道与处理气体流动路线分开。
参见图5,工件室232的盖子233与前述工件室132的盖子133相似。处理气体流通过盖子233底部上的孔268进入第一(外)次隔室262(由外壁260和内壁261形成)。在基底234中的处理气体输入口对齐在盖子233底部的孔268。处理气体输入管道由输入管223和桥式管266组成。还存在支承部件236以便盖子233与基底234的分离,用于装载和卸载工件230。
工件室232的基底234与前述实施例中的工件室132的基底234有很大不同。工件230自己形成了第二(内)次隔室264一段壁。换句话说,第二(内)次隔室264由盖子233的内壁261、基底234和工件230形成。有一垂直圆形壁246支承着工件230,提供足够的流阻以限制第二(内)次隔室264和加热室111之间的气体交换。当工件室232的两部分相接触时,自动对准功能部件237确保盖子和基底234之间对准。
处理气体从第一(外)次隔室262经成形为莲蓬式气体喷头的孔235进入第二(内)次隔室264。围绕工件230安装一个边缘圆环243。边缘圆环243、垂直圆形壁246和基底234的底部形成一个圆形通道,以在与工件230的顶面相互接触后接收处理气体。处理气体由一个或多个孔254排出第二(内)次隔室264并通过一个或多个排气管256进行传输。
结合使用工件230作为内次隔室264的一部分壁,反射器228(或气体扩散器)和光学窗口240安装在内次隔室264的外边、定位组件222的顶上。输入管223和气体输出管258的垂直部分布置在定位组件222内。输入管223和气体输出管258可连接或并入工件室定位组件222。用于光学通道的净化气体沿定位组件222的内腔224的轴线向上流动至光学窗口240。一些净化气体通过光学窗口240和反射器228之间的间隙以净化工件230和反射器228之间的区域。这一构造大大减少了沿在工件230的底部的非接触式温度传感器的光学通道存在的处理气体的量。
由于存在另外一层壁材料导致进一步减少了热辐射传输,图4和图5中的两个工件室实施例132和232中的两个盖子133和233分别使用的双层壁结构可限制工件的最高可达温度。图6示出了具有单壁盖子333和在处理(内)次隔室364外部的反射器328的工件室332的另一实施例。处理气体在定位组件322内的气体入口管323的垂直部分上升。处理气体通过一个水平的桥式管366排出定位组件322,进入工件室基底334的圆形气体通道368中。圆形通道368围绕着工件330的周边均匀分散处理气体流。处理气体通过在底板334中的一个或多个孔或狭缝370进入处理(内)次隔室364。
与前述实施例相似,工件室盖子333、基底334和工件330形成了处理(内)次隔室364。工件330沿其周边受到垂直圆形壁346的支承,提供足够的流阻以限制第二(内)次隔室364和工件室322所在的加热室之间的气体交换。处理气体在边缘圆环343上面朝向工件330的中央流动,以与工件330的顶面发生相互作用。
处理气体会集到U形排气细管357的排风罩376中。排风罩376被布置在工件330的中央上方,盖子333的下面。U形细管357可通过基底334进行焊接。通过排风罩376排出的气体进入定位组件322内的排气管道357中。处理气体流过定位组件322并经工件室定位组件322排出整个系统。
为防止处理气体干扰非接触式温度传感器的工作,非吸收性的净化气体流被用于净化通过定位组件322内腔324的光学通道。U形排气管道357的直径尽可能小,以用于所需体积和气体流速,且其在工件330上的高度要足够高,以使其对工件均匀加热的影响作用最小化。光学窗口340提供了一条用于得到如前所述的工件330温度读数的光学通道。
还存在支承部件336以便盖子333与基底334的分离,用于装载和卸载工件230。当工件室332的两部分相接触时,自动对准功能部件337利于盖子333和基底334之间对准。
相对于加热室体积的小体积工件室的小体积大大减少了围绕工件流动的处理气体的量。由此,与不使用工件室相比,工件周围的处理气体环境可更快速地(例如快几秒钟)进行净化和转换。这大大提高了热处理产量。工件室的小体积显著减少了热处理系统内的有害处理气体的量并大大降低了安全风险。小体积工件室的使用提供了对工件进行多步热处理的能力,该多步热处理涉及与工件的处理温度特征同步使用多种处理气体,而同时还要保留现有热处理系统的性能优势。
根据前面的详细描述,本领域的普通技术人员将很清楚本发明的多个变型和可选实施例。因此,本说明仅应被视为说明性的,且被用于教导本领域的普通技术人员实施本发明的最佳方式之目的。在不偏离本发明精神的前提下,可对结构细节进行重大改变且保留在附属权利要求的范围内各种改动的专用权。本发明仅受限于附属权利要求和适用法律的要求。

Claims (56)

1、一种用于处理工件的热处理设备,包括:
加热室;
布置在所述工件周围的小体积工件室;以及
用于在所述加热室中移动所述小体积工件室和所述工件的支承所述小体积工件室的定位组件。
2、根据权利要求1所述的热处理设备,其特征在于,所述加热室包括用于热处理所述工件的热辐射强度梯度和温度梯度中的至少一个。
3、根据权利要求1所述的热处理设备进一步包括布置在所述加热室周围的加热元件。
4、根据权利要求1所述的热处理设备,其特征在于,所述炉子包括形成所述加热室的钟形烧结炉。
5、根据权利要求1所述的热处理设备进一步包括与所述小体积工件室相连的供气站,用于向所述小体积工件室中引入一种或多种气体。
6、根据权利要求5所述的热处理设备进一步包括用于预热所述供气站和所述气体的加热机构。
7、根据权利要求5所述的热处理设备进一步包括布置在所述小体积工件室内的、用于在所述小体积工件室内调节所述气体流量的气体扩散器。
8、根据权利要求7所述的热处理设备,其特征在于,所述气体扩散器至少部分地调节所述工件的温度。
9、根据权利要求7所述的热处理设备,其特征在于,所述气体扩散器由石英、石英纤维、陶瓷、碳化硅和蓝宝石材料中的一种制成。
10、根据权利要求7所述的热处理设备,其特征在于,所述气体扩散器具有反射表面,反射未被所述工件吸收的热辐射线,以至少部分地调节所述工件的温度。
11、根据权利要求10所述的热处理设备,其特征在于,所述反射表面具有一致的反射率。
12、根据权利要求10所述的热处理设备,其特征在于,所述反射表面具有不一致的反射率。
13、根据权利要求7所述的热处理设备,其特征在于,所述气体扩散器具有相同的反射率和随所述气体扩散器上的位置呈函数关系变化的反射率之一的表面,以影响所述工件的温度均匀性。
14、根据权利要求13所述的热处理设备,其特征在于,所述气体扩散器适于均匀加热工件。
15、根据权利要求7所述的热处理设备,其特征在于,所述气体扩散器适于预热流过所述小体积工件室的气体。
16、根据权利要求7所述的热处理设备进一步包括在所述气体扩散器内形成的窗口。
17、根据权利要求7所述的热处理设备进一步包括:
在所述气体扩散器内的窗口;
相对于所述工件布置的温度传感器;以及
用于在所述小体积工件室内定位所述工件的安装装置;
其特征在于,所述窗口提供一条用于所述温度传感器的光学通道,以获得所述工件的温度。
18、根据权利要求1所述的热处理设备,其特征在于,所述小体积工件室由至少一个第一部分和一个第二部分形成。
19、根据权利要求18所述的热处理设备进一步包括至少一个与所述小体积工件室的所述第一部分相连的支承结构,以使当所述小体积工件室降低至所述热处理设备的基底时,所述至少一个支承结构支承所述小体积工件室的所述第一部分且所述小体积工件室的所述第二部分与所述第一部分分开,允许装载和卸载工件进入和从所述小体积工件室取出。
20、根据权利要求1所述的热处理设备进一步包括相对于所述工件布置的温度传感器,用于测定所述工件的温度。
21、根据权利要求20所述的热处理设备,其特征在于,所述温度传感器包括高温计。
22、根据权利要求20所述的热处理设备,其特征在于,所述温度传感器包括热电偶。
23、根据权利要求1所述的热处理设备进一步包括至少一个形成在所述小体积工件室壁内的孔,以使供给所述小体积工件室的气体通过所述至少一个孔排出。
24、根据权利要求1所述的热处理设备进一步包括布置在所述加热室内的用于从所述加热室排出气体的装置。
25、根据权利要求1所述的热处理设备进一步包括将所述小体积工件室分隔成外次室和内次室的内壁。
26、根据权利要求25所述的热处理设备,其特征在于,所述内壁包括至少一个孔。
27、根据权利要求25所述的热处理设备进一步包括与所述小体积工件室连通的排气管,用于将所述小体积工件室中的气体排至所述热处理设备外部一个位置处。
28、根据权利要求25所述的热处理设备进一步包括一条或多条气体管道,用于向所述小体积工件室中的所述内次室中引入至少一种处理气体。
29、根据权利要求1所述的热处理设备,其特征在于,所述小体积工件室由至少可部分透过热辐射线的材料制成。
30、根据权利要求1所述的热处理设备,其特征在于,所述小体积工件室由反射性、可以半透或不能透过热辐射线的材料制成。
31、根据权利要求1所述的热处理设备,其特征在于,所述小体积工件室包括一个或多个布置在所述工件周围的用于调节所述工件温度的热辐射屏蔽、反射器和光学元器件。
32、根据权利要求1所述的热处理设备,其特征在于,所述加热室的体积与所述小体积工件室的体积的比值大于约2。
33、根据权利要求1所述的热处理设备,其特征在于,所述定位组件包括用于供给气体的气体输入通道和用于排出气体的气体输出通道中的至少一条。
34、根据权利要求1所述的热处理设备,其特征在于,所述定位组件在所述工件和温度传感器之间含有至少一条用于从所述工件和至少一个热辐射源发射的辐射线的通道。
35、根据权利要求34所述的热处理设备,其特征在于,可以使用不吸收热辐射线的气体净化所述辐射通道。
36、根据权利要求1所述的热处理设备,其特征在于,所述定位组件在所述工件和温度传感器之间含有至少一条用于电信号传输的通道。
37、根据权利要求1所述的热处理设备,其特征在于,所述小体积工件室使用所述工件作为一部分壁,以将处理气体限定在所述工件室内。
38、根据权利要求1所述的热处理设备,进一步包括布置在所述小体积工件室外部的气体扩散器,用于调节所述小体积工件室内的气体流动,进而控制所述工件的温度和温度均匀性。
39、根据权利要求1所述的热处理设备,进一步包括用于在进入小体积工件室前激励处理气体的能量激励装置。
40、一种工件热处理方法,包括以下步骤:
提供加热室用于热处理所述工件;
将所述工件放置在所述加热室内的所述小体积工件室中;
将所述小体积工件室定位在所述加热室内;及
对所述工件进行热处理。
41、根据权利要求40所述的方法,其特征在于,热处理的所述步骤至少部分地包括根据调节施加到所述工件的加热级的要求,将所述小体积工件室定位在热处理设备内的一个或多个位置。
42、根据权利要求40所述的方法,其特征在于,热处理的所述步骤至少部分地包括向所述小体积工件室供给气体,以至少部分地对所述工件的加热进行调节。
43、根据权利要求42所述的方法进一步包括在将所述气体供给所述工件前预热所述气体。
44、根据权利要求42所述的方法,其特征在于,向所述小体积工件室供给气体的所述步骤包括调节通过扩散器的所述气体的流量。
45、根据权利要求42所述的方法,其特征在于,加热所述加热室的所述步骤包括起动至少一个加热元器件这一步。
46、根据权利要求45所述的方法,其特征在于,起动至少一个加热元器件这一步骤产生热辐射,并形成热辐射强度梯度和温度梯度中的一种。
47、根据权利要求45所述的方法,其特征在于,起动至少一个加热元器件这一步骤包括起动多个相对于所述加热室布置的加热元器件。
48、根据权利要求45所述的方法,其特征在于,起动至少一个加热元器件这一步骤包括起动多个布置在钟形烧结炉中的加热元器件。
49、根据权利要求40所述的方法进一步包括从所述的热处理设备内的所述小体积工件室的所述加热室移出所述工件这一步。
50、根据权利要求40所述的方法进一步包括将所述气体从所述小体积工件室排入所述热处理设备这一步。
51、根据权利要求40所述的方法进一步包括所述热处理设备通风以排出由所述小体积工件室排放的气体这一步。
52、根据权利要求40所述的方法进一步包括在所述小体积工件室和所述热处理设备内保持所需环境压力这一步。
53、根据权利要求42所述的方法,其特征在于,向所述小体积工件室供给气体这一步包括激励所述气体流,以产生等离子体和含有电子、离子、原子团和能量激发核素的气体中的至少一种。
54、根据权利要求42所述的方法进一步包括通过排气管道从所述小体积工件室中排出所述气体这一步。
55、根据权利要求42所述的方法进一步包括从所述小体积工件室中排出所述气体至所述加热室以净化来自所述小体积工件室的所述气体这一步。
56、一种用于热处理工件的热处理设备,所述热处理设备包括具有热辐射强度梯度和温度梯度中的至少一种的加热室、布置在所述工件周围的小体积工件室和支承所述小体积工件室的定位组件,用于在所述加热室中移动所述小体积工件室和所述工件至所需位置,以影响所述工件的不同加热级,所述热处理设备能够进行以下一种或多种功能:
干态和湿态快速热氧化;
快速热氮化;
用于注入扩散和金属硅化物形成的快速热退火;
快速热BPSG回流;
有金属存在时的Si的选择性氧化;
快速热化学气相沉积;
低压热化学气相沉积;
金属-有机物化学气相沉积;
远程-等离子体化学气相沉积;及
多层电介质选通电极堆栈的形成过程。
CNB028165128A 2001-08-23 2002-08-23 快速热处理的快速环境转换系统和方法 Expired - Fee Related CN100409402C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/938,257 2001-08-23
US09/938,257 US6753506B2 (en) 2001-08-23 2001-08-23 System and method of fast ambient switching for rapid thermal processing

Publications (2)

Publication Number Publication Date
CN1547757A true CN1547757A (zh) 2004-11-17
CN100409402C CN100409402C (zh) 2008-08-06

Family

ID=25471178

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB028165128A Expired - Fee Related CN100409402C (zh) 2001-08-23 2002-08-23 快速热处理的快速环境转换系统和方法

Country Status (7)

Country Link
US (1) US6753506B2 (zh)
EP (1) EP1419520A2 (zh)
JP (1) JP4131239B2 (zh)
CN (1) CN100409402C (zh)
AU (1) AU2002331716A1 (zh)
TW (1) TW539842B (zh)
WO (1) WO2003019622A2 (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102165607A (zh) * 2008-05-08 2011-08-24 法国圣戈班玻璃厂有限公司 用于在处理腔中对物体进行退火的装置和方法
CN102305539A (zh) * 2011-07-21 2012-01-04 广东世创金属科技有限公司 具有加热/冷却可控结构及可中途取样的热模拟炉
CN102027581B (zh) * 2008-03-13 2012-12-26 可持续能源联盟有限责任公司 用于半导体晶片处理的光腔炉
CN104752305A (zh) * 2013-12-25 2015-07-01 财团法人工业技术研究院 用于退火装置的样品座与使用样品座的电流辅助退火装置
CN105705337A (zh) * 2013-10-31 2016-06-22 惠普发展公司,有限责任合伙企业 具有形成于其上的存储器的打印头
CN115386948A (zh) * 2022-09-26 2022-11-25 徐州鑫晶半导体科技有限公司 单晶生长炉和晶体生长方法

Families Citing this family (181)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3554297B2 (ja) * 2001-07-26 2004-08-18 株式会社エフティーエル 半導体基板熱処理装置及び半導体素子の製造方法
US20030173346A1 (en) * 2002-03-18 2003-09-18 Renken Wayne Glenn System and method for heating and cooling wafer at accelerated rates
US6919251B2 (en) * 2002-07-31 2005-07-19 Texas Instruments Incorporated Gate dielectric and method
US20040053514A1 (en) * 2002-08-27 2004-03-18 Ali Shajii Apparatus for cooling a substrate through thermal conduction in the viscous regime
JP4257576B2 (ja) * 2003-03-25 2009-04-22 ローム株式会社 成膜装置
US7383875B2 (en) * 2003-07-09 2008-06-10 Canon Kabushiki Kaisha Heating/cooling method, manufacturing method of image displaying apparatus, heating/cooling apparatus, and heating/cooling processing apparatus
US20050037521A1 (en) * 2003-08-15 2005-02-17 Uwe Wellhausen Methods and apparatus for processing semiconductor devices by gas annealing
US7181132B2 (en) * 2003-08-20 2007-02-20 Asm International N.V. Method and system for loading substrate supports into a substrate holder
US7026581B2 (en) * 2003-08-22 2006-04-11 Axcelis Technologies, Inc. Apparatus for positioning an elevator tube
US7780793B2 (en) * 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20060051966A1 (en) * 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20050250346A1 (en) * 2004-05-06 2005-11-10 Applied Materials, Inc. Process and apparatus for post deposition treatment of low k dielectric materials
JP2006066884A (ja) * 2004-07-27 2006-03-09 Tokyo Electron Ltd 成膜方法、成膜装置及び記憶媒体
US20060130971A1 (en) * 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
US20060251827A1 (en) * 2005-05-09 2006-11-09 Applied Materials, Inc. Tandem uv chamber for curing dielectric materials
US20060249175A1 (en) * 2005-05-09 2006-11-09 Applied Materials, Inc. High efficiency UV curing system
JP5024645B2 (ja) * 2005-07-07 2012-09-12 株式会社Ihi 水蒸気アニール用治具
US8661869B2 (en) * 2005-11-04 2014-03-04 Cyril Bath Company Stretch forming apparatus with supplemental heating and method
FI121543B (fi) * 2005-11-17 2010-12-31 Beneq Oy Järjestely ALD-reaktorin yhteydessä
US8500382B2 (en) * 2007-05-22 2013-08-06 Axcelis Technologies Inc. Airflow management for particle abatement in semiconductor manufacturing equipment
US7921803B2 (en) * 2007-09-21 2011-04-12 Applied Materials, Inc. Chamber components with increased pyrometry visibility
US7947561B2 (en) * 2008-03-14 2011-05-24 Applied Materials, Inc. Methods for oxidation of a semiconductor device
CN101980959A (zh) * 2008-03-26 2011-02-23 Gt太阳能公司 涂覆金的多晶硅反应器系统和方法
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US8993458B2 (en) 2012-02-13 2015-03-31 Applied Materials, Inc. Methods and apparatus for selective oxidation of a substrate
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
WO2016091927A1 (en) * 2014-12-11 2016-06-16 Evatec Ag Apparatus and method especially for degassing of substrates
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US11421321B2 (en) * 2015-07-28 2022-08-23 Asm Ip Holding B.V. Apparatuses for thin film deposition
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10752991B2 (en) * 2017-02-06 2020-08-25 Applied Materials, Inc. Half-angle nozzle
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
JP6869101B2 (ja) * 2017-05-12 2021-05-12 株式会社ダイセル 接着剤層形成装置、半導体チップ製造ライン、及び積層体の製造方法
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
CN111373520B (zh) * 2017-11-28 2023-08-29 瑞士艾发科技 衬底加工设备和加工衬底并制造被加工工件的方法
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
FI130051B (en) * 2019-04-25 2023-01-13 Beneq Oy DEVICE AND METHOD
KR102444786B1 (ko) * 2021-12-23 2022-09-19 주식회사 에이치피에스피 냉각 효율을 향상시키는 고압챔버

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3707672A1 (de) * 1987-03-10 1988-09-22 Sitesa Sa Epitaxieanlage
US4857689A (en) 1988-03-23 1989-08-15 High Temperature Engineering Corporation Rapid thermal furnace for semiconductor processing
US5387557A (en) * 1991-10-23 1995-02-07 F. T. L. Co., Ltd. Method for manufacturing semiconductor devices using heat-treatment vertical reactor with temperature zones
US6033480A (en) * 1994-02-23 2000-03-07 Applied Materials, Inc. Wafer edge deposition elimination
US5730801A (en) * 1994-08-23 1998-03-24 Applied Materials, Inc. Compartnetalized substrate processing chamber
US5728224A (en) * 1995-09-13 1998-03-17 Tetra Laval Holdings & Finance S.A. Apparatus and method for manufacturing a packaging material using gaseous phase atmospheric photo chemical vapor deposition to apply a barrier layer to a moving web substrate
KR100443415B1 (ko) 1996-02-23 2004-11-03 동경 엘렉트론 주식회사 열처리장치
JPH09237789A (ja) * 1996-02-29 1997-09-09 Toshiba Corp 遮蔽体および熱処理装置および熱処理方法
US6133550A (en) 1996-03-22 2000-10-17 Sandia Corporation Method and apparatus for thermal processing of semiconductor substrates
US5837555A (en) * 1996-04-12 1998-11-17 Ast Electronik Apparatus and method for rapid thermal processing
US5653808A (en) * 1996-08-07 1997-08-05 Macleish; Joseph H. Gas injection system for CVD reactors
US5891251A (en) * 1996-08-07 1999-04-06 Macleish; Joseph H. CVD reactor having heated process chamber within isolation chamber
JPH10125689A (ja) * 1996-10-22 1998-05-15 Semitsukusu Eng Kk 熱処理装置
US5900177A (en) * 1997-06-11 1999-05-04 Eaton Corporation Furnace sidewall temperature control system
US6173116B1 (en) 1997-12-19 2001-01-09 U.S. Philips Corporation Furnace for rapid thermal processing
JP3567070B2 (ja) 1997-12-27 2004-09-15 東京エレクトロン株式会社 熱処理装置及び熱処理方法
US6183127B1 (en) 1999-03-29 2001-02-06 Eaton Corporation System and method for the real time determination of the in situ emissivity of a workpiece during processing
US6293696B1 (en) * 1999-05-03 2001-09-25 Steag Rtp Systems, Inc. System and process for calibrating pyrometers in thermal processing chambers

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102027581B (zh) * 2008-03-13 2012-12-26 可持续能源联盟有限责任公司 用于半导体晶片处理的光腔炉
CN102165607A (zh) * 2008-05-08 2011-08-24 法国圣戈班玻璃厂有限公司 用于在处理腔中对物体进行退火的装置和方法
CN102165607B (zh) * 2008-05-08 2016-04-06 法国圣戈班玻璃厂有限公司 用于在处理腔中对物体进行退火的装置和方法
CN102305539A (zh) * 2011-07-21 2012-01-04 广东世创金属科技有限公司 具有加热/冷却可控结构及可中途取样的热模拟炉
CN105705337A (zh) * 2013-10-31 2016-06-22 惠普发展公司,有限责任合伙企业 具有形成于其上的存储器的打印头
US9701115B2 (en) 2013-10-31 2017-07-11 Hewlett-Packard Development Company, L.P. Printheads having memories formed thereon
US10076904B2 (en) 2013-10-31 2018-09-18 Hewlett-Packard Development Company, L.P. Integrated circuit devices comprising memristors
CN104752305A (zh) * 2013-12-25 2015-07-01 财团法人工业技术研究院 用于退火装置的样品座与使用样品座的电流辅助退火装置
CN104752305B (zh) * 2013-12-25 2018-07-13 财团法人工业技术研究院 用于退火装置的样品座与使用样品座的电流辅助退火装置
US10612854B2 (en) 2013-12-25 2020-04-07 Industrial Technology Research Institute Sample holder annealing apparatus using the same
CN115386948A (zh) * 2022-09-26 2022-11-25 徐州鑫晶半导体科技有限公司 单晶生长炉和晶体生长方法

Also Published As

Publication number Publication date
CN100409402C (zh) 2008-08-06
TW539842B (en) 2003-07-01
EP1419520A2 (en) 2004-05-19
WO2003019622A3 (en) 2003-12-18
WO2003019622A2 (en) 2003-03-06
JP4131239B2 (ja) 2008-08-13
JP2005501407A (ja) 2005-01-13
AU2002331716A1 (en) 2003-03-10
US20030038127A1 (en) 2003-02-27
US6753506B2 (en) 2004-06-22

Similar Documents

Publication Publication Date Title
CN1547757A (zh) 快速热处理的快速环境转换系统和方法
US5862302A (en) Thermal processing apparatus having a reaction tube with transparent and opaque portions
CN1199236C (zh) 晶片热处理的方法和设备
JP3241401B2 (ja) 急速熱処理装置
KR100574116B1 (ko) 반도체 처리 시스템의 매엽식 처리 장치
CN101903980B (zh) 载置台构造以及热处理装置
US20090291566A1 (en) Substrate Processing Apparatus, Coolant Gas Supply Nozzle and Semiconductor Device Manufacturing Method
KR100297282B1 (ko) 열처리장치 및 열처리방법
US5407485A (en) Apparatus for producing semiconductor device and method for producing semiconductor device
CN1643322A (zh) 热处理系统和可成形的垂直腔
US20060291835A1 (en) Susceptor for heat treatment and heat treatment apparatus
CN101208770B (zh) 用于处理介电材料的设备和方法
TW201921419A (zh) 整合式磊晶系統高溫污染物去除
WO2019070382A1 (en) INFRARED LAMP RADIATION PROFILE CONTROL BY DESIGNING AND POSITIONING LAMP FILAMENT
CN111052334B (zh) 集成外延与预清洁系统
CN105264649B (zh) 用于热腔室应用及处理的光管窗结构
TW201001620A (en) Method and apparatus for UV curing with water vapor
CN1533590A (zh) 处理装置和处理方法
US6259066B1 (en) Process and device for processing a material by electromagnetic radiation in a controlled atmosphere
KR20020080954A (ko) 냉벽 화학기상증착 방법 및 장치
CN1748285B (zh) 用于均匀加热基片的腔室
JP3129777B2 (ja) 熱処理装置及び熱処理方法
JPH09237763A (ja) 枚葉式の熱処理装置
JP2000058534A (ja) 基板熱処理装置
JP2005032883A (ja) 基板処理装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20080806

Termination date: 20120823