CN1554106A - 等离子体处理中采用微射流的低能离子产生和输运方法和装置 - Google Patents

等离子体处理中采用微射流的低能离子产生和输运方法和装置 Download PDF

Info

Publication number
CN1554106A
CN1554106A CNA028141210A CN02814121A CN1554106A CN 1554106 A CN1554106 A CN 1554106A CN A028141210 A CNA028141210 A CN A028141210A CN 02814121 A CN02814121 A CN 02814121A CN 1554106 A CN1554106 A CN 1554106A
Authority
CN
China
Prior art keywords
baffle plate
plasma
wafer
plate assembly
lower baffle
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA028141210A
Other languages
English (en)
Other versions
CN100474495C (zh
Inventor
A
A·斯里瓦斯塔瓦
ά
P·萨克蒂维尔
H·萨温
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Axcelis Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Axcelis Technologies Inc filed Critical Axcelis Technologies Inc
Publication of CN1554106A publication Critical patent/CN1554106A/zh
Application granted granted Critical
Publication of CN100474495C publication Critical patent/CN100474495C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

本发明公开一种产生和输运用于半导体晶片的等离子体处理的低能离子的方法。在本发明的一个示例的实施例中,该方法包括由气体物质形成等离子体以产生等离子体排出气体。然后将此等离子体排出气体引入包含晶片的处理室内。通过在等离子体引入处理室时激发一个辅助离子源可增加等离子体排出气体的离子含量,从而在室内产生原发等离子体放电。然后,原发等离子体放电接着被导至挡板组件,由此产生二次等离子体放电并从挡板组件中出来。于是作用于二次等离子体放电内的离子上的电场强度下降。因而降低的电场强度使得这些离子轰击晶片的能量不足以造成形成于晶片上的半导体器件的损坏。

Description

等离子体处理中采用微射流的低能离子产生和输运方法和装置
与相关申请的相互应用
本申请是2001.4.6提出的序列号为09/828.055的申请的部分继续申请。
技术领域
本发明总的说与半导体晶片的等离子体处理有关,更具体说是与用于等离子体灰化系统的低能离子产生和输运有关。
背景技术
在集成电路制造中,光刻技术用来在衬底上形成集成电路图形。一般是在半导体衬底上涂覆一种光刻胶材料,它的一部分被穿过掩模的紫外(UV)辐射曝光而将所需的电路图形成象于光刻胶上。未被UV辐射曝光的那些光刻胶则被一种处理溶液除掉,只把已曝光部分留在衬底上。在某些情下,在光稳定处理过程中用UV光对留下的曝光部分进行烘烤,以使光刻胶经得住后续处理。
在经过这种处理而形成IC元件之后,通常要把留下的光刻胶从晶片上去掉。另外,还必须把经过蚀刻等处理而可能留在衬底表面上的残留物去掉。一般是将光刻胶灰化成在有原子氧和别的气体存在时烧掉,并且将已灰化或焚烧的光刻胶和残留物一起从衬底表面上剥去或清洗掉。
把光刻胶和残留物除去的一种方法是在衬底表面上加射频(RF)激发或微波激发等离子体。在微波激发等离子体的情况下,等离子体是通过将一种气体混合物输运到经过谐振微波腔体的等离子体管而形成的。腔体内的微波能被引入等离子体管内,并激发管中的气体混合物而形成等离子体。含有反应物质的已激发的等离子体排出气体通过该管进入处理室,该室中装有将要被灰化的涂有光刻胶的半导体衬底。这种类型的灰化器称为顺流灰化器,在那里,涂有光刻胶的衬底被从等离子体发生器(称为逆流等离子体源)物理地除掉。
在对涂有光刻胶的晶片作较高剂量离子注入(例如,≥1*1015cm-2)的半导体应用中,光刻胶的顶层将变成一个高度碳化的外皮,它将阻止下面留下的光刻胶中被挡住的溶剂的扩散。因此,必须用灰化器小心地把这个外皮清除(一般在低的晶片温度下进行),以防止溶剂猛然流出外皮。不然的话,这种状态将会在光刻胶上产生一些泡泡(popper)。由于泡泡而往往留在晶片表面上的残留物很难清除,这可能成为晶片上和工作室内污染颗粒的一个潜在来源。但是,若采用单纯依赖原子物质的低温度处理从化学上将外皮清除的方法,其效率先天不高,而且会降低灰化器的生产率(如按每单位时间处理的晶片数目计算)。
有一种在低温下增加碳化外皮灰化率的已知方法是利用离子轰击。传统的灰化器中的离子源采用一块压板(或静电吸盘),一般通过RF源在板上加一个RF偏压。一旦激发后,RF源在晶片上面产生电容性放电。然后二次放电在晶片的正上方产生离子-电子对,于是离子被在晶片表面上方形成的电容性鞘层加速。由于电容性鞘层的电位可能高达40-50eV,故离子将以此高能撞击晶片。不过,如此高能量的离子轰击可能造成在晶片上形成的器件大范围损坏。另外,晶片受重离子轰击引起的大范围加热可能使得各个晶片工作时的温度不一致。
发明内容
上面说到的先前的方法的缺点和不足,可通过用于半导体晶片的等离子体处理中产生和输运低能离子的方法加以克服或减轻。在本发明的一个实施例中,该方法包括用一种气体物质形成等离子体而产生等离子体排出气体。等离子体排出气体后来被引入包含晶片的处理室内。等离子体排出气体的离子含量可以通过在等离子体引入处理室时在室内造成原发等离子体放电以激发一个辅助离子源而增加。然后把原发等离子体放电引入一个挡板组件内,当等离子体离开挡板组件时在那里产生二次等离子体放电。因而施加于二次等离子体放电内包含的离子上的鞘层电位强度(它是由原发等离子体放电产生的)下降。这样形成的下降了的电场强度将通过较低的电位加速离子,从而使对晶片的离子轰击能量不足以造成形成在晶片上的器件的毁坏。
在一个优选实施例中,是通过将辅助离子源置于使挡板组件处在原发等离子体放电和晶片之间的地方,来降低通过二次等离子体放电鞘层电位的离子的加速度。此外,挡板组件被构造成应使二次等离子体放电基本上为一个微射流形状。挡板组件包括一块上挡板和一块下挡板,后者还有许多带倒角的孔穿过其中,以使离子均匀地撞到晶片表面上,从而防止充电效应损坏晶片。
附图说明
参照几幅附图,其中相似的元件用类似的标号:
图1是等离子体源和辅助离子激发器的剖面示意图,它们可以用在光刻胶灰化器的处理室中;
图2是沿图1的2-2线取的辅助离子激发器的剖面图;
图3是图2的辅助离子激发器的另一种实施例的剖视图;
图4表示一个传统的等离子体灰化系统,它有一个辅助离子源,当一个RF偏压的静电吸盘被激发而产生离子时,在半导体晶片上直接产生一个高能电容性鞘层;
图5是图1的处理室的示意剖面图,表示按照本发明的一个实施例高能电容性鞘层与晶片相隔离;
图6是本发明一个实施例的挡板组件的顶视图;
图7是沿图6中7-7线切开的挡板组件的侧剖面图;
图8是沿图8中8-8线切开的挡板组件的下挡板顶剖面图;
图9是下挡板的带倒角孔的详细剖面图;
图10是通过下挡板带倒角孔的微射流低能离子形成示意图;
具体实施方式
这里介绍一种新型低能离子产生和输运机构;此机构在增强经过离子注入的光刻胶的碳化顶层以均匀方式化学分解和后续挥发的同时,使晶片免受由高鞘层电压引起的高能离子轰击可能造成的有害影响。这种软离子辅助技术具有在由灰化装置内的辅助离子源产生的离子和已经存在的化学物之间达到最佳协同作用的优点,从而产生比由其中每一个或二者简单相加时更快的反应。
我们相信,离子对物理动能和由反应释放的化学内能二者都有贡献,从而有效地降低表面反应的激活能。通过把晶片与普通电容放电所特有的高鞘层电位屏蔽开来,该离子在通过形成于晶片上的鞘层电位时经受到的电场要弱得多。因此,离子撞击晶片的能量不足以对晶片器件造成很大的损坏,但仍能产生将已被注入的光刻胶上的碳化外皮除掉的离子辅助化学过程。此方案还特别适合于不需要操作用的晶片吸盘的系统。
上述离子注入光刻胶只是软离子轰击的一个应用例,预期这种离子轰击还可以有许多别的用途。其中包括但不限于除去蚀刻后的残留物、去掉各向异性光刻胶、有选择地去掉存在于低k材料中的光刻胶、各向异性蚀刻,等等。
先来看图1,这是按本发明一个实施例的光刻胶灰化器10。该灰化器10包括一个气体箱12、一个微波功率发生器组件14、一个处理室16,在该室内有一个半导体衬底或工件(如晶片)18被加热,和一个处于处理室16底部的辐射加热组件20(用来加热晶片18)。采用一个热偶之类的温度探头24监测晶片18的温度。对要求真空条件的处理采用真空泵26将处理室16抽空。利用单色仪28来监测室内气体的光发射特性,以帮助决定过程是否终止。
工作时,将需要的气体混合物从气体箱12通过输入管道34引入等离子体管32中。该等离子体管32用石英或兰宝石一类材料制成。形成所需混合物的各种气体贮存在各分立供气站(未示)中,并通过阀36和管道38在气体箱12中混合。所需气体混合物的一个例子是带氧或无氧的以氮为基础的混合气体(主要是含低百分数氢的氮气)。需要时可以在气体混合物中加入四氟化碳一类的含氟气体,以改善某些处理的灰化速度。在此情况下,兰宝石是制造等离子体管32的优选材料。兰宝石能防止由于存在反应氟原子和等离子体中的离子而使等离子体管32的内表面发生腐蚀。关于在氟辅助剥离术中采用兰宝石的进一步详情可从Huffman等的美国专利6.082.374中找到,我们把它的内容引用于此作参考。
所需的气体混合物由微波功率发生器组件14激发形成反应等离子体,它在用辐射加热组件20加热后使处理室16中晶片上的光刻胶灰化。由磁控管40产生的微波能(频率约为2.45GHz)被耦合到波导42内。然后微波能通过环绕等离子体管32的微波箱44内的孔(未示)从波导送出。大家知道,也可以不用微波能,而用RF功率源(未示)代替磁控管40来激发所需的气体混合物。
在图1所示的实施例中,等离子体管32用氧化铝(Al2O3)或单晶兰宝石制造,以适应氟等离子体的化学性质。在兰宝石等离子体管外面包着一个外石英冷却管46,两者稍为离开一点距离。工作时将压力空气送入管32和46之间的间隙以有效地冷却等离子体管32。微波箱44被分成如虚线45所示的几段。将微波箱44分段可使微波功率沿着管32的长度均匀分布,并防止它过热,因为这样能防止加上适当的输入功率时沿其轴向长度产生过高的热梯度。与石英不同,兰宝石在不均匀加热时容易开裂。因而微波能通过石英管46和兰宝石等离子体管被分别送到每一段箱体44。有关这个箱体的进一步详情可从Kamarehi等人的美国专利5961851中找到,我们把它的内容引用过来作参考。作为替代,也可采用专门设计在TM012模谐振的单腔微波发生器。有关这种发生器的进一步详情可从Srivastava等人的美国专利6057645中找到,其内容被引用于此作参考。
不管等离子体管32是用什么材料(石英或兰宝石)或微波发生器是什么类型,在等离子体管32内的气体混合物都是被激发而产生等离子体。为了防止微波泄漏,在微波箱体44两端装有微阻挡器48和50。
如上所述,传统顺流灰化器是准备用来产生对衬底为逆流的等离子体,使得撞击衬底的余辉等离子体富含反应原子物质但离子浓度很低。因此,辅助离子源74产生另一个靠近衬底的等离子体,它基本上是已电离的物质打到晶片表面上。辅助离子源74可独立于微波功率发生器产生的等离子体而工作,以适应单个过程各个步骤对等离子体特性的不同要求(如低离子含量或高离子含量)。我们还将进一步了解,可以把辅助离子源74用于任何类型的以逆流等离子体为基础的灰化器。
在图1所示的实施例中,辅助离子源74是以环状天线组件76的形式提供的,它处于介质窗52和等离子体管32之间。也可以用平面天线。灰化器10中的介质窗52是用石英或类似介质材料(象陶瓷氧化铝Al2O3)制成,所以由环状天线组件76发射的信号可以穿过它进入处理室16。从图2能清楚看出,环状天线组件76有一个金属(如铜)环状天线78埋在基座80内。基座80最好用氟聚合物树脂来做,如聚四氟乙烯(PFTE),更普通的名称是特夫隆(Teflon)。Teflon是E.I.du pont de Nemours公司的注册商标。
最好把环状天线78装在处理室16外面,使它不接触高能等离子体,而且不产生颗粒或溅散金属污染问题。环状天线(一般为平面形)78应足够大,以盖住整个(或差不多整个)晶片。
天线78由RF信号发生器82的RF信号输出激发。在一个优选实施例中,RF信号工作在13.56MHz。不过,这个工作频率可以是ISM频带内允许的任何射频。在RF信号发生器87和天线78之间设有一个匹配网络84,它使从天线78反射回RF发生器82的功率尽可能小。RF发生器82和匹配网络84之间,以及匹配网络84和天线78之间的连接,可以用同轴电缆或者波导制成。还可以用其它的机构来激发环状线圈,例如独立的频率可调的RF发生器和放大器。
图3表示辅助离子源74另一种实施例,它是板状天线组件90的形式。环状天线组件90有一个金属(例如,铜或铝)平板天线92,它一般为圆形,且有一个中心孔93用来和等离子体管32对中,平板天线92(一般也是平面形)也应足够大,以将整个(或差不多整个)晶片18盖住。由天线组件90补充的等离子体放电基本上是电容性的,而由天线组件76补充的等离子体放电则是部分电感性的。
我们将会明白,虽然图1显示的是用RF源作为辅助激发器,但也可以用其它的激发源(如微波源)来作辅助离子激发器74。
再回到图1,被激发等离子体在通过辅助离子源74后,将经过介质窗52内的开口51进入处理室16。一个由上挡板54a和下挡板54b组成的带孔双层挡板组件54,将反应等离子体均匀地分配在被处理的晶片18表面上。辐射加热器组件20包括一些装在反射器64内的钨卤素灯58,反射器64将这些灯产生的热反射并重新指向处于处理室16内石英销68上的晶片18的背后。与晶片18紧密接触的热电偶24给灯58提供一个反馈回路,以保持对晶片18的有效温度控制。介质窗52的外面安装了一个或几个温度探头72(如热电偶),用以显示室温。
在普通的典型等离子体灰化器101的辅助发生器中,半导体晶片102一般固定在吸盘104上,如图4所示。当需要离子轰击时,一般在吸盘104上加上一定的RF电位(为了产生辅助离子)的偏压,并在晶片102上面直接产生电容性等离子体。这样就把晶片102和吸盘104包围在高能电容鞘层106内。此高能鞘层106把等离子体提高到比晶片更正的电位,因而离子(大部分是失去一个或几个电子的正电荷)被吸到晶片102的表面上。鞘层106的电位的作用是使离子加速至高能并轰击晶片102。
与此相反,本发明的实施例可以保护晶片不受形成的高能鞘层的作用。此外,还在晶片附近形成一个稠密的等离子体。现在参看图5,这是处理室16的一个剖面示意图,显示在等离子体处理过程中产生的电容性鞘层。安装在石英销68(还有热电偶24)上的晶片上不加RF电位偏压。一旦微波激发的等离子体(或者输入气体,如果微波等离子体还没有工作的话)通过开口51进入处理室16,RF天线74触发高能电容性(或者电感性和电容性的组合)放电及与之相关的高能鞘层106。但是,与普通处理室不同的是,鞘层106(由原发性RF放电而产生)是处在和晶片18相反的挡板组件54的另一边。因此,晶片18不会遭受由高能鞘层106加速的高能离子。代之以离子向晶片18扩散,并以一个与环绕晶片18的浮动电位鞘层108有关的最大能量撞击晶片18。应当指出,在图5中既没有显示形成在挡板组件54中挡板之间(及其中的孔)的鞘层,也没有显示等离子体。
现在来大体看看图6-10,它们显示了按本发明另一种形式的挡板组件的细节。同样,挡板组件54包含上挡板54a,它由石英或陶瓷一类介质做成。也可在上挡板54a上涂覆兰宝石,以使其抵御与氟有关的腐蚀。如图6的顶视图所示,上挡板54a在其中心有一个撞击板110。它让原发等离子体放电与之相撞击并经开口112流入上挡板54a,且以均匀方式进入内增压室113,如图7所示。挡板组件54还包含一个下挡板54b,它最好用经阳极化的铝等材料制成,而且被接地用作天线78或92的相对电容电极,下挡板54b中还有一些孔114,二次等离子体放电通过这些孔出去。下挡板54b中的孔114最好在数量上与上挡板54a的开口112相等,而且在空间上彼此相互对齐。
图8更详细地示出,在下挡板54b上有一系列大体上为V字形的位于孔114之间的通道或槽116。通过在其中循环的水或其它冷却材料,通道116为挡板组件提供一个冷却机构。必须指出,通道116和孔114在结构上应做到通道116没有被穿破而且不漏。
现在看图9,这是下挡板孔114的详细截面图。每个孔114在下挡板54b的内表面118(即增压室那面)上有第一直径d1,而在下挡板54b的外表面120(即晶片那面)有第二直径d2。第一直径d1比第二直径d2要大。可以看见,孔114在增压室那面一般为截头锥体状,向内倒90°角直至其内径等于d2。这大致在下挡板54b厚度的一半处能出现,因而孔114有截头锥体段117和园柱形段119两个部分。图9中d3代表孔114园柱形段119的高度。
最后,图10显示通过下挡板54b带倒角孔114的微射流的低能离子产生区。由于在挡板上面增压区内原发等离子体放电的结果,在孔114中形成一个局部鞘层122,从而产生一个电场。由原发性放电形成的电子和离子进入孔114内,并通过每个孔以及下挡板54b的外表面120形成一个电流路径(用虚线箭头123表示)。由于电流线(箭头123)接近孔114时收拢,电流密度增加,使孔内形成更稠密的等离子体,从而形成具有窄等离子体鞘层的等离子体喷口。微射流等离子体密度的增加还可能使中性粒子的温度增加,这使得孔内中性粒子的密度下降。这些影响组合在一起可能增加电子温度并改变微射流内放电的化学性质。此外,离子还被鞘层加速并撞击孔114的内表面,从而发射二次电子。与高的等离子密度相关的较窄鞘层使得电子在鞘层122内加速时不发生什么碰撞,从而在微射流内产生能量很高的电子。二次电子获得足够能量从而与中性气体分子发生碰撞而使它们电离,并通过孔产生微射流形放电126。
在接地挡板54b中形成的微射流具有在较大面积电极附近增加等离子体密度的独特的性质。在普通电容性耦合放电中,在较小的电极处等离子体密度最高,因为处在晶片处的小电极上电场较高且RF电流密度较大。利用带孔的下挡板54b作为有孔电极有利于微射流的形成,使得较大面积电极上的离子密度和功率耗散增加。密度较大使得等离子体鞘层的厚度减少,从而增加鞘层的电容,并增加下挡板54b和天线78或92之间的有效面积比。面积比增加使挡板的离子轰击能量减小,使得挡板的溅射及相应的晶片受溅射产物的污染最小。与此同时,在晶片附近的下挡板54b区域内增加的等离子体密度以及较高的电子温度使得晶片的离子轰击强度增加,并可能诱发独特的等离子体化学性质。因此,在晶片上可能发生表面反应,而如果没有微射流这可能是不会发生的。这种离子轰击是按均匀方式产生的,从而减轻了晶片上的充电效应。
微射流放电126是最终撞击晶片的离子的主要来源。由于晶片是偏置在其浮动电位(不象普通灰化器中是二次放电的RF电容电极鞘层电位),离子撞击晶片的能量不足以造成损害。另外,根据经验知道,较低的孔114宽高比(即直径d2除以高度d3)将使微射流放电增强。但若孔114的高度(也即下挡板54b的厚度)太小,则下挡板没法水冷。另一方面,如孔的直径做得太大以减少宽高比,则由挡板均匀分散等离子体放电的效果降低。因此本发明各实施例的孔114做成能提供较小的宽高比,以便有效地产生富含离子的等离子体并使它通过各孔传输至晶片,与此同时尚能有效地冷却挡板。
为在其中产生可靠的微射流所需的孔114的尺寸和宽高比是处理条件的函数,包括等离子体功率、压力和气体成分等一些参数。在此处理中,需要对孔114中的微射流照明以达到均匀处理。上述处理方式能实现得到这种均匀处理的对微射流的均匀和可靠的照明。它与蚀刻隧道等其它一些应用不同,后者利用一些不能可靠地形成微射流的带小孔的有孔板在隧道内产生一个无场区,在此区域内处理晶片受到的离子轰击最小。同样,本发明各实施例与以前其它的方法也不一样,后者利用一个带大孔的有孔板来做简单的电容性电极,此时等离子体穿过板中各个孔而不形成微射流。
虽然本发明是参考一个优选实施例来加以描述的,但本领域技术人员明白,可以对它作各种改变,而且可以用等效物来替代其中的元件,这并不超出本发明的范围。另外,可以作许多修改以使一种特定情况和材料适用于本发明的教导,这也不超出其基本范围。因此,本发明不只局限于这里提出的作为实现它的最佳模式的具体实施例,而应包括所有可落下面的权利要求书范围内的实施方案。

Claims (32)

1.一种工件等离子体处理系统,该系统包括:
一功率发生器组件,用来将气体激发成等离子体;
一处理室,用来处理安置在室内的工件;
一个等离子体管,用来将等离子体排出气体从该等离子体管送入所述处理室;
一位于所述处理室附近的辅助离子源,;
当所述辅助离子源被激发时,等离子体排出气体的离子含量增加;
一挡板组件,其位于所述处理室内的等离子体管和工件之间;
隔离装置,用来将工件与鞘层内的电场电位屏蔽开来,该鞘层是通过激发所述辅助离子源而产生的。
2.如权利要求1的系统,其中隔离装置还包括:
所述挡板组件被插在原发等离子体放电和工件之间,原发等离子体放电由激发辅助离子源产生的。
3.如权利要求2的系统,其中工件安装在处理室内的销上。
4.如权利要求1的系统,其中所述挡板组件还包括:
一上挡板,板上贯穿形成多个第一孔;
一下挡板,板上贯穿形成多个第二孔,该下挡板通过一内增压室与上挡板隔开;
所述多个第二孔每一个在其一端有一第一直径,在其相对端有一第二直径,其中第一直径大于第二直径。
5.如权利要求4的系统,其中:
所述多个第二孔在下挡板内限定向内的锥体内表面,它从第一所述直径开始逐渐向内过渡到第二直径。
6.如权利要求5的系统,其中:
所述多个第二孔包括一截锥体段和一园柱体段。
7.如权利要求4的系统,还包括:
多个通道,其穿过下挡板延伸,并能容纳在其中循环的液体冷却剂。
8.如权利要求4的系统,其中上挡板包括石英、兰宝石、陶瓷或涂覆兰宝石的石英中的一种。
9.如权利要求4的系统,其中下挡板是由经阳极化的铝制造的。
10.如权利要求4的系统,还包括:
一撞击盘,其处在该上挡板的顶部,该盘能让等离子体放电撞击到其上,并将放电导引穿过所述多个第一孔。
11.一种等离子体处理系统的挡板组件,包括:
一上挡板,它具有穿过它形成的多个第一孔;
一下挡板,它具有穿过它形成的多个第二孔,它通过内增压室与上挡板分开;
所述多个第二孔的每一个在其一端具有第一直径,在其相对一端具有第二直径,其中第一直径大于第二直径。
12.如权利要求11的挡板组件,其中:
所述多个第二孔在下挡板内限定向内的锥体内表面,从所述第一直径开始向内渐变成第二直径。
13.如权利要求12的挡板组件,其中:
所述多个第二孔包括一截锥体段和一园柱体段。
14.如权利要求11的挡板组件,还包括:
多个通道,其穿过下挡板延伸,并能容纳在其中循环的液体冷却剂。
15.如权利要求11的挡板组件,其中上挡板包括石英、兰宝石、陶瓷或涂覆兰宝石的石英中的一种。
16.如权利要求11的挡板组件,其中所述下挡板由导电材料制成。
17.如权利要求16的挡板组件,其中所述导电材料为阳极化铝,且所述下挡板接地。
18.如权利要求11的挡板组件,其中所述多个第一孔和第二孔彼此对齐。
19.如权利要求11的挡板组件,还包括:
一撞击盘,其处在所述上挡板的顶部,该盘能让等离子体放电撞击到其上,并将所述放电导引穿过所述多个第一孔。
20.如权利要求11的挡板组件,其中所述多个沟槽穿过所述下挡板大致以V字形延伸。
21.一种产生和输运用于半导体晶片等离子体处理中的低能离子的方法,包括:
由气体物质产生等离子体以产生用于引入包含晶片的处理室中的等离子体排出气体;
通过在等离子体引入处理室时激发一辅助离子源而增加等离子体排出气体的离子含量,从而在室内产生原发等离子体放电;
将原发等离子体放电导入挡板组件内,从而产生二次等离子体放电,并从挡板组件中出去;
降低作用在二次等离子体放电内包含的离子上的电场强度,所述电场是由激发辅助离子源而产生的;
其中由于降低了作用在二次等离子体放电内包含的离子上的电场强度使得所述离子轰击晶片的能量不足以造成对形成在晶片上的半导体器件的损坏。
22.如权利要求21的方法,其中降低作用在二次等离子体放电内包含的离子上的电场强度还包括:
将辅助离子源安置得使挡板组件处于原发等离子体放电和晶片之间。
23.如权利要求21的方法,还包括:
将半导体晶片安装在设于处理室内的销上。
24.如权利要求21的方法,还包括:
将挡板组件配置成使二次等离子体放电的形状基本上为一个微射流构造。
25.如权利要求24的方法,其中:
所述挡板组件包括一上挡板和一下挡板;
且所述下挡板中还有多个穿过它的带倒角的孔。
26.如权利要求25的方法,其中所述下挡板中的带倒角的孔包括一截锥体段和一园柱体段。
27.如权利要求25的方法,其中所述上、下挡板将晶片与由所述原发等离子体放电产生的高能电容性鞘层隔离。
28.如权利要求25的方法,其中所述多个带倒角的孔与所述上挡板内的多个孔对齐。
29.如权利要求25的方法,还包括:
由辅助离子源通过下挡板内的多个带倒角的孔产生微射流使得低能离子均匀地输运到半导体晶片上。
30.如权利要求25的方法,其中所述上挡板包括石英、兰宝石、陶瓷或涂覆兰宝石的石英制造。
31.如权利要求25的方法,其中所述下挡板用导电材料制造。
32.如权利要求31的方法,其中所述导电材料为经过阳极化的铝,且所述下挡板接地。
CNB028141210A 2001-07-13 2002-07-12 等离子体处理中采用微射流的低能离子产生和输运方法和装置 Expired - Lifetime CN100474495C (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/905,043 US6761796B2 (en) 2001-04-06 2001-07-13 Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
US09/905,043 2001-07-13
PCT/US2002/023232 WO2003007326A2 (en) 2001-07-13 2002-07-12 Method and apparatus for micro-jet enabled, low energy ion generation and transport in plasma processing

Publications (2)

Publication Number Publication Date
CN1554106A true CN1554106A (zh) 2004-12-08
CN100474495C CN100474495C (zh) 2009-04-01

Family

ID=25420214

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB028141210A Expired - Lifetime CN100474495C (zh) 2001-07-13 2002-07-12 等离子体处理中采用微射流的低能离子产生和输运方法和装置

Country Status (9)

Country Link
US (2) US6761796B2 (zh)
EP (1) EP1410418B1 (zh)
JP (1) JP5041114B2 (zh)
KR (1) KR100971559B1 (zh)
CN (1) CN100474495C (zh)
AU (1) AU2002313697A1 (zh)
DE (1) DE60235813D1 (zh)
TW (1) TW559988B (zh)
WO (1) WO2003007326A2 (zh)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1841214B (zh) * 2005-03-31 2011-11-30 兰姆研究公司 在抗蚀剂剥离室中从衬底上除去抗蚀剂的方法
CN104103485A (zh) * 2013-04-15 2014-10-15 中微半导体设备(上海)有限公司 电感耦合等离子体装置
CN106465530A (zh) * 2014-03-27 2017-02-22 Mks仪器股份有限公司 具有改进的功率均匀性的微波等离子体施加器
CN107887249A (zh) * 2017-11-14 2018-04-06 珠海宝丰堂电子科技有限公司 等离子蚀刻装置及其放电腔体
CN109390197A (zh) * 2017-08-08 2019-02-26 北京北方华创微电子装备有限公司 预清洗腔室和半导体加工设备
CN110047724A (zh) * 2019-04-22 2019-07-23 江苏鲁汶仪器有限公司 一种离子束刻蚀用双层挡板
CN114231936A (zh) * 2021-11-09 2022-03-25 中山市博顿光电科技有限公司 防污染装置、电离腔体及射频离子源

Families Citing this family (167)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6176977B1 (en) 1998-11-05 2001-01-23 Sharper Image Corporation Electro-kinetic air transporter-conditioner
US7695690B2 (en) 1998-11-05 2010-04-13 Tessera, Inc. Air treatment apparatus having multiple downstream electrodes
US20030206837A1 (en) 1998-11-05 2003-11-06 Taylor Charles E. Electro-kinetic air transporter and conditioner device with enhanced maintenance features and enhanced anti-microorganism capability
US20050210902A1 (en) 2004-02-18 2005-09-29 Sharper Image Corporation Electro-kinetic air transporter and/or conditioner devices with features for cleaning emitter electrodes
JP4236882B2 (ja) * 2001-08-01 2009-03-11 東京エレクトロン株式会社 ガス処理装置およびガス処理方法
CN1643179B (zh) * 2002-01-17 2010-05-26 松德沃技术公司 Ald装置和方法
KR100447248B1 (ko) * 2002-01-22 2004-09-07 주성엔지니어링(주) Icp 에쳐용 가스 확산판
US20040118348A1 (en) * 2002-03-07 2004-06-24 Mills Randell L.. Microwave power cell, chemical reactor, and power converter
JP4175021B2 (ja) * 2002-05-01 2008-11-05 株式会社島津製作所 高周波誘導結合プラズマ生成装置およびプラズマ処理装置
US20050212626A1 (en) * 2002-05-07 2005-09-29 Toshiyuki Takamatsu High frequency reaction processing system
JP4338355B2 (ja) * 2002-05-10 2009-10-07 東京エレクトロン株式会社 プラズマ処理装置
US7176469B2 (en) * 2002-05-22 2007-02-13 The Regents Of The University Of California Negative ion source with external RF antenna
US20040235299A1 (en) * 2003-05-22 2004-11-25 Axcelis Technologies, Inc. Plasma ashing apparatus and endpoint detection process
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US7724492B2 (en) 2003-09-05 2010-05-25 Tessera, Inc. Emitter electrode having a strip shape
US7906080B1 (en) 2003-09-05 2011-03-15 Sharper Image Acquisition Llc Air treatment apparatus having a liquid holder and a bipolar ionization device
KR100450643B1 (ko) * 2003-09-26 2004-10-01 코닉시스템 주식회사 플라즈마 급속열처리 장치
US7071118B2 (en) * 2003-11-12 2006-07-04 Veeco Instruments, Inc. Method and apparatus for fabricating a conformal thin film on a substrate
US7767169B2 (en) 2003-12-11 2010-08-03 Sharper Image Acquisition Llc Electro-kinetic air transporter-conditioner system and method to oxidize volatile organic compounds
US7892357B2 (en) * 2004-01-12 2011-02-22 Axcelis Technologies, Inc. Gas distribution plate assembly for plasma reactors
US20050241767A1 (en) * 2004-04-30 2005-11-03 Ferris David S Multi-piece baffle plate assembly for a plasma processing system
US20050250346A1 (en) * 2004-05-06 2005-11-10 Applied Materials, Inc. Process and apparatus for post deposition treatment of low k dielectric materials
US8349128B2 (en) 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
US20060000802A1 (en) * 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US20060016333A1 (en) 2004-07-23 2006-01-26 Sharper Image Corporation Air conditioner device with removable driver electrodes
KR100610019B1 (ko) * 2005-01-11 2006-08-08 삼성전자주식회사 플라즈마 분배장치 및 이를 구비하는 건식 스트리핑 장치
US7601242B2 (en) * 2005-01-11 2009-10-13 Tokyo Electron Limited Plasma processing system and baffle assembly for use in plasma processing system
US20060249175A1 (en) * 2005-05-09 2006-11-09 Applied Materials, Inc. High efficiency UV curing system
US20060251827A1 (en) * 2005-05-09 2006-11-09 Applied Materials, Inc. Tandem uv chamber for curing dielectric materials
US7840272B2 (en) 2005-06-03 2010-11-23 Medrelief Inc. Methods for modulating osteochondral development using bioelectrical stimulation
JP2007042958A (ja) * 2005-08-05 2007-02-15 Sumitomo Electric Ind Ltd ウェハプローバ用ウェハ保持体およびそれを搭載したウェハプローバ
JP4598639B2 (ja) * 2005-09-27 2010-12-15 Okiセミコンダクタ株式会社 半導体装置の製造方法
CN100482585C (zh) * 2005-10-24 2009-04-29 鸿富锦精密工业(深圳)有限公司 碳纳米管制备装置
US7833322B2 (en) 2006-02-28 2010-11-16 Sharper Image Acquisition Llc Air treatment apparatus having a voltage control device responsive to current sensing
US7605063B2 (en) * 2006-05-10 2009-10-20 Lam Research Corporation Photoresist stripping chamber and methods of etching photoresist on substrates
KR100835355B1 (ko) * 2006-07-25 2008-06-04 삼성전자주식회사 플라즈마를 이용한 이온주입장치
US20080066866A1 (en) * 2006-09-14 2008-03-20 Martin Kerber Method and apparatus for reducing plasma-induced damage in a semiconductor device
US7909961B2 (en) * 2006-10-30 2011-03-22 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7943005B2 (en) * 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
JP4160104B1 (ja) * 2007-08-16 2008-10-01 株式会社アルバック アッシング装置
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
JP4831061B2 (ja) * 2007-12-26 2011-12-07 パナソニック株式会社 電子部品実装用装置および電子部品実装用装置の非常停止方法
US8252114B2 (en) * 2008-03-28 2012-08-28 Tokyo Electron Limited Gas distribution system and method for distributing process gas in a processing system
KR101046335B1 (ko) * 2008-07-29 2011-07-05 피에스케이 주식회사 할로우 캐소드 플라즈마 발생방법 및 할로우 캐소드플라즈마를 이용한 대면적 기판 처리방법
US20100130017A1 (en) * 2008-11-21 2010-05-27 Axcelis Technologies, Inc. Front end of line plasma mediated ashing processes and apparatus
KR101110080B1 (ko) * 2009-07-08 2012-03-13 주식회사 유진테크 확산판을 선택적으로 삽입설치하는 기판처리방법
KR101450015B1 (ko) 2009-09-25 2014-10-13 어플라이드 머티어리얼스, 인코포레이티드 유도 결합 플라즈마 반응기에서의 고효율 가스 해리 방법 및 장치
US9252069B2 (en) * 2010-08-31 2016-02-02 Teledyne Scientific & Imaging, Llc High power module cooling system
KR101992702B1 (ko) * 2010-10-28 2019-06-25 어플라이드 머티어리얼스, 인코포레이티드 고순도 알루미늄 코팅 경질 양극산화
US20120108072A1 (en) * 2010-10-29 2012-05-03 Angelov Ivelin A Showerhead configurations for plasma reactors
US8133349B1 (en) * 2010-11-03 2012-03-13 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
US10283321B2 (en) * 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20120180954A1 (en) * 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
KR101937115B1 (ko) 2011-03-04 2019-01-09 노벨러스 시스템즈, 인코포레이티드 하이브리드 세라믹 샤워헤드
US9105705B2 (en) * 2011-03-14 2015-08-11 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US8802545B2 (en) * 2011-03-14 2014-08-12 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9129778B2 (en) 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
TWI505400B (zh) * 2011-08-26 2015-10-21 Lg Siltron Inc 基座
US9095038B2 (en) * 2011-10-19 2015-07-28 Advanced Micro-Fabrication Equipment, Inc. Asia ICP source design for plasma uniformity and efficiency enhancement
US20140000810A1 (en) * 2011-12-29 2014-01-02 Mark A. Franklin Plasma Activation System
KR101495288B1 (ko) * 2012-06-04 2015-02-24 피에스케이 주식회사 기판 처리 장치 및 방법
US9315899B2 (en) 2012-06-15 2016-04-19 Novellus Systems, Inc. Contoured showerhead for improved plasma shaping and control
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
JP2014049529A (ja) * 2012-08-30 2014-03-17 Tokyo Electron Ltd プラズマ処理装置及び金属の酸化膜を洗浄する方法
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9484214B2 (en) * 2014-02-19 2016-11-01 Lam Research Corporation Systems and methods for improving wafer etch non-uniformity when using transformer-coupled plasma
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9837254B2 (en) 2014-08-12 2017-12-05 Lam Research Corporation Differentially pumped reactive gas injector
US10825652B2 (en) 2014-08-29 2020-11-03 Lam Research Corporation Ion beam etch without need for wafer tilt or rotation
US9406535B2 (en) 2014-08-29 2016-08-02 Lam Research Corporation Ion injector and lens system for ion beam milling
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9536748B2 (en) 2014-10-21 2017-01-03 Lam Research Corporation Use of ion beam etching to generate gate-all-around structure
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10204795B2 (en) * 2016-02-04 2019-02-12 Applied Materials, Inc. Flow distribution plate for surface fluorine reduction
US9779955B2 (en) 2016-02-25 2017-10-03 Lam Research Corporation Ion beam etching utilizing cryogenic wafer temperatures
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11022383B2 (en) 2016-06-16 2021-06-01 Teledyne Scientific & Imaging, Llc Interface-free thermal management system for high power devices co-fabricated with electronic circuit
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
TWM563652U (zh) 2016-10-13 2018-07-11 美商應用材料股份有限公司 用於電漿處理裝置的腔室部件及包含其之裝置
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US9767992B1 (en) * 2017-02-09 2017-09-19 Lyten, Inc. Microwave chemical processing reactor
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10847374B2 (en) 2017-10-31 2020-11-24 Lam Research Corporation Method for etching features in a stack
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10361092B1 (en) 2018-02-23 2019-07-23 Lam Research Corporation Etching features using metal passivation
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
JP7344227B2 (ja) * 2018-06-12 2023-09-13 アジレント・テクノロジーズ・インク 取り外し可能な一体のインジェクターを備えたicp分光器トーチ
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11332827B2 (en) * 2019-03-27 2022-05-17 Applied Materials, Inc. Gas distribution plate with high aspect ratio holes and a high hole density
US20210305024A1 (en) * 2020-03-24 2021-09-30 Texas Instruments Incorporated Plasma cleaning for packaging electronic devices
CN113707528B (zh) * 2020-05-22 2023-03-31 江苏鲁汶仪器股份有限公司 一种离子源挡片、离子刻蚀机及其使用方法
US11448977B1 (en) * 2021-09-24 2022-09-20 Applied Materials, Inc. Gas distribution plate with UV blocker at the center

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6015931A (ja) * 1983-07-07 1985-01-26 Fujitsu Ltd 反応性イオンエツチング方法
JPS62108525A (ja) * 1985-11-06 1987-05-19 Hitachi Ltd 表面処理方法およびその装置
JPH01272769A (ja) * 1987-12-30 1989-10-31 Texas Instr Japan Ltd プラズマ発生装置
US4950376A (en) * 1988-06-21 1990-08-21 Agency Of Industrial Science & Technology Method of gas reaction process control
JPH02114530A (ja) * 1988-10-25 1990-04-26 Mitsubishi Electric Corp 薄膜形成装置
US4960540A (en) * 1989-08-24 1990-10-02 Friel Jr Thomas C Alkoxylated bis-amide defoaming compounds
JPH04253328A (ja) * 1991-01-29 1992-09-09 Hitachi Ltd 表面処理装置
KR100276093B1 (ko) * 1992-10-19 2000-12-15 히가시 데쓰로 플라스마 에칭방법
JPH06252097A (ja) * 1993-02-25 1994-09-09 Hitachi Ltd プラズマエッチング装置
US5716534A (en) 1994-12-05 1998-02-10 Tokyo Electron Limited Plasma processing method and plasma etching method
JP3353514B2 (ja) * 1994-12-09 2002-12-03 ソニー株式会社 プラズマ処理装置、プラズマ処理方法及び半導体装置の作製方法
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
US5997962A (en) * 1995-06-30 1999-12-07 Tokyo Electron Limited Plasma process utilizing an electrostatic chuck
US5965034A (en) * 1995-12-04 1999-10-12 Mc Electronics Co., Ltd. High frequency plasma process wherein the plasma is executed by an inductive structure in which the phase and anti-phase portion of the capacitive currents between the inductive structure and the plasma are balanced
JPH09219391A (ja) * 1996-02-08 1997-08-19 Hitachi Ltd レジスト膜の除去方法及び装置
US5961851A (en) 1996-04-02 1999-10-05 Fusion Systems Corporation Microwave plasma discharge device
US5788778A (en) * 1996-09-16 1998-08-04 Applied Komatsu Technology, Inc. Deposition chamber cleaning technique using a high power remote excitation source
EP0868836A4 (en) 1996-09-24 2002-02-13 Fusion Systems Corp FLUORIDE STRIPPING AND REMOVAL OF RESIDUE IN A CALCINATOR WITH SAPPHIRE PLASMA TUBE FOR DOWNSTREAM TREATMENT
JP3393399B2 (ja) * 1996-09-24 2003-04-07 アクセリス テクノロジーズ インコーポレーテッド アッシング方法
US5980638A (en) 1997-01-30 1999-11-09 Fusion Systems Corporation Double window exhaust arrangement for wafer plasma processor
US5968275A (en) 1997-06-25 1999-10-19 Lam Research Corporation Methods and apparatus for passivating a substrate in a plasma reactor
US6057645A (en) 1997-12-31 2000-05-02 Eaton Corporation Plasma discharge device with dynamic tuning by a movable microwave trap
EP1055249A1 (en) * 1998-02-09 2000-11-29 Applied Materials, Inc. Plasma assisted processing chamber with separate control of species density
US6093281A (en) 1998-02-26 2000-07-25 International Business Machines Corp. Baffle plate design for decreasing conductance lost during precipitation of polymer precursors in plasma etching chambers
US6203657B1 (en) 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US6182603B1 (en) * 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
JP2000100790A (ja) * 1998-09-22 2000-04-07 Canon Inc プラズマ処理装置及びそれを用いた処理方法
US6217704B1 (en) * 1998-09-22 2001-04-17 Canon Kabushiki Kaisha Plasma processing apparatus
US6263830B1 (en) * 1999-04-12 2001-07-24 Matrix Integrated Systems, Inc. Microwave choke for remote plasma generator
US6239553B1 (en) * 1999-04-22 2001-05-29 Applied Materials, Inc. RF plasma source for material processing
US6259072B1 (en) * 1999-11-09 2001-07-10 Axcelis Technologies, Inc. Zone controlled radiant heating system utilizing focused reflector
US6225745B1 (en) * 1999-12-17 2001-05-01 Axcelis Technologies, Inc. Dual plasma source for plasma process chamber
US6432255B1 (en) * 2000-01-31 2002-08-13 Applied Materials, Inc. Method and apparatus for enhancing chamber cleaning
WO2001072502A1 (en) * 2000-03-24 2001-10-04 Generis Gmbh Method for manufacturing a structural part by deposition technique

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1841214B (zh) * 2005-03-31 2011-11-30 兰姆研究公司 在抗蚀剂剥离室中从衬底上除去抗蚀剂的方法
CN104103485A (zh) * 2013-04-15 2014-10-15 中微半导体设备(上海)有限公司 电感耦合等离子体装置
CN104103485B (zh) * 2013-04-15 2016-09-07 中微半导体设备(上海)有限公司 电感耦合等离子体装置
CN106465530A (zh) * 2014-03-27 2017-02-22 Mks仪器股份有限公司 具有改进的功率均匀性的微波等离子体施加器
CN106465530B (zh) * 2014-03-27 2019-01-25 Mks仪器股份有限公司 具有改进的功率均匀性的微波等离子体施加器
CN109390197A (zh) * 2017-08-08 2019-02-26 北京北方华创微电子装备有限公司 预清洗腔室和半导体加工设备
CN107887249A (zh) * 2017-11-14 2018-04-06 珠海宝丰堂电子科技有限公司 等离子蚀刻装置及其放电腔体
CN107887249B (zh) * 2017-11-14 2019-06-04 珠海宝丰堂电子科技有限公司 等离子蚀刻装置及其放电腔体
CN110047724A (zh) * 2019-04-22 2019-07-23 江苏鲁汶仪器有限公司 一种离子束刻蚀用双层挡板
CN110047724B (zh) * 2019-04-22 2021-07-27 江苏鲁汶仪器有限公司 一种离子束刻蚀用双层挡板
CN114231936A (zh) * 2021-11-09 2022-03-25 中山市博顿光电科技有限公司 防污染装置、电离腔体及射频离子源

Also Published As

Publication number Publication date
CN100474495C (zh) 2009-04-01
US6761796B2 (en) 2004-07-13
JP5041114B2 (ja) 2012-10-03
WO2003007326A2 (en) 2003-01-23
US20020144785A1 (en) 2002-10-10
EP1410418A2 (en) 2004-04-21
DE60235813D1 (de) 2010-05-12
EP1410418B1 (en) 2010-03-31
US7037846B2 (en) 2006-05-02
JP2004535672A (ja) 2004-11-25
AU2002313697A1 (en) 2003-01-29
TW559988B (en) 2003-11-01
WO2003007326A3 (en) 2003-12-11
US20040140053A1 (en) 2004-07-22
KR100971559B1 (ko) 2010-07-20
KR20040021621A (ko) 2004-03-10

Similar Documents

Publication Publication Date Title
CN100474495C (zh) 等离子体处理中采用微射流的低能离子产生和输运方法和装置
JP7187500B2 (ja) 自己共振装置を備えたプラズマ点火装置および方法
US4673456A (en) Microwave apparatus for generating plasma afterglows
US5639519A (en) Method for igniting low pressure inductively coupled plasma
US4065369A (en) Activated gas reaction apparatus & method
US7141756B2 (en) Microwave plasma processing apparatus, plasma ignition method, plasma forming method, and plasma processing method
US4711767A (en) Plasma reactor with voltage transformer
KR920005349B1 (ko) 드라이에칭 방법과 그 방법에 사용되는 장치
KR100385638B1 (ko) 폴리카보네이트 에칭 마스크를 이용하는 플라즈마 에칭 방법
EP0868836A1 (en) Fluorine assisted stripping and residue removal in sapphire downstream plasma asher
WO1998014036A9 (en) Fluorine assisted stripping and residue removal in sapphire downstream plasma asher
JP3150056B2 (ja) プラズマ処理装置
US20010025607A1 (en) Microwave plasma reactor and method
JP3215461B2 (ja) マイクロ波励起型紫外ランプ装置
JP2003168681A (ja) マイクロ波プラズマ処理装置および処理方法
Yamaguchi et al. Spatial distributions of electron, CF, and CF2 radical densities and gas temperature in DC-superposed dual-frequency capacitively coupled plasma etch reactor employing cyclic-C4F8/N2/Ar gas
US6479390B1 (en) Method of etching material film formed on semiconductor wafer using surface wave coupled plasma etching apparatus
JP2004154654A (ja) プラズマ反応装置および方法
US5641359A (en) Process gas delivery system
TW533752B (en) Plasma source having supplemental energizer for ion enhancement
JP2001156057A (ja) 半導体製造装置
JP3175410B2 (ja) 紫外線光源
JP2009016434A (ja) レジスト除去装置
US6710333B2 (en) Process for structural modification of surfaces by treatment with an atomic or molecular gaseous medium excited to metastable level
Bardsley Industrial applications of low temperature plasmas

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
ASS Succession or assignment of patent right

Owner name: LAM RES CORP.

Free format text: FORMER OWNER: ESSELIS TECHNOLOGIES CO.

Effective date: 20141028

C41 Transfer of patent application or patent right or utility model
TR01 Transfer of patent right

Effective date of registration: 20141028

Address after: California, USA

Patentee after: LAM RESEARCH Corp.

Address before: Massachusetts, USA

Patentee before: AXCELIS TECHNOLOGIES, Inc.

CX01 Expiry of patent term

Granted publication date: 20090401

CX01 Expiry of patent term