CN1591774A - 包含低热质量导热烘烤盘的合成烘烤/冷却装置 - Google Patents

包含低热质量导热烘烤盘的合成烘烤/冷却装置 Download PDF

Info

Publication number
CN1591774A
CN1591774A CNA2004100058297A CN200410005829A CN1591774A CN 1591774 A CN1591774 A CN 1591774A CN A2004100058297 A CNA2004100058297 A CN A2004100058297A CN 200410005829 A CN200410005829 A CN 200410005829A CN 1591774 A CN1591774 A CN 1591774A
Authority
CN
China
Prior art keywords
temperature
heating element
workpiece
cooling
heating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2004100058297A
Other languages
English (en)
Inventor
基思·H.·阿姆斯特朗
克芬·G.·坎普
法奎·(弗朗克)良
纳塔拉詹·拉曼南
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tel Manufacturing and Engineering of America Inc
Original Assignee
FSI International Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by FSI International Inc filed Critical FSI International Inc
Publication of CN1591774A publication Critical patent/CN1591774A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B1/00Details of electric heating devices
    • H05B1/02Automatic switching arrangements specially adapted to apparatus ; Control of heating devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction

Abstract

本发明提供的系统和方法使得能够在宽的温度范围如0℃~350℃的温度曲线上迅速地轮转工件而无需在分离的烘烤和冷却机构之间举递工件。本发明部分基于在烘烤和冷却操作期间利用低热容的导热加热元件(20)支撑工件,例如微电子器件,这一原理。把工件支撑在一个表面上的同时,可以使加热元件的另一个表面与相对大热质量的冷却元件热接触或脱离热接触,以便很容易地在烘烤和冷却之间转换。简单的机制在于既可以将加热元件(20)和冷却盘(26)分开以实现最迅速地加热,也可以把加热元件和冷却盘结合在一起以实现最快的冷却。这种方法完全消除了对依赖于工件处理机构以便把微电子器件从加热元件举递到分开的冷却盘的需要。这种方法还可以在微电子期间之下从一个方向进行冷却和烘烤。

Description

包含低热质量导热烘烤盘 的合成烘烤/冷却装置
本申请是名称为“包含低热质量导热烘烤盘的合成烘烤/冷却装置”、国际申请日为1999年3月2日、申请号为99805052.0的申请的分案申请。
本发明涉及一种通过温度特性加工工件的系统和方法,其中温度特性最好至少包括一个加热阶段和/或至少一个冷却阶段。具体地说,本发明涉及一种烘烤/冷却装置,该装置通过温度特性加工工件,温度特性主要包括在温度从一个平衡温度变到另一个平衡温度时以迅速地响应将工件保持在一个或多个精确的平衡温度。
许多产品的制造需要对温度和温度范围做精确地控制。例如,诸如集成电路、平板显示器、薄膜头等微电子装置的制造包括把一些材料层如光阻材料层施加到衬底(如集成电路中的半导体薄片)的表面。具体地说,在加工过程中必须先烘烤再冷却光阻材料以凝固或固化光阻材料的选取部分。烘烤和冷却必须精确地控制在准确的温度限度之内以确保光阻材料的选取部分以较好的分辨度恰当地设置。其它含有准确地温度限度的生产和加工包括医学产品的生产和加工,包括药品的制备、设备的消毒和生物工程;加速的生物活体测试法;注模操作;压电装置、摄影胶片的加工;诸如溅射和镀膜加工的材料沉积加工;微机械制造;喷墨打印;燃料喷射等等。
微电子装置的烘烤和冷却操作主要包括通过理想的温度特性循环工件,工件保持在升高的平衡温度、再冷却到较低的平衡温度,并/或经过平衡温度之间变斜率(以℃/s为单位)的温度斜线。为了达到烘烤和冷却,已知的烘烤/冷却操作包括单独的烘烤和冷却盘,单独的烘烤和冷却盘需要利用工件传输机构以便从一个盘向另一个盘举递工件。这种方法存在一些缺点。首先,在烘烤和冷却盘之间传递时的工件温度不能控制。第二,不能精确地控制完成烘烤/冷却过程所需的整个时间,因为向各个盘移来送往所需的时间是变化的。第三,所需的移动花费时间并因而降低制造过程的产量。第四,因为从一个盘向另一个盘传输工件期间需要额外的组件处理工件,所以设备的成本比实际必需的高。第五,从一个盘向另一个盘的机械运动导致工件可能被污染。所以希望能够不需要从一个烘烤盘向分离的冷却盘或从冷却盘向分离的烘烤盘举递工件就能够达到工件的烘烤和冷却。
烘烤主要包括把工件加热到一个特定升高的平衡温度并再在特定的平衡温度下保持确定的时间。制造加工的产量受工件可被加热到平衡温度的速率影响。较慢的温度等变率需要较长的时间达到温度平衡并因此导致较低的制造产量。类似地,冷却主要包括把工件从较高的温度降温到较低的冷却平衡温度。另外,较低的冷却率需要较长的时间完全冷却并因而还导致较低的制造产量。因此,为了提高产量,希望提高工件温度在烘烤/冷却期间的变化速率,使得工件可以较快地到达加热或冷却温度。还希望能够精确地控制工件温度在烘烤和冷却期间的变化速率。
既使烘烤和冷却速率提高,仍需要精确度控制工件在整个/冷却过程中的温度,以确保满足工件制造所规定的精确温度。例如,如果冷却和/或烘烤速率高达1℃/s~50℃/s,尤其是5℃/s~15℃/s时,则需要控制方法足够灵活以能够控制与这种迅速的温度变化匹配的工件温度。当前用于加热器控制的常规的控制方法总的缺乏依据需求的灵活性,不能够保持具有这种能力的烘烤/冷却状态。
关于微电子装置的制造,通行的方法包括使用较大型的烘烤盘。大型烘烤盘一般需要较长的时间周期,如高达30分钟,以便从一个温度变到一个新的平衡温度。因此,为了避免必需要等如此之长的时间来改变烘烤温度,通行的方法通常包括使用多个设置在不同的平衡温度的烘烤盘、把工件从一个烘烤盘传递到下一个的工件处理器和固定的慢的温度等变率。
本发明提供的系统和方法使得能够在宽的温度范围如0℃~350℃的温度曲线上迅速地轮转工件而无需在分离的烘烤和冷却机构之间举递工件。本发明的系统和方法有利于处理加工微电子装置,如平板显示器,用于计算机盘驱动器的薄膜头,和半导体器件;包括药品的制备、设备的消毒和生物工程、加速的生物活体测试法的医学产品的生产和加工;注模操作;压电装置、摄影胶片的加工;诸如溅射和镀膜加工的材料沉积加工;微机械制造;喷墨打印;燃料喷射等等。
本发明的系统和方法最好用于处理微电子装置。在这方面,本发明以/冷却系统形式的实施例具体地用做一个组合到Chaska,Minnesota的FSI国际有限公司制造的POLARIS微刻簇中的组件。POLARIS微刻簇是一种包括多个工作站的工具簇,用于制作形成在半导体薄片衬底上的集成电路。POLARIS微刻簇的特点在1996年11月1日的“POLARIS2100/2200微刻簇产品规格506026-001Rev.A”中做了描述,该公开的内容在此引为参考。
本发明使得温度特性、烘烤的平衡温度和冷却的平衡温度有精细、灵活的规定。例如,当系统用于处理形成在半导体薄片衬底(也称作“半导体器件”)上的集成电路时,可以把整个工件的温度均匀性控制在0.2℃的平衡状态,并且整个时间的平均工件温度控制在0.01℃。本系统显著地简化,同时在烘烤/冷却能力和性能方面提出了实质性的改进。
本发明的部分以这一原理为基础:在烘烤和冷却操作器件用低热质量热导盘支撑工件,如半导体器件。盘的一个表面支撑工件,另一个表面可以进入或脱离与较热的大型冷却盘的接触,从而很容易地在烘烤和冷却操作之间转换。简单的机构都是需要物理地分开烘烤盘和冷却盘以实现最快的加热,或者简单的机构邻接烘烤盘和冷却盘以实现最快的冷却。这种方法完全消除对依赖工件处理机构以便把工件从一个烘烤盘举递到分离的冷却盘的需要。这种方法还使得能够在半导体器件以下的方向产生冷却和烘烤。
在优选实施例中,冷却器件烘烤盘放在冷却盘的顶部,并在烘烤器件按照情况的许可升高或降低一个或两个这种组件以将其分开。烘烤和冷却结构的转换可以很迅速地完成,速度最快达到几分之一秒至两秒。
烘烤盘最好是较薄的片状加热元件的形式,有相对的主表面并且热输出量可以控制。这类低热质量的烘烤盘在烘烤和冷却期间具有优势。在冷却期间,当烘烤盘放置成与冷却盘热接触时,冷却盘的冷却效果迅速通过经烘烤盘的导热作用到半导体器件。因而工件通过烘烤盘适当地冷却,不用向曾经必须将工件移开烘烤盘并传递到冷却盘那样。在烘烤期间,低热质量也能使加热率快达1℃/s~50℃/s,最好是5℃/s~15℃/s。不仅温度响应如此之快,而且烘烤期间的温度曲线也可以是具有除了灵活性和精确性以外的任何所需数目的温度阶段和温度斜坡的形状。
在优选实施例中,本发明采用动态的“冷却推进”法把工件迅速地冷却或加热到所需的冷却或加热平衡温度。根据与冷却相关的本发明的一个具体的优选实施模式,“冷却推进”包括停止进一步的冷却并通过在保持烘烤盘与冷却盘热接触的同时给烘烤盘增加足够的热量而将工件非常容易和简单地保持在所需的平衡温度。根据与烘烤相关的本发明的一个具体的优选实施模式,“冷却推进”包括停止进一步的烘烤并通过在保持烘烤盘与冷却盘热接触的同时减少烘烤盘的功率输出而将工件非常容易和简单地保持在所需的平衡温度。在烘烤和冷却期间,根据实际情况动态监测工件温度,使得当达到所需的平衡温度时停止额外的冷却或加热。冷却推进法与常规的方法相比不仅减少加工时间多达33%,而且还在精确的已知温度下停止工件加工。
在优选实施例中,烘烤盘可以与一个框架元件结合,例如,在半导体器件的加工中该框架元件是环形框架,在烘烤和冷却时框架保温工件。框架元件拥有很多优点。首先,工件装配到框架元件的内部空间,这有助于确保与设置在烘烤盘中的底下的加热区配准。但更重要的是框架元件极大地增强了工件烘烤和冷却的均匀性。例如,当烘烤盘的加热区大到足以置于框架元件和工件二者之下时,框架元件有助于分散烘烤盘的热量并消除否则可能会在工件边缘发生的加热变化。具体地说,如果缺少框架元件,加热中的不均匀易于出现在框架元件的外围而非工件的边缘。框架元件还有助于减少工件边缘对流热损耗的发生。框架元件的热量还减少了否则易于随工件直径变化而出现的非均匀热效应。
另外一个优点是框架元件的结构可以做成在烘烤/冷却操作的全范围内,框架元件的上表面温度和工件以可预定的方式彼此对应。因此,框架元件的温度可以以一种间接的、常规的、高度精确的方式监视,从而监视工件温度。
本发明还涉及一个控制系统,该系统结合了多个创造性的特点,在烘烤和冷却过程中提供精确灵活的控制。具体地说,控制系统一个脉宽调制(PWM)控制信号调节供应给烘烤盘的DC电能。在优选实施例中,包括把从中心电站接收到的60Hz的AC电压转换成利用20,000Hz PWM控制信号调制的DC电压,其中每个控制信号脉冲的占空度可控地变化,从而调节到达加热区的DC电功率。在具体的优选实施例中,提供给烘烤盘电压与PWM控制信号的占空度成正比,烘烤盘热输出量与烘烤盘电压的平方成正比。
所以,如果DC电压以300V供给并且PWM控制信号的占空度为50%,则烘烤盘横截面上的电压将为150V(即300V的50%)。相应的热输出量也提高。因此,烘烤盘的热输出很容易地只通过调节PWM控制信号降低或升高。比例-积分-导出(PID)技术最好用于控制烘烤/冷却期间烘烤盘的温度。
因为创新的控制系统如此之快和精确,所以控制系统实际上消除了与慢和以前用于控制加热器的更不灵敏的控制方法有关的锯齿状温度曲线。这种较慢的控制方法可能适合于具有较大的时间常数的大型烘烤盘,但不适合用在本发明的时间常数很小的烘烤盘。
一方面,本发明涉及烘烤和冷却装置的组合,这种组合装置适于在至少包括一个烘烤阶段和一个冷却阶段的温度曲线上循环工件。装置包括一个低热质量、热导性的烘烤盘,烘烤盘有一个适于支撑工件与烘烤盘热接触的支撑表面,烘烤期间可以把热能从烘烤盘传递到工件。装置还包括一个高热质量的冷却元件。装置至少在冷却元件与烘烤盘保持热接触的第一结构中有效地支撑烘烤盘和冷却元件。
在另一方面,本发明涉及对微电子器件加热的加热装置。装置包括设置在加热元件表面上的加热元件和框架元件。框架元件有一个适于收容工件的内部空间。
在另一方面,本发明涉及一个控制物体温度的系统。该系统包括至少一个耦接到系统的某一位置从而有效地产生表示物体温度的输出温度信号的温度传感器。在系统中还包括一个具有可控的变占空度的脉宽调制(PWM)控制信号源,其中PWM控制信号从包括温度信号的信息中产生。系统的加热元件包括一个在加热期间支撑物体的表面。加热元件有一个建立在与PWM控制信号的占空度相应的输出水平的可控热输出。
在另一方面,本发明涉及一种把工件冷却到冷却温度(Tc)的方法。根据本方法,工件支撑成与低热质量、热导性的支撑物热接触,其中工件处于Tc以上的温度。低热质量支撑物放置成与保持在温度Tc以下的冷却元件热接触,这使得工件通过支撑物的热导被冷却。当支撑物处于基本上与Tc响应的温度时停止冷却。
在另一方面,本发明涉及一种给工件加热的片状叠层低热质量的导热加热元件。加热元件包括分别对应于工件支撑面和工件冷却面的第一和第二相对主表面,其中,当冷却面放置成与热沉热接触时放置在支撑面上的工件可以被冷却。
在另一方面,本发明涉及一种控制工件温度的装置。装置包括含有分别对应于工件支撑面和工件冷却面的第一和第二相对主表面的片状加热元件。装置还包括一个冷却元件。装置在加热元件和冷却元件经受一个把工件冷却面拉成与冷却元件热接触的偏压的第一结构中有效地支撑加热元件和冷却元件。该装置还在偏压被克服并且工件的冷却面和冷却元件热解耦的第二结构中有效地支撑加热元件和冷却元件。
在另一个方面,本发明涉及烘烤和冷却工件的方法。工件被支撑在包括第一和第二相对主表面的片状加热元件上时被加热。第一主表面支撑工件。烘烤盘的第二主表面放置成与冷却元件热接触。烘烤盘的第二主表面与冷却元件热接触的同时加热元件的热输出受到控制,以致支撑在第一主表面上的工件被冷却。
在另一方面,本发明涉及烘烤工件的方法。工件被支撑在片状叠层的加热元件上时被加热。其中,加热元件包括一个基本上为平面的衬底层和层压到衬底层的加热层。加热层至少包括一个可控的加热区。
在另一方面,本发明涉及冷却工件的方法。工件被支撑在包括第一和第二相对主表面的片状加热元件上。第一主表面支撑工件。第二主表面放置成与冷却元件热接触。当烘烤盘的第二主表面与冷却元件热接触时,加热元件的热输出受到控制,以致于支撑在第一主表面上的工件被冷却。
在另一方面,本发明涉及一种将工件保持在平衡温度的方法。工件被支撑在包括第一和第二相对主表面的片状加热元件上。第一主表面支撑工件。第二主表面放置成与冷却元件热接触。当烘烤盘的第二主表面与冷却元件热接触时,加热元件的热输出受到控制,以致于工件保持在平衡温度。
在另一方面,本发明涉及一个用于制作微电子装置的工具簇。工具簇包括一个低热质量的导热性加热元件,该加热元件有一个适于支撑工件与加热元件热接触的表面,使得热能可以从加热元件传递到工件。还包括一个高热质量冷却元件。装置至少在冷却元件与加热元件热接触的第一结构时有效地支撑加热元件和冷却元件。
通过下面结合附图对本发明实施例的描述,本发明的各种优点及实现方式将变得更加清晰并且更易于理解。
图1a是本发明处于“就绪”状态的烘烤/冷却装置侧视图;
图1b是本发明处于“烘烤”状态的烘烤/冷却装置侧视图;
图1c是本发明处于“冷却”状态的烘烤/冷却装置侧视图;
图1d是表示优选的薄片支撑凸起结构的本发明烘烤盘顶视图;
图2a是表示优选的叠层结构的本发明烘烤盘实施例截面图;
图2b是结合到图2a中烘烤盘的加热器顶视图;
图3是表示另一种叠层结构的本发明烘烤盘的另一实施例截面图;
图4是表示另一种叠层结构的本发明烘烤盘的另一实施例截面图;
图5a是适于径向张拉本发明烘烤盘衬底的径向张拉装置实施例透视图;
图5b是图5a所示径向张拉装置的横截面侧视图;
图5c是具有外围切口以便于在图5a的径向张拉装置上放置的本发明衬底的顶视图;
图6是用保持在与理想的最终冷却停止温度相同温度的冷却盘冷却的半导体装置的温度曲线;
图7是用保持在理想的最终冷却停止温度以上温度的冷却盘冷却的半导体装置的温度曲线;
图8是半导体器件不用冷却推进而被加热到平衡温度的温度曲线;
图9是半导体器件不用冷却推进而被加热到平衡温度的温度曲线;
图10是用于控制加热器热输出的的本发明优选控制系统示意图;
图11是本发明烘烤/冷却态的优选实施例顶视图;
图12是图11所示烘烤/冷却态的仰视图;
图13是图11所示烘烤/冷却态的透视图;
图14是图11所示烘烤/冷却态的侧视图;
图15是图11所示烘烤/冷却态沿图11中15-15线横截面的侧视图;
图16是图11所示烘烤/冷却态沿图11中15-15线横截面的截面侧视图;
图17是更详细表示烘烤盘局部装配结构的图11所示装置的部分横截面特写侧视图;
图18是组合到图11所示装置中的烘烤盘局部装配的透视图;
图19是图18所述烘烤盘子组件的透视图;
图20是图11所示装置处于负载/卸载结构的侧视图,为了更好地显示此结构,去掉了某些元件;
图21是图11所示装置处于冷却结构的侧视图,为了更好地显示此结构,去掉了某些元件;
图22是图11所示装置处于烘烤结构的侧视图,为了更好地显示此结构,去掉了某些元件。
以下描述的本发明实施例并非将本发明限制在所描述的精确的形式。实施例的选取及描述只为了本领域的技术人员能够更好地理解本发明的原理和实施。
图1a,1b和1c分别表示合成的烘烤和冷却装置10(以下也称作烘烤/冷却装置10)的三个优选实施例的三种结构,这种装置适合于通过至少包括一个烘烤阶段和一个冷却阶段的温度曲线循环工件,如半导体器件12。烘烤/冷却装置10包括有底腔元件16和顶盖18的腔体14。底腔元件16和顶盖18可以如图1a所示的彼此分开,也可以如图1b(烘烤结构)和1c(冷却结构)所示的合在一起以便重复地打开和闭合腔体14。当腔体14打开时,半导体器件12可以插入腔体14中并放置到稳固的支撑栓19上准备烘烤和冷却。
薄片12插入到腔体14中后,腔体14可以如图1b和1c所示的闭合,从而提供一个闭合的、环境密封的处理器,腔内有一个小到足以基本上避免在烘烤和冷却阶段可能会出现的热对流的体积。环境密封还保护处理器并避免其中的内容被污染,并使得如果需要,处理器能更有效地进行气体清洗(如果需要,在特定环境中执行)并排空(如果需要,在真空或部分真空中执行)。
腔体14包含一个烘烤盘20形式的低热质量、导热加热元件和一个冷却元件26形式的高热质量热沉。这里适于的“低热质量”表示烘烤盘20的热容量至多大约与被加工的工件热容量量级相等。烘烤盘20与工件的热容量之比最好处于1∶100~5∶1的范围,1∶10~2∶1的范围更好。“高热质量”表示冷却盘26的热容量量级至少大于烘烤盘20或工件的热容量量级。冷却元件26的热容量与烘烤盘20和/或工件的热容量之比至少为10∶1,10∶1~100∶1更好,30∶1~50∶1最好。“热容量”指主体温度升高1℃所需的能量。例如,诸如薄片12、烘烤盘20和冷却元件26的热容量Q可以由下式表示:
Q=MCp
此处M表示主体的质量,Cp表示在整个理想的温度范围内主体的平均热容量(J/kg-℃)。
烘烤盘20至少有一个主表面22,用于支撑半导体器件12,使得在烘烤器件热能可以从烘烤盘20传递到半导体器件12。烘烤盘20还包括可放置成与冷却元件26热接触的第二主表面24。“热接触”表示烘烤盘20和冷却元件26充分地接近,以致于冷却元件26的冷却效果可以经烘烤盘20影响到半导体器件12。当第二主表面24与冷却元件26如图1c所示的直接接触时,冷却最迅速地发生。但是,既使当在烘烤盘20和冷却元件26之间有一点分离,如在有效实施例中高达3mm时,也能产生有效地冷却。
烘烤盘20包括优选以热阻元件(没有具体示出)类型的形式的加热区25,在该加热区中,通过电连结36供给到加热区25中的电能被转换成响应量的热能。因为烘烤盘20有较低的热容量并且导热,所以烘烤盘20中释放的热能迅速传递到半导体器件12。
就表面积而言,加热区25最好大于半导体器件12,以便确保加热区25部件不仅处于薄片12之下,而且还延伸到薄片12的边缘以外。如果加热区25小于半导体器件12,则很可能会导致薄片的加热不均匀。具体地说,在包括诸如环形元件40的框架元件的装置10实施例中,加热区25最好足够的大,不仅处于薄片12之下,而且至少基本上是整个环形元件40之下。通过这种方式能达到半导体器件12被极均匀地加热。例如,如图1a,1b和1c所示,加热区25的表面积基本上与薄片12和环形元件40二者的总和面积相等。
与半导体器件12和烘烤盘20相比,冷却元件26有较高的热容量。所以冷却元件26用做大热容的热沉并能保持在任何有效提供冷却的理想的冷却温度,典型的温度如15℃~23℃。当烘烤盘20放置成与冷却元件26热接触时,通过热传导,烘烤盘20和薄片12被迅速冷却。冷却元件26包括穿过冷却元件内部的冷却通道28。这使得通过运转制冷的冷却介质,如水等经过冷却通道28而将冷却元件26保持在理想的冷却温度。冷却介质可包含防腐剂以保护冷却元件26免受腐蚀。
在优选实施例中,冷却元件26由防腐的导热材料制成,如铝、不锈钢、铜、它们的复合物等。如果冷却元件26由铜制成,则铜最好包括一个涂层,如Ni,保护铜免于腐蚀并防止铜离子污染薄片12。如果冷却元件26由铝制成,则可以用涂层钝化铝,如包括浸透聚四氟乙烯的氧化铝等涂层,保护铝免受腐蚀。
当然,冷却元件26的此实施例仅仅是一种优选,也可以采用其它类型的冷却结构和装置。例如,不用液体冷却介质如水,改用制冷的气体做为冷却介质。但冷却介质的使用绝不是必须的。例如,冷却元件26可以是一个Peltier装置。这种类型的装置不依赖于冷却介质提供制冷,而是利用电能制冷。
烘烤盘20和冷却元件26彼此相对往复运动,以致烘烤盘20的第二主表面24和冷却元件26的顶面30进而以被分开或合在一起热接触以便可控地执行烘烤和/或冷却。要最迅速地完成半导体器件12的烘烤,可以把烘烤盘20和制冷元件26分开足够的距离,使得第二主表面24和顶面30不热接触(见图2b)。当烘烤盘20和制冷元件26如此分开时,冷却元件26的冷却效果实质上只有一点或基本上没有压线到烘烤盘20或半导体器件12。要最迅速地实现半导体器件12的烘烤,可以把烘烤盘20的第二主表面24和冷却元件26的顶面30放置成彼此接触(见图1c)但没有电能供给加热区25。可以通过调节冷却元件26和烘烤盘20之间的距离和/或改变烘烤盘20的热输出来实现中等速率的冷却和/或烘烤。在一些例子中,可以通过保持烘烤盘20和冷却元件26热接触而同时加热并冷却半导体器件12到某种程度来实现烘烤盘20的一种理想的温度曲线。的确,同时加热和冷却对于保持烘烤盘20和薄片12处于理想的平衡温度(可以是烘烤或冷却平衡温度)是特别有利的,其中烘烤盘的平衡温度可以控制到+/-0.01℃的范围。
烘烤盘20和冷却元件26之间的相对往复运动可以通过利用任何一种已知的方便的传输机构实现。根据图1a,1b和1c所示的一种有代表性的技术,烘烤盘20支撑在可升高或下降的烘烤柱32上以实现烘烤盘20相应的独立运动。在优选实施例中,烘烤盘柱32是中空的,它套着向加热区25传输电能的电线36。有利之处在于,套在中空烘烤盘柱32中的电线36不仅从美学角度看被藏起来,而且还受到烘烤盘柱32壁的保护。同样,冷却元件26支撑在可升高或下降烘烤盘柱32上以实现冷却元件26相应的独立运动。柱32和34可以同时致动以实现烘烤盘20和冷却元件26的协调运动。
当半导体器件12支撑在烘烤盘20的第一主表面22上时最好由框架元件包围,框架元件的一个优选结构是用于半导体加工的环形元件40,它通过任何一种方便的方式固定到烘烤盘20的第一主表面22。环形元件40有一个确定其内部空间的内圆周42,内部空间以薄片边缘13和环形元件之间所成的间隙63小到实际允许制造和放置容限的方式接受半导体器件12。例如,建立额定的1mm的间隙是合适的,因为现行的薄片规格允许薄片直径有±1mm的变化。另外,此间隙的大小足以应付烘烤时薄片发生的热膨胀(电线的为0.3mm)。环形元件40的外圆周44用绝热物(未示出)覆盖,以便减小热损耗由此促进第一主表面22全部区域的均匀加热。绝热物最好用耐温材料制成,如在烘烤操作期间不衰变的聚酰亚胺、聚酰胺或陶瓷。
环形元件40最好由那些热扩散特性与被加工的半导体器件12热扩散特性极近匹配的混合物形成。在本发明的实施中,主体的热扩散率α由下式给出:
α=k/Cpρ
其中k是主体的热导率,Cp是主体具体的热容量,ρ是主体的密度。在具体的实施例中,薄片12的热扩散率与环形元件40的热扩散率之比为0.8∶1~1∶0.8,1∶1更好。当环形元件40和薄片12由与薄片12同类的材料形成时可以实现环形元件40和薄片12的热扩散率非常匹配。例如,如果薄片12由至少80、优选90、最好98重量百分比的半导体混合物如硅、锗、砷化镓或玻璃等制成,则环形元件也可以由至少80、优选90、最好98重量百分比的半导体混合物如硅、锗、砷化镓或玻璃等制成。
但是,这些半导体材料易于脆碎。因此,需要坚固耐用时,环形元件40可以用金属合金或金属间的混合物制成,金属间的混合物包括铝、镁和/或不锈钢,优选铝合金和/或镁合金。按照工业标准7075-T6发现的适于形成环形元件40的材料是铝合金。铝合金可以从很多市场上获得。
一旦选定一种适当的材料或材料的合成后,最好将环形元件40的厚度选成环形元件40和薄片12的热容量之间具有理想的关系。在优选实施例中,环形元件40和薄片12的热容量基本上彼此相等,以便优化使烘烤盘20的均匀加热特性。在半导体器件12以硅片为基础以及环形元件40由铝合金制成的本发明实施例中,当薄片的厚度大约为0.76mm、环形元件40的厚度大约为0.66mm时可以实现此目的。
既使在使用与环形元件40结合的烘烤盘20时,烘烤和/或冷却期间半导体器件12的温度仍然不能象薄片表面的温度一样均匀。具体地说,在温度斜坡阶段,薄片12接近边缘13的部分易于“超前”薄片12的内部。即烘烤阶段,边缘13比薄片12的内部稍热,冷却阶段或平衡阶段,边缘13倾向于稍冷。为了补偿这种温度边缘效应,烘烤盘20可结合两个独立的加热区,加热区至少包括一个至少处于薄片12内部以下的第一内加热区和至少处于环形元件40部分之下的环形第二外加热区。如果需要,两加热区的边界可放置在环形元件40之下、薄片12之下和/或间隙63之下。
这种第一和第二加热区部分最好独立可控,使得半导体器件12直径跨度上的温度特性可通过独立调节每个加热区部分的热输出而变得更均匀。所以,如果观察到温度边缘效应,可以调节第二加热区部分,酌情输出相对于第一加热区部分较少或较多的热能,以便减小并最好消除这种效应。如果需要,可以通过单独的加热器控制器控制不同的加热区。或者,加热区也可以共享一个控制加热区的公共控制器,使得各个区的热输出以理想的方式受控。
烘烤盘20的薄片制成的第一主表面22最好包括多个突起56,突起减小实际的直接接触面积并有助于确保烘烤盘20和半导体器件12之间大小一致的间隙62。根据传统的实践,突起56有均匀的高度,并且典型的高度范围为10μm~300μm,优选50μm~200μm。每个突起56一般有一个横截面,工件支撑面积处于0.01mm2~6mm2的范围。突起56可以形成有各种形状,例如包括带平顶面的矩形、带平顶面的柱形、球形或柱形、这些形状的组合、中空管形,其中管的轴通常垂直于表面22的平面,以致于只有管状突起56的管壁边缘接触半导体器件12。
突起56可以由尺度稳定的不会划伤薄片12的耐用材料形成。例如,突起56可以由任何聚合物、金属、陶瓷或它们的组合物制成。优选耐温的聚合物,如聚酰亚胺或聚酰胺。可以利用任何已知的技术形成突起56,包括物理安置、蚀刻、溅射沉积、化学气相沉积、通过喷雾的喷雾、网版印刷等。
突起56最好具有一种密度分布特性,该特性有效提供半导体器件12和烘烤盘20的接触面积,该面积是处于半导体器件12之下的第一主表面22面积的1%或最好小于0.1%。根据图1d所示的突起分布的具体实例,六个高度为150mm、外径约1.6mm、内径约0.8mm的筒状突起56分布成离烘烤盘20中心不同半径58和60处的两个三角形图案。通过这种方法,可以使半导体器件12和烘烤盘20之间的接触面积小到可以忽略不计,而半导体器件12(和环形元件40,如果存在的话)仍被恰当地支撑。作为另一个实例,可以在整个表面22上形成多个突起56的阵列,例如三角形图案,其中相邻的突起大约相隔4mm~6mm。在这种阵列中,各个突起的直径一般为0.1mm~0.5mm,最好为0.2mm~0.3mm。
烘烤盘20可以自选地配置一个或多个流道38以便在烘烤盘20和半导体器件12直径提供流体连通间隙62。如果需要,间隙62中可以充满普通气体或导电性更强的气体,从而增强烘烤盘20和半导体器件12之间的导热性。例如,氦气的导电性大约是空气的七倍。把气体导入间隙62中还有助于减小半导体器件的边缘13相对于半导体器件12的其它部分过热的倾向。或者是,在半导体器件12和烘烤盘20之间存在的适当密封中,间隙62可用于顶着薄片12牵引一个弱真空,如3000Pa~14,00Pa量级的真空以助于将薄片12保持在其位置上。例如,可以在烘烤盘20接近边缘13的地方设置一个环形突起(未示出)以助于形成这样一种密封。
再参见图1a,1b和1c,在烘烤和冷却操作器件需要直接或间接地监测半导体器件12的温度,使得烘烤盘20的热输出可以利用适当的反馈控制法来控制,如PID控制。按照直接监测薄片温度的方法,可以用合适的温度传感器(未示出)直接接触半导体器件12。但是,对于利用高真空制造,这种方法是不实际的或不理想的,因为直接法需要附加的处理步骤,即在每次新的半导体器件插入装置10中进行处理时都要给半导体器件连接和拆卸温度传感器。另外,薄片主要支撑通过与温度传感器接触而受影响的敏感部件。
因此,非常需要通过把适当的温度传感器连接到环形元件40来间接地监测半导体器件12的温度,尤其当环形元件40的热容量与薄片12的热容量匹配时。在这种情况下,半导体器件12顶面的实际温度基本上在烘烤和/或冷却的所有时间里对应于环形元件40顶面的温度,甚至在温度斜坡段也是如此。环形元件40的顶面和半导体器件12的顶面之间的温度差基本上恒定,在实际情况中更希望能够忽略。因此,当利用耦接到环形元件40顶面的温度传感器间接监测半导体器件12的顶面温度时,如果需要,可以对测得的温度进行简单的校正,以便考虑半导体器件12的顶面和环形元件40之间的温度差。
用于本发明的温度传感器可以是任何合适的温度传感器,是能够在很长的时间阶段里稳定连续地小间隔地传感温度。已知有很多种合适的温度传感器,其中以电阻薄膜(RTD)传感器为最佳。从市场上可以得到几种适合的类型。例如,从MincoProduction,Inc.,Minneapolis,MN生产的商标为517422PDX40A的产品就是市场上可得到的一种合适的薄膜RTD传感器。这种传感器结合直径大约50μm的嵌在商标为“KAPTON”的直径约为100μm的聚酰胺树脂层中(即嵌装的线整体直径约250μm)的铂线。RTD传感器可利用适当的耐温黏合剂如聚酰胺树脂、聚酰亚胺树脂、聚酰亚胺酰胺树脂、硅树脂、环氧树脂、微细结构的聚四氟乙烯及其它们的组合等把RTD传感器粘结到所需的位置中。
做为购买RTD温度传感器的一种变通,可以就地构建RTD温度传感器,或利用任何适当的成形技术如溅射蚀刻法由具有RTD特性的电阻材料在腔内构建并再粘结到位来制作RTD温度传感器。例如,要就地制造RTD传感器,可以在所需的位置沉积一个适当的金属电阻层,如铂层并再蚀刻形成RTD温度传感器。在传感器和传感器附着的元件之间沉积一层绝缘物。绝缘层可包括传统上用在微电子工业中的绝缘类材料,包括聚四氟乙烯、聚酰胺、聚酰亚胺、聚酰胺酰亚胺、二氧化硅、氮化硅及其及其它们的组合物等。
在某些应用中,RTD传感器本身可能不具有为提供对薄片温度有意义的控制所需的必要的灵活性。在这些情况下,特别优选的温度传感器是一个复合传感系统,包括较慢且稳定的第一稳定传感器(优选RTD传感器)以及较快的且不稳定的第二传感器(优选热耦)的组合。快/不稳定的第二传感器用于以较快的速度感应薄片12的温度,而由慢/稳定的第一传感器感应的温度测量值自动校验第二传感器,使得第二传感器测量值在整个时间里保持精确可靠。
使用复合温度传感器的原理基于热耦能以快达1000~2000Hz的速度感应温度并在整个时间里有较差的温度感应稳定性这一理解。另一方面,虽然一般的RTD温度传感器可能有较低的感应速度,如只有10Hz的温度感应能量,但RTD传感器一般具有在长时间内极好的温度感应稳定性。所以,通过使用RTD传感器自动校正热耦,复合传感系统可得到两种类型的感应装置的优点,同时消除它们各自的缺点。在采用复合装置与热耦结合的本发明的实施例中,可以利用上述的黏合剂把热耦粘结到RTD传感器中的一个位置上。
当采用复合温度传感器时,最好在正在进行的基础上自动重复地利用从RTD传感器输出的信号进行对热耦的校正。通过这种方式,由热耦感应的温度数据总是基本上对应于RTD传感器感应的更稳定的温度数据。采用的这种类型的校正法不是唯一的,还可以采用任何传统的校正法。校正传感器的传统校正法是已知的并且有所描述,如美国专利US5,495,093,US5,475,610;US5,460,709;US4,941,308和US4,480,312中所述。
图1a,1b和1c列举了三种具体如何实施烘烤和冷却的装置10的结构。图1a表示装置10处于“就绪”状态,此时腔体14打开,半导体器件12能够插入装置10中并放置到稳定的支撑栓19上。烘烤盘20最初最好处于靠在冷却元件26顶部的“闲置”位置。
图1b表示装置10的第二种构型,其中,通过上抬脱离冷却元件26的烘烤盘20而开始烘烤周期,以便将烘烤盘20的第二主表面24与冷却元件26热解耦。在这种结构中,烘烤盘20的第一主表面22至少被抬起与支撑栓19持平,或如果需要的话稍高一点,使得半导体器件12与烘烤盘20的第一主表面22热接触。如果需要的话,可以利用低度真空把半导体器件12放置到烘烤盘20顶部的位置上。在本发明的实施例中,通过热阻加热器设置加热区25,可以以有效提供所需的烘烤曲线的方式通过经加热区25传递电流而实现加热。
图1c表示有效实现迅速冷却的装置10的结构。在此结构中,升高冷却元件26直到与烘烤盘20的第二主表面24接触。通过烘烤盘20的传导把冷却元件26的冷却效果给予半导体器件12。在冷却期间可以通过完全断开加热区25而达到最大的冷却速率,但仅通过调节加热区25的热输出很难达到稳定的急剧下降。图1c所述的结构还用于通过提高加热区25的热输出来实现较低的烘烤速率,直到冷却元件26的冷却效果已足够,以致达到所需的烘烤速率。当冷却完成时烘烤盘20和半导体器件12可以与冷却元件26热解耦。热解耦最好通过分开烘烤盘20和冷却元件26以达到图1b所示的结构来实现。或者,保持烘烤盘20和冷却元件26的耦合,同时给加热区25提高较少的但足够的能量以将薄片12保持在所需的冷却平衡稳定。
本发明叠置的烘烤盘70的优选实施例适于图2a和2b。烘烤盘70包括夹在电介质层74和结构衬底76之间中心定位的加热层72,其中结构衬底76对称地位于加热层72的每一侧。突起77设置在烘烤盘70的一个表面78上支撑薄片80和环形元件82。突起77对应于图1a,1b和1c的突起56。因此,突起77可以有与突起56相同的成分、结构、大小和分布特性。在图2a中没有画出烘烤盘70的横截面、制成烘烤盘70的各个叠层72、74、76和77的厚度。下面将描述这些层适当厚度的实际范围。
烘烤盘70的对称、夹层结构具备多项优点。首先,因为各个层72、74和76倾向于由具有这种热扩散特征的材料形成:材料的热扩散系数在典型的烘烤和冷却操作的全温度范围内彼此不同,所以当温度变化时层72、74、76和77之间的应力增大。这种应力会导致不对称的叠层结构,从而失真。但是,因为烘烤盘70关于中心定位的加热层72对称,所以这些应力在烘烤盘70中平衡。结果是在温度变化期间,关于这种缺乏对称的叠置的烘烤盘结构,烘烤盘70保持平坦的能力提高。另一个优点,因为结构衬底76位于烘烤盘70的的外壁并且加热层72在结构的内侧受到很好的保护,所以在各个层叠置到一起之后可以利用经济有效的叠盖技术将烘烤盘平面化。因为由于叠盖每个衬底76可能会损失25~200μm的厚度,所以可以用有足够的额外厚度以容纳材料迁移的衬底76形成外置的烘烤盘70。
集中起来参见图2a和2b,加热层72最好包括确定环形加热区85的导电通道86。电流通过电流端口88向加热区85往复传输。沿导电通道86传导的电流被转变成热能对薄片80加热。由加热区85产生的热量与供给加热区85的电能电压有关。通常,利用较大的电能量易于产生更多的热。例如在优选实施例中,加热区85的热输出与电压的平方成正比。
导电通道86以重复的通道密度往返于加热区85,以致加热层72能够均匀地加热薄片80。在实际操作中,导电通道86的每一转一般为0.2mm~2mm宽,导电通道86相邻转之间的间隙87一般为0.2mm~2mm宽。在图2b所示的优选形式中,导电通道86是双螺旋的形式。也可以采用气筒的结构。例如,如果需要的话通道86可以以矩形的形式往返于加热区85之间。
加热区85可以以各种方式形成。按照一种方法,加热区85由最初以连续层存在的导电材料形成。然后通过利用任何传统的蚀刻技术精确蚀刻连续层来形成加热区85。形成加热区85的合适的导电材料包括金属如铜,含Ni-Cr的合金,如Canada的Inco AlloysInternational,Ltd制造的商标为“INCONEL”的Ni-Cr-Co合金,或Ed Fagan Company,Franki Lakes,NJ的“KOVAR”Ni-Cr-Co合金,铂以及它们的组合物等。加热区85可以有任何适于通过本发明预期的类型实现电阻加热的厚度。例如,发现用厚度为17.8μm(0.0007英寸)的铜箔、通常称作1/2盎司的铜箔形成加热区85很适合。
在本发明的一个实施模式中,可以用一种铜包层的聚酰亚胺共同地形成加热层72和一个电介质层74,这种材料可从市场上得到E.I.Dupont de Nemous and Company的商标名“PYRALUX”的产品。在单面形式中,这种具体的复合结构通常包括一个非常轻的叠在厚一点儿的聚酰胺层中的铜箔。可以蚀刻轻质的铜箔层以形成加热层72,同时将聚酰胺层用做一个电介质层74。这种产品也可以按双面的形式购买,其中聚酰胺层夹在箔层的两个外层中。
再首先参见图2a,电介质层74夹在加热层72中,以使得加热层72与衬底76、薄片80、环形元件82和任何气筒的环绕元件电绝缘。每个层74的厚度最好在10~30μm,并由任何常规用在微电子工业中的电介质材料制成。合适的电介质材料的代表性例子包括无机电介质,如二氧化硅,氮化硅和基本上脱碱的陶化瓷玻璃;耐温聚合物,如聚四氟乙烯,聚酰亚胺,聚酰胺,聚酰胺酰亚胺;任何在与烘烤和冷却相关的温度范围内保持电绝缘特性的电绝缘材料以及它们的组合物。
对于300℃温度以上的操作,优选诸如二氧化硅,氮化硅和基本上脱碱的陶化瓷玻璃这种电绝缘材料。但聚酰胺树脂是在300℃以下的温度进行操作时用于形成电介质层的更优选的材料。有利的是聚酰胺树脂不仅一般有好的粘附性和介电特性,而且还可以可以很经济地获得并易于结合到叠层结构中。聚酰胺树脂一般还趋于灵活,以致于如果需要的话,组合有这种聚酰胺材料的烘烤盘70能具有舒适的特定。用于本发明中的聚酰胺的一个具体实例是E.I.Dupont deNemous & Co的片状形式的EKJ聚酰胺热固黏合剂。
每个衬底76用做一个结构层支撑并保护烘烤盘70的其它层。衬底76还便于通过烘烤盘70的横向和纵向的热传导以促进半导体期间80和支撑在烘烤盘70上的环形元件82的均匀加热。因此,每个衬底76通常由一种可以结构上支撑烘烤盘70的其它层并且经受烘烤和冷却操作期间温度的变化而不发生畸变、凹陷、起皱、张力损耗或其它不希望的衰变的适当的结构材料或材料的组合来制作。
典型的可用于形成每个衬底76的材料的例子包括金属混合物,如铜,不锈钢,高强度合金钢,含Ni-Cr的合金,如“INCONEL”和“KOVAR”Ni-Cr-Co合金(优选“KOVAR”合金,因为“KOVAR”合金在许多烘烤和冷却操作中包含的典型的温度范围内有着更类似于硅的热膨胀系数),铝以及它们的组合等。当采用铜时,最好在铜的两侧镀镍以避免薄片80被铜离子污染。例如,厚度在100~1000埃的镍金属喷镀就适于这一目的。
其它适于形成每个衬底76的材料包括非金属的无机材料,如单晶或多晶硅,碳化硅,石墨,玻璃,金刚石等。衬底76还可以由合成材料制成,如金刚石复合物、金属包层的聚合物,填充金属的陶瓷,填充陶瓷的金属以及它们的组合等。用做衬底76的金属/陶瓷混合物包括含有热解石墨(“TPG”)组合物的片材,其中热解石墨封装在金属和/或金属陶瓷混合物的壳中。这种片材在市场上可以得到Advanced Ceramics Corporation,Lakewood,OH.的TC1050和TC500型号的产品。
就厚度而言,每个衬底76应足够薄,使得每个衬底76有较低的热质量,能把冷却和加热效果迅速地转递给薄片80。但是,如果衬底76太薄,则烘烤盘70的机械强度和耐用性可能较差,这导致较短的工作寿命。横向导电性、及加热均匀性还随着衬底厚度的减小而降低。另一方面,较厚的衬底76趋于有较好的横向导电特性,并因而趋于对制成在烘烤盘70上的薄片提供更均匀的加热。较厚的衬底72还有一个优点在于它在半导体期间80和环形元件82之间的间隙81中有较多的热质量,这有助于进一步促进薄片边缘84的均匀加热。但是,如果衬底76太厚,则衬底76将会有太多的热质量,薄片80加热和冷却的速率将会减慢。
平衡这些因素,每个衬底76最好具备20μm~3mm的厚度。在烘烤盘70的一个优选实施例中,每个衬底76由有1mm预叠厚度的铝制成,每个电介质层74由大约50μm厚度的聚酰胺制成,加热层72上蚀刻一层厚度约为25μm的铜箔。
按照制成烘烤盘70的一种方法,叠置在一起各层对齐地堆集在一起。定位栓(未示出)可穿过堆集的层对齐的设置以助于各层堆集在一起时较好的对准。然后把堆集层放置到平板抛光钢板之间的真空袋中。拉制真空,把以350psi(24帕)的压力把抛光板压合到一起,并再在350℃的温度下对夹持机构加热足以把各层粘结到一起的时间(典型的为2~24小时)。
图3表示本发明叠置烘烤盘70的另一实施例。图3的烘烤盘70大体上类似于图2a的烘烤盘70,除了图3的层72、74、76和77以不同的顺序叠置。另外,图3的烘烤盘70只包括一个单结构衬底76。具体地说,图3的烘烤盘70是一个以结构衬底76、电介质层74、加热层72、第二电介质层74和最终的突起77这样的叠置顺序形成的叠置结构。在其它方面,图3的层72、74、76和77与图2的对应层相同。
利用图3的结构,成为烘烤盘70的热含量最多的衬底76处于叠层结构的底部。所以,衬底76在冷却操作期间与冷却元件26最为接近。这种接近使得冷却元件26把热量从衬底76中更快的抽出,抽出的速度快于把衬底76置于叠层的另一个位置。简言之,当衬底76处于图3所示的底部位置时烘烤盘72的冷却通常非常快。
图4表示本发明烘烤盘70的另一个实施例。图4的烘烤盘70大体上类似于图3的烘烤盘,除了图4的层72、74、76和77以不同的顺序叠置。具体地说,图4的烘烤盘70是一个以第一电介质层74、加热层72、结构衬底76、和最终的突起77这样的叠置顺序形成的叠置结构。在其它方面,图4的层72、74、76和77与图3的对应层相同。
在一些实施例中,组合到以上所述的任何烘烤盘70中的图2a、3和/或4的任意衬底可以不是固有的自支撑。一般地,这倾向于衬底较薄的情况,如衬底的厚度范围在25μm~0.2mm。当组装加入了张力片的叠置的烘烤盘层时,这些较薄的衬底在径向被拉紧并保持这种径向拉紧。张力可通过把张力片贴到合适的框架元件上而得以维持,框架元件可以是环形元件82或其它的环箍形框架。薄片也可以用低热扩散系数的材料形成,这种材料典型的烘烤和冷却温度范围例如为0℃~350℃,以致于通过烘烤和冷却操作在衬底76上保持一种可接受的张力水平。例如,市场上可得到的INCONEL和KOVAR品牌的Ni-Cr-Co合金将是制作本发明的径向拉紧的衬底的合适材料。本发明径向拉紧的衬底可以片状金属的形式,就弹性模量和厚度特性而言尽可能各向同性。
图5a,5b和5c表示一种适于把径向张力施与对应于图2a、3和/或4中所用衬底76类型的衬底173的径向拉伸装置160。径向拉伸装置160包括上环箍162和用螺丝耦接到上环箍162上的下环箍164。上环箍162和下环箍164之间的距离可通过调节螺丝166来调节。外围脊168位于下环箍164的外部并与环箍夹170合作,将衬底173固定在径向拉伸装置160之上的位置。调节环箍夹170,使得只通过调节紧固螺丝172调紧或放松下环箍164的外部。通过在一个方向上旋转紧固螺丝172,把凸缘174和紧固环箍170拉到一起并由此给下环箍164提供夹持力。或者,在另一个方向旋转螺丝172,把凸缘174分开,由此松开环箍夹170,将夹持压力传递给下环箍164。
在操作的优选模式中,调紧上环箍162和下环箍164使得两环箍之间的分离最小。然后把过大的衬底173拉到上环箍162和下环箍164之上。衬底173应该大到能使其外围部分置于外围脊之上的下环箍164的外表面上。在某些情况下,很难通过此方式覆盖衬底173。如果是这样,如图5c所示,可以在衬底173的外围形成多个径向切口171以方便衬底73装配到环箍162和164上。然后在下环箍164周围紧固环箍夹170以把衬底173固定到其位置上。调节螺丝166以增大上下环箍之间的间距,由此把衬底161置于径向张力之下。最好把衬底173拉紧到其屈服点以上。
衬底173处于径向张力之下时,可以把合适的环形框架(未示出)粘结或连接到衬底173,以便在衬底173从装置160中移去时保存衬底173上的径向张力。框架粘结到衬底173上后,可以修正位于环形元件周围外部的衬底173的多余部分。如果需要,在径向拉伸之前或之后结合到拉伸的衬底173的其它烘烤盘层可以形成在衬底173上。
下面将参考图6和图7所示的温度曲线对图1a、1b和1c中的装置10的冷却特性做进一步地描述。在一种方法中,冷却元件26可以保持在半导体器件12将要冷却到的温度Tc。图6表示采用这种方法时冷却期间典型的半导体器件12的温度特性。最初,半导体器件12处于初始温度Tc,然后渐渐接近并在tf时间后基本达到最终的温度Tc。如图6所示,这种常规的方法导致,当半导体器件12的温度和Ts之间的差较大时,半导体器件12的区域98中可以迅速冷却。但是如区域100所示,当器件温度接近Tc时冷却率指数下降。事实上,利用图6所示的方法,50%以上的时间可以用于获得半导体器件12最后的2%~3%的热输出。
当然,图6的冷却方法可以用于本发明而实践中。但是,为了避免图6所示方法的不足,本发明最好采用更好的冷却半导体器件12的“冷却推进”法。根据冷却推进法,如图7所示,冷却元件26保持在小于Tc的温度Ts,并且在冷却期间动态监测期间的温度,以致当器件12的温度冷却到Tc时可以停止冷却。图7表示器件12从较热的初始温度To冷却到所需的最终温度Tc。但是,冷却元件26的设置点温度Ts最好保持在Tc温度以下。这使得半导体器件12以这样的方式冷却到Tc:按照与区域106相关的快速冷却速率可以实现基本上全部冷却。所以,完全避免了与区域108相关的渐变及非常慢的冷却速率。通常,发现Tc以下近似2℃~3℃的设置温度适合于所需的冷却推进量。冷却推进法是一种实现冷却的极迅速和精确的方法。冷却推进法使得能比采用没有冷却推进的常规方法快三倍的实践完成冷却,这主要是因为完全避免了与区域108有关的以指数下降的极慢的冷却速率。
一旦器件12冷却到Tc,通常希望器件12在Tc保持由曲线区域109所代表的平衡一定的时间。这通过图1a,1b和1c的装置很容易实现。非常简单,对于初始冷却,把烘烤盘20断开并与冷却元件26热接触,如图1c所示。结果是器件12被冷却并且器件温度开始向Tc下降。当器件12的温度达到Tc时,由于图1c所示的结果同时接通烘烤盘20,给予足够的电功率将薄片12维持在Tc。可以用适当的加工控制方法控制烘烤盘20的热输出,以助于将器件12保持在所需的平衡温度Tc。
能够利用烘烤盘20的热输出和冷却元件26的冷却效果维持平衡温度和/或控制温度特性可提供很多重要的优点。首先,可以在很长的时间里保持很高的平衡温度的精确度。例如,这种方法很精确并可以用于将薄片12以大约+/-0.03℃的精确度维持在Tc,尤其当把图10所示的控制系统用于控制烘烤盘20的热输出时。第二,控制方法非常敏感,因为烘烤盘20发出的热量可用于停止进一步的快速冷却。第三,冷却元件26的温度不需要严格地控制。只要冷却元件26处于Tc以下的温度,就可以通过控制烘烤盘20的热输出来实现器件温度的极精确地控制。第四,因为不需要很严格地控制冷却元件26的温度,所以删除了依赖于在精确的温度下向冷却元件26供应冷却介质的结构。
作为另一种在不需要达到将薄片12保持在Tc任意时间的停止冷却的方法,可以只通过在适当的时刻分离烘烤盘20和冷却元件26就可以停止冷却。当使用这种方法时,能够在冷却过程中预言一个产生停止冷却过程的控制信号的点(“冷却停止点”)是很有利的。这确保器件12被冷却到尽可能地接近最终的冷却温度Tc。在实际当中,这种预言应考虑到这种信号产生后装置10响应于这种信号所需的最终的滞后时间量。
例如,关于图1a,1b和1c所示的装置10,可以取暂停冷却的控制信号产生后的很短但不能忽略的时间把烘烤盘20与冷却元件26分开。因此,为预防这种滞后时间,应把暂停冷却过程的控制信号在半导体器件12实际上已经达到最终冷却温度的稍前时刻传递给装置10。作为一个特例,滞后时间大约500ms,半导体器件12预言处于Tc之前分离信号可能花500ms。
利用任何适当的开放回路或闭合回路过程控制技术可以实现判定考虑到滞后时间的合适的冷却结束点。例如,可以利用一种适当的控制系统,如利用PID技术的控制系统动态判定应向装置10发出控制信号以便适时停止冷却的控制信号的冷却停止点。或者,确定一个与冷却停止点有关的特征参数(如薄片温度、消耗的冷却时间、滞后时间等),并再监测这些参数以及时停止冷却。
下面在参考图8和图9对图1a,1b和1c所示装置的烘烤特征做进一步地描述。图8分别表示烘烤盘20和半导体器件12在烘烤操作中典型的温度曲线130和132,其中器件12在tf的时间阶段从温度To(如室温)加热到温度Tb(r如130℃)。在此加热期间,烘烤盘特性130通常导致器件的特性132。即在加热的所有时间里烘烤盘20总比器件12要热一点,直到烘烤盘20和器件12达到基本上对应于TB、最好在Tb的+/-0.03℃之内的平衡温度。因此,必须使烘烤盘20的温度超过TB以确保器件12达到TB。因此,在加热过程的某一点,把烘烤盘20的热输出降到能使器件12和烘烤盘20渐渐接近并基本上达到TB。这主要发生在ti时间之后,烘烤盘20处于TB以上的最大温度Tm,而器件12处于TB以下的温度Ti。烘烤盘20的热输出下降的精确时间依据于系统的时间常数,并且是常规的PID控制器的典型输出。
图8的加热方法导致烘烤盘20的热输出降低之后在曲线区域134和136中较迅速的加热率。但是,一旦烘烤盘20的供给功率下降,曲线区域138和140中的加热率指数减慢。事实上,器件12从To加热到TB所需时间的至少70%与逐渐把器件12从Ti加热到TB有关,即使TB和Ti之间的温度差是从To到TB的整个温度范围的很小一部分。换言之,加热时间的相当部分花在把器件12的温度提高最后的几度。很短的加热时间用于减少把器件12的温度上升到TB所需的温度变化。
当然,如果需要,可以把图8的加热方法与本发明的实践结果有益地结合。的确,图8所示的方法能够使得器件12以快达40~50秒的时间从To加热到TB.而且,当利用本发明的原理时可以实现更快的性能。具体地说,可以通过利用来自冷却元件26的“冷却推进”大体上降低与曲线区域138和140相关的时间低效。一般地,根据用于烘烤的“冷却推进”法,能够使烘烤盘20达到高于Tm的温度,以便在降低给予烘烤盘20的电功率之前使器件12加热到非常接近TB的温度。然后把冷却元件26用于从烘烤盘20中迅速抽出过剩的热量以助于确保基本上达到器件12的温度但不超过TB。
加热的“冷却推进”法的益处适于图9。图9总体上与图8一致,除了当烘烤盘20的热输出在ti2降低时烘烤盘20达到较高的最大温度Tm2(与Tm相比)并且器件12达到较高的温度Ti2(与Ti相比)。在没有校正行为并且如果图8的加热方案用在没有冷却推进的此点,烘烤盘20和器件12中的过剩热量很自然地导致器件12的温度超过TB。即烘烤盘20和器件12将渐渐接近TB以上的平衡温度。但是在此例中,在有效条件下冷却元件26与烘烤盘热接触以确保过剩热量抽入冷却元件中。因此,烘烤盘20和器件12在基本上小于tf1的tf2时刻达到TB。在降低烘烤盘20的电功率之后器件12的温度仍趋于渐渐接近TB时,与这种逐渐加热有关的时间周期基本上相对于与图8所示方案有关的时间减少。例如,虽然图8的加热方法可能要花40~50秒的时间完成,但利用图9的“冷却推进”法将将此时间减少25~35秒。
利用常规的烘烤和/或冷却装置的常规控制系统因太慢而不能提供对本发明烘烤/冷却装置的有意义的控制。但本发明依赖于创新的加热器控制方法,对加热器的温度提供迅速而精确的控制。根据本发明,把高频(最好在1000Hz以上的频率)脉宽调制的控制信号用于调制提供给组合到RLC(电阻/电感/电容)电路的加热器的DC电能,RLC电路中加热器是一个电阻,一个电感和一个电容,有助于平滑通过加热器的DC电压水平。利用这种方法,可以在0~100%的功率范围内迅速而连续地改变在整个加热器中可控建立的电压量。实际上,整个加热器上产生的DC电压有无限小的粒度。这使得加热器温度在整个很宽的动态范围内可以灵活且精确地得到控制。
因此,图10是本发明创新的控制系统300的一个优选实施例示意图,其中,利用高频PWM控制信号调制DC电功率,从而控制本发明低热质量烘烤盘的热输出。在系统300中,电阻器302代表烘烤盘的电阻。总的来看,控制器304响应于温度传感器306产生的温度信号产生一个高频、脉宽调制的控制信号。温度传感器306热耦接到烘烤盘302,如双向箭头305所示。PWM控制信号用于操纵控制信号每个脉冲的占空度“on”部分的开关。这样在整个电阻器302建立一种与PWM控制信号的占空度成正比的对应电压。加热器的输出功率与此电压的平方成正比。
例如,如果DC功率以300V供给,则占空度为10%的20,000Hz的PWM控制信号将在电阻器302中建立30V的电压(300V的10%)和相应的1%的热输出。如果以300V供给DC功率,则占空度为60%的20,000Hz的PWM控制信号将在电阻器302中建立180V的电压(300V的60%)和36%的热输出。类似地,如果DC功率以300V供给,则占空度为5%的20,000Hz的PWM控制信号将建立15V的电压(300V的5%)和0.25%的热输出。
现更详细地讨论系统300的特征,温度传感器306向控制器304传递包含温度信号的信息。系统300包括传统的驱动电路301和噪声滤波器303,以在数据到达控制器304之前处理从温度传感器306获得的温度数据。如果温度传感器306是一个包括多个传感器的复合传感器,则对每个这种传感器组件可以使用相应的驱动器和/或噪声滤波器。如果需要,驱动电路301用于调节探测的温度信号的幅度,和/或把探测到的数据转换成另一种更适于进一步处理的形式。噪声滤波器303降低噪音含量,即增大温度传感器信号的信噪比,以增强控制器304的可处理性。
控制器304可包括硬盘、软盘等的任何组合,有效地使控制器304从温度传感器的输入中产生PWM控制信号。在从此信息的输出产生PWM中,虽然优选正比/积分/微分(PID),但可以利用任何适当的处理控制方法和组件。PID控制和处理控制原理在Coughanower和Koppel,的 Process Systems Analysis and Control,McGraw-HillBook Company(1965);和F.G.Shinskey的 Process Control System (1988)。
控制器304可以由硬盘、软盘或铁的组合形成。控制器304可以是数字和/或模拟。在市场上可以得到,并且这些可以购置并以插入执行的形式组合到系统300中。例如,在一个实施例中,把基于PC控制和分析的系统用作控制器304,其中这种系统在市场上可以得到National Instruments,Austin,TX的“LABVIEW”品牌。或者,更优选的控制器304可以是一种置入式控制器,结合一个或多个微处理器和/或数字信号处理器(DSP’s)。DSP’s快、可靠并且不贵。例如DSP’s在Curran,L.,Machine Design的“DSPs Find a Place inMotor Control”第95-102页(1997年11月6日)有所描述。合适的DSP器件有代表性的例子是从Analog Devices,Inc.可得到的并且包括(i)AD7715(16位ΔσADC)器件或AD7711(具有RTD激励电流的信号调节ADC)器件,和(ii)ADSP-2100(数字处理器)器件。
操纵者可以根据需要直接或间接地与控制器304互作用。例如,在牵涉间接互作用的优选实施例中,操纵者可以直接联系主机,反之与控制器304联系。POLARIS微刻簇利用通过主机的间接互作用。
控制器304可以构造成产生在一个宽的范围内有一个或多个频率的PWM控制信号。在适当频率或产生PWM控制信号的频率范围的选择中,选取的频率应该高到足以达到在温度控制中精确的量,但不要高到产生转换时间或噪声问题。一般地,频率在1000Hz~50,000Hz范围内的PWM控制信号较合适,优选20,000Hz。
在温度传感器306是一种结合热偶和RTD温度传感器的复合传感器的实施例中,控制器304还可包括硬盘电路、软盘或它们的组合等形式的组件,能够利用RTD传感器产生的数据校正热偶温度传感器。在这些实施例中,控制器304将耦合到RTD和热偶传感器上,其方式使得由两装置探测到的感应的温度数据可以作为输入给控制器304的信号而接收。控制器304响应的校正元件使得控制器304能自动地、在线地校正热偶。校正可以利用在烘烤/冷却过程的任何阶段RTD和热偶传感器获得的感应的温度数据来完成。但是,通过利用在烘烤盘124保持在平衡温度时获得的感应的温度数据可以最容易地完成。
控制器304的PWM输出传递给可控转换电路341,包括可控开关342(优选的形式为绝缘门双极晶体管,即“IGBT”开关)和驱动电路344(优选形式为IGBT驱动电路)。驱动电路344接收控制器304的PWM控制信号并利用控制信号按相应的方式打开和关闭可控开关342。这在下面通过联系系统300的优选操作模式进行描述。可控转换电路341的一个具代表性的实施例结合作为可控开关342的IMBC 15-060离散IGBT和作为驱动电路344的EXB840复合IC IGBT驱动器。以上两种可从Fuji Electric Company,Ltd得到。装置的组合方案示于厂商的产品说明书中。
系统300构造成接收从AC电源308提供的电能。在美国,AC电源308普遍用于提供208V RMS三相60Hz AC电流。系统300结合整流电路310以便把AC电源转变成DC电源。例如,把208V RMS的AC整流成294V的DC。在图10所示的优选实施例中,整流器310以六个二极管整流器的优选形式示出。六个二极管整流器组件是一个包裹在一起并按一个单元出售的装置,可从多种市场上得到。例如,已经发现日本Sansha Electric Manufacturing Co.,Ltd.的DF30BA80适于用于本发明的实施。
整流器310形成的DC电源倾向于以脉动的形式产生,可能包括无用的“AC波纹”。因此,希望系统300包括平滑电路部分312,以便平整DC脉冲并由此产生“AC波纹”减小的较平滑的DC电源。然后,平滑的DC电源可以以调制的形式施加到电阻器302,下面将详细描述。所示的优选平滑电路部分312包括并联连结于上端322和接地端324之间的电阻器314、电容316、电容318和电阻320。平滑电路部分312还结合一个包括延迟线圈326的延迟开关和正常打开(N.O.)的接触器328。
现详细参考滑电路部分312的组件,电容316是一个低值高频电容,有助于高频噪声传回主电源308。在优选实施例中,电容器316是0.01μF,600V,聚丙烯类电容器。电容器318是一个用于提供DC滤波的高值电容器。在优选实施例中,电容318是一个2200μF和600V的电解质类电容器。电阻314是一个高值电阻,有助于在系统200断电时从电容318放电。为了在大约10秒的时间里把电从电容318放出,电阻314最好为5000Ω,20W的电阻。
电阻320和延迟开关元件326和328用于助于减慢当系统300通电时电容318的放电和充电速率。例如,当系统300通电时,延迟线圈326被激励,导致在诸如0.1s的延迟之后N.O.接触端328闭合。延迟最初导致电路流经电容318和电阻320,然后限制初始充电电流经过电容318。结果,电容318的充电速率减小。在电容318充分充电并且N.O接触端328闭合后,通过电流流经闭合的接触端而对电阻320旁路。在优选实施例中,电阻320典型值是100Ω,10W的电阻。
电阻302表示热输出受控的烘烤盘的阻值。电阻302组合到系统300的负载电路部分330。除电阻302外,负载电路部分330还包括二极管332、电容334和把上端322和负载电路下端338连结到一起的电感336。在负载电路部分330中。电容334和电阻302彼此并联连结于上端322和中间负载部分终端340之间的RC电路部分335。电感336和RC电路部分335串联连结于上端322和负载电路下端338之间。在负载电路部分330中,电阻302、电感336和电容334用作两极低通滤波器,平滑电阻302两端的DC电压。在实际效果上,滤波器通过DC电流但抑制控制器304PWM输出频率的AC电流。在PWM输出具有20,000Hz的频率的实施例中,电感336为4mH,10A,电感能在20kHz下工作,电容334为5μF,高频,600V。电阻302典型值为35Ω。最终的RLC电路抑制20,000Hz的AC电流。
负载电路下端338通过二极管332耦合回到上端322。二极管332提供多项性能优点。二极管332用作反向二极管或“飞轮”二极管,以便在开关342断开时钳住下端338的电压。所以二极管332使得保持所需的DC电路通过电阻302更容易。二极管332最好是ERW05-060类,可从FujiElectric Company Ltd.得到。负载电路下端338还耦结到可控IGBT开关342的集流器。
下面将描述系统300的优选操作模式,其中,20,000Hz PWM控制信号施加到开关342,以便当利用由AC电源308演变的294VDC电源时控制电阻302的热输出。温度传感器306产生一个代表支撑在电阻302上的工件读出温度的电信号。然后,在经驱动器301和噪声滤波器303处理之后把代表工件温度的电信号传递给控制器304。控制器304接收噪声滤波器303的输出并施加PID控制,以产生一个具有依赖于电阻302偏离所需温度的程度的占空度的PWM输出。依据实际情况,控制器304可利用PID控制决定并产生有合适的占空度的PWM控制信号,以调节或维持电阻302的热输出。
然后把控制器304的PWM输出传递给IGBT驱动器344。IGBT驱动器344利用控制器304的控制信号以对于的方式打开并关闭开关342。例如,如果控制器304产生一个占空度为25%的20,000HzPWM控制信号,然后开关342将以20,000Hz的速率被打开和闭合,其中开关342以25%的占空度闭合。类似地,如果控制器304增大信号的占空度到70%,则开关342将以20,000Hz的速率打开和闭合,除了开关对于70%的每个PWM间隙闭合以外。
以这种方式的致动开关342使得易于控制电阻302的热输出。一般地,增大PWM控制信号的占空度易于增大电源及电阻302的热输出。类似地,减小PWM控制信号的占空度易于减小电源及电阻302的热输出。在实际当中,可以响应于占空度在0%~100%范围内的PWM控制脉冲启动开关342以打开和闭合。但是,即使开关342在高频下启动,如20,000Hz,负载电路部分330的时间常数也比此慢得多,因为电容334和电感336明显地平滑了电阻302的电压。因此,电阻302的电压和热输出实际上非常平滑和稳定,与PWM控制信号的平均占空度成正比。
图11-22表示本发明结合图1a至图10中所述原理的烘烤/冷却装置400的优选实施例。为了讨论的目的,装置400是本发明适于处理薄片540形式的工件的一个实施例。总的来看,装置400包括五个用于执行烘烤和冷却操作的子部件。这五个子部件包括盖子组件401,薄片支撑组件402,烘烤盘组件403,冷却元件组件404和传动组件405。这五个组件支撑在和/或安装到主衬底413。传动组件405包括使烘烤盘和冷却元件向上和向下运动以把装置400放置到三个操纵结构中的一个当中,这三个操纵结构包括装载/卸载结构,冷却结构和烘烤结构。首先参见图11~图19对装置400进行描述,该图表示装置400处于装载/卸载结构中。联系图20~22对三个结构以及装置400的操作再进行描述。
现主要参见图11和图13~16,盖子组件401包括实质上为环形侧壁元件406、407和408以及底、中间和顶板409、410和411的叠置组件。这些叠置组件确定适于在烘烤和冷却操作期间接收加热器组件406一部分的顶盘412、底盘414和盖子凹陷415(见图15-16)。位于底盘414中心并由螺丝451固定的隔离环416有助于保持底盘409和中间盘410之间的空间并把底盘414分成外盘部分417和内盘部分418。盖子组件401的叠置元件利用适当的紧固件421固着在一起,如可以是螺丝、铆钉、皮带等。
侧壁元件406、407和408构造成提供矩形凸肩419和420,其中一个凸肩包括一个吸入道(未示出),通过该吸入道可以使一种或多种气体流入外盘部分417。气体的凸肩包括一个排气通道(未示出),气体通过该通道可以从顶盘412排出。在底盘409接近外盘部分417的地方分布一些孔(未示出),使得外盘部分417中的气体可以流到盖子凹陷415处,并由此覆盖待处理的薄片形式的工件540。孔(未示出)还分布在底盘409和中间盘410中接近内盘部分418的地方,以致于气体可以经内盘部分418向上流动并进入顶盘412。气体从顶盘412经相应凸肩419或420的排气通道排出。
盖子组件401以稳定的方式支撑在一对盖子支撑柱422上,并且因此在烘烤和冷却操作期间盖子组件401不会移动。每个盖子柱422连结到对应的凸肩419或420。除非特别注明,盖子组件401和柱422元件最好由不锈钢制成,虽然其它的材料如铝、高温塑料如聚酰胺、聚酰亚胺、聚酰胺-酰亚胺等也可以用。
例如,在典型的实施例中,板409、410和411可以是不锈钢的形式,厚度在0.9~1.2mm的量级。但是,这种底板409可能有较大的热质量,会妨碍在烘烤和冷却操作期间精确控制温度的能力。为了克服这种效果并促进均匀加热和冷却,底板409可以是低热质量组件的形式,即组件厚度在0.05~0.1mm的量级,最好在朝内的表面424上有红外反射特性。这种低热质量元件有助于减少外界的环境对烘烤和冷却操作至少两种原因的影响。在第一实例中,IR向内面朝表面424的反射倾向于把辐射的热凝反射回装置400的内部,使得没有多少辐射的热能能够到达并被底板409吸收。在第二实例中,盘412和414有助于底板409与外界环境热绝缘。这些特征显著减少了否则将被底板409从上或从下吸收的热量,因而有助于实际上消除底板409的热量。
底板409的低热质量IR反射实施例最好包括一个支撑衬底表面上IR反射涂层的聚合物衬底,其中衬底表面对应于朝内的表面424。为了避免烘烤操作期间不当的畸变或衰减,聚合物衬底最好由一种聚合物形成,聚合物有一个处于烘烤操作期间可能会达到的最高温度以上的玻璃临界温度(Tg)。因此,优选高Tg的聚合物,如聚酰胺、聚酰亚胺、聚酰胺-酰亚胺等。IR反射涂层可以由任何耐温的IR反射材料形成,这些材料中优选金属、金属合金以及金、铝、镍等金属间组合物。在具体的优选实施例中,底板409是一种KAPTON品牌的聚酰胺衬底,有大约50μm的厚度,并且至少一个表面被铝合金金属化。
最好在图12-16中看薄片支撑组件402的组分。左销基426支撑一个三重左销基轴427,该轴以120°间隔的三角形形式环绕左销基426。左销428从左销轴427延伸至接收薄片540的适当高度。每个轴427和左销428有一种协同的结构,使得弹簧430将左销428固定地保持在其位置上。左销轴427和左销428可以选择为中空的,以便使得真空顶着支撑在左销428上的薄片540。真空通过腔431产生,该腔通过一个相应的导管连接着每个左销轴427的内侧。软管接头452提供一个软管在左销基426上的连接点,通过那儿可以抽真空。
最好在图15和图16中看冷却元件组件404的特征。冷却元件组件404包括圆形支撑环433,冷却元件434支撑在支撑环433上,还包括一个凸缘的中空轴承448,冷却元件434和圆形支撑环433可滑动地衔接加热器支撑轴464。凸缘的中空轴承448可以焊接、胶合、压接或拧螺丝地安置在其位置上。虽然没有表示,但这些元件之间的摩擦界面上可设置润滑油,以利于圆形支撑环433和冷却元件434在加热区支撑轴464上自由地上下运动。
如图15-16所示,冷却元件组件404处于装置400中的最低位置。装置400由此被打开,并且薄片540可以很容易地插入进行处理。在烘烤和冷却操作期间,冷却元件组件404可以向上移动直到冷却元件组件404连接到盖子组件401。通过这种连接可以限制冷却元件组件404进一步向上移动。这种运动闭合装置400并使得薄片在环境密封的腔中被烘烤和冷却。当烘烤和冷却结束时,冷却元件组件404可以降低到打开装置400,使得处理的薄片被移去并插入另一个薄片。
更详细地说,冷却元件434包括上冷却盘436、下冷却盘437和侧壁元件438。上冷却盘436的下表面439被刻槽,以便在上下冷却盘436和437组装到一起时确定冷却介质通道440。上下冷却盘436和437最好由导热材料形成,如金属、合金或金属合金的金属间组合,如不锈钢、铝合金或钝化(即镀镍)铜。
侧壁元件438的顶部可任选地刻槽(未示出)以接收O环(未示出),使得侧壁438可以密封地连接盖子组件401。侧壁元件438可以与上冷却盘436或下冷却盘437集成在一起,或可以做为单独件形成。当单独形成时,侧壁元件438可以由导热材料形成,如聚酰胺、聚酰亚胺、聚酰胺-酰亚胺、超高分子聚乙烯等
就组件而言,冷却盘436、437和侧壁元件438(当做为单独件形成时)可以利用所需的方式如螺丝、螺栓、胶、焊接等组装形成冷却元件434。组装的冷却元件434可以利用任何方便的方式如螺丝、螺栓、胶、焊接等固定连接到支撑环433。最好冷却元件434由其组件组成并利用螺丝441连接到圆形支撑环433,使得400在维护和检修时可以组装或拆卸。上下冷却盘436和437最好构成装配左销轴427和左销428,使得在冷却元件434的上下移动期间冷却元件434不会滑动连接左销轴427或左销428。
最好从图15-19看烘烤盘组件的特点。烘烤盘组件403一般包括圆形加热器支撑环460,该环用做把烘烤盘子组件462支撑在固定于圆形加热器支撑环460的三重加热器支撑轴464。从图16-17中可以很好地看出,每个加热器支撑轴464包括较细的底销470,该销用于连接相应的三重沉孔,沉孔的大小可以接收加热器支撑轴464的销470。支撑轴螺母476把每个轴464固定到位。当然,也可以采用任何固定技术(胶合、焊接、压装等)把轴464连接到加热器支撑环460。最好至少一个加热器支撑轴464可以是中空并提供一个通道,通过通道可以导引电线连接到烘烤盘子组件462。加热器支撑环还包括孔463,该孔使得加热器支撑环460以下面将详细描述的方式传动组件405。
在每个加热器支撑轴464的顶端,烘烤盘子组件462利用合适的紧固技术固定到每个加热器支撑轴464的顶部。例如,如图所示,一种方法是利用加热器安装螺丝465,螺丝被螺旋接收到每个轴464顶部的相应螺丝孔中。所以,当加热器支撑环460上升时,烘烤盘子组件462也上升。类似地,当加热器支撑环460下降时,烘烤盘子组件462也下降。这种运动便于改变装载/卸载之间装置400的结构以及烘烤结构,如下所述。
如图15和16所示,冷却元件组件404滑动装配在加热器支撑轴464上并实际上支撑在烘烤盘组件462和加热器支撑环460之间的轴464上。这使得在烘烤和冷却操作中烘烤盘子组件462被降低到或升高到冷却元件434之上。重要的是,弹簧478装配在加热器支撑轴464以上并位于加热器支撑环460和冷却元件支撑环43之间。当装置400处于图11-20所示的装载/卸载结构时,弹簧478将冷却元件组件404和烘烤盘子组件462紧固地压到一起。弹簧478的使用非常有益,因为它使得能简单地利用单左机构把装置400很容易地放置成三种不同的操作结构。
最好在图15-19中看烘烤盘子组件462的特征。图中所示的低热质量平面烘烤盘482(为了清楚起见,图中示出的是两叠层结构)包括一个蚀刻的加热箔片(图17中484所表示的特征),它夹在上环形夹486和下环形夹488之间。上环形夹486和下环形夹488构造成彼此掀钮装配连接并通过螺丝496进一步固定到一起。上环形夹486和下环形夹488包括多个支座506,烘烤盘482支撑在支座之间以便决口与烘烤盘482的直接接触面积。支座506的使用促进更均匀、更可控的烘烤和冷却操作。
上环形夹486和下环形夹488可以由任何坚硬的、耐温材料形成。优选的材料也是良好的绝热体。适于用于形成夹具486和488的材料包括聚酰胺、聚酰亚胺、铝、合成石英或其它非碱性陶瓷材料、以及它们的组合等。从Bodecker Plastics Company,Austin,TX得到的“ULTEM 2000”品牌的材料是一种优选的聚合物夹具材料。
环形元件492有外周边494和内周边496。外周边494的大小正好装配上环形夹486,内周边在薄片540可以定位进行处理的范围内限定一个圆形凹陷498。烘烤盘482的上表面500配置有多个以均匀的举例将环形元件492和薄片540支撑在上表面500之上的凸起502。烘烤盘482还包括一个三重通孔504,该通孔使得烘烤盘子组件462可滑动地装配在左销428上。
在烘烤和冷却期间,烘烤盘482有随着温度的变化而扩展和收缩的趋势。倾斜的线圈环498位于烘烤盘482和夹具486和/或488之间以便容纳这种尺寸的变化。通过这种方式,可以避免否则可能会发生的空转或粘结应力。
在图12-16中示出了传动组件405。传动组件405一般包括步进电极子组件510、三重左子组件512、传动链514和链拉伸器516。步进电极子组件510包括步进电极518和电动链轮520。步进电极510可反转,抑制于电动链轮520既可以向前也可以向后旋转驱动。为了本发明的目的,“向前”方向认做导致烘烤盘和/或冷却元件组件403和404向上垂直运动的旋转方向。“反转”方向认做导致烘烤盘和/或冷却元件组件403和404向下垂直运动的旋转方向。步进电极518安装在相交绝缘支撑物519上的主衬底413上,以便阻绝电极震动与装置400的其它组件。
每个左子组件512包括一个旋转支撑在上轴承522和下轴承524之间的导螺杆。上轴承522位于由拉簧支撑在导螺杆521顶端的上支撑臂526中。下轴承524位于基脚525,基脚安装在主衬底413上。链轮530固定连结到每个导螺杆521的底部,使得链轮530的旋转运动还导致相应的导螺杆521以相应的方式旋转。
电动链轮520的旋转运动被传递到每个主链轮530,并通过在至少由主链轮530的一部分上确定的路径中横穿的闭环传动链514而传递到每个导螺杆521。链拉伸器包括空载链轮532和可调节板534及臂535,抑制传动链514的张力可以很容易地调节。
每个导螺杆521包括可拧进相应的导螺杆并固定到加热器支撑环460中的施加弹力的导螺杆随动件536,其固定的方式使得导螺杆随动件536不能相对于加热器支撑环460旋转。定位器538有助于把每个导螺杆随动件保持在适当的位置。因此,每个导螺杆521可以在其导螺杆随动件536之内旋转,而每个导螺杆随动件536不旋转。因此,导螺杆521在向前方向中的旋转驱动导螺杆随动件536以及加热器支撑环460垂直向下运动。
现参见图20-22描述装置400优选的操作模式。在图20中,装置400处于负载/卸载结构,其中装置400打开,使得薄片540能向装置400中插入和从装置400中移去。在这种结构中,烘烤盘子组件462通过弹簧478的作用顶着上冷却盘436的顶部推动,并且左销伸出到烘烤盘子组件462以上。这使得片540被放置到左销428上待处理。
图21表示装置400处于冷却结构。为了把图20的负载/卸载结构改变成冷却结构,致动步进电极518以向前驱动导螺杆521。这驱动导螺杆随动件536向上随动导螺杆521。固定连结到导螺杆随动件536的加热器支撑环460和烘烤盘子组件462被向上驱动。同时,加热器支撑环460的向上运动导致弹簧478对中空轴承448的凸缘450发出向上的弹力。这样驱动冷却元件组件404向上运动。步进电极518在向前的方向上驱动导螺杆521,直至冷却元件组件404的侧壁元件438与盖子组件401现今,闭合装置400。在侧壁元件438顶部上任选槽(未示出)中存在的O形垫片能使向上运动的实际范围内实现良好的密封。这使得装置400闭合后实现冷却元件,同时,冷却元件组件404和烘烤盘子组件462仍通过弹簧478的作用推到一起。当冷却元件组件404和烘烤盘子组件462被向上驱动成冷却结构时,薄片540抬离左销428并之后被支撑在凸起502上。
所以,在装置400从负载/卸载结构向冷却结构的改变中,烘烤盘组件403和冷却元件组件404以协调的方式向上移动。在最终的冷却结构中,薄片540被支撑在烘烤盘组件462的烘烤盘482上,并且烘烤盘子组件462又顶推着上冷却盘436。在实际效果中,上冷却盘436与薄片540热接触,使得可以执行冷却操作。装置400可以通过致动步进电极518以在反向驱动导螺杆521而返回到负载/卸载结构。
图22表示装置处于烘烤结构。为了从图21的冷却结构变到烘烤结构,致动步进电机518以在向前的方向驱动导螺杆521。这样把导螺杆随动件536从与冷却结构有关的导螺杆随动件536的位置进一步向上驱动。但是,在这种情形中,冷却组件404的向上运动被组件401防止。因此,只有烘烤盘组件403被进一步向上驱动。这示于图22,通过增大的弹簧478的压缩,加热器支撑环460被向上朝着稳定的冷却盘支撑环驱动时。在这种结构中,烘烤盘组件462被抬离与上冷却盘436热接触,使得可以执行烘烤操作。装置400既可以返回的冷却结构,也可以通过致动步进电极518在反向驱动导螺杆521而回到装载/卸载结构。
本发明的其它实施例在本领域的技术人员认识了在此描述的本发明说明书或实践后将变得非常清晰。本领域的技术人员在不脱离由下列权利要求限定的本发明范围和实质的前提下可以对本发明的原理和实施例做各种删略、修改和改变。

Claims (66)

1.一种用于对微电子器件加热的加热装置,加热装置包括:
(a)一个低热质量的导热加热元件;和
(b)一个设置在加热元件表面上、并具有一个适于收容微电子器件的内部空间的框架元件。
2.如权利要求1所述的加热装置,还包括多个从加热元件的表面向上伸出支撑至少一个框架元件和微电子器件的凸起。
3.如权利要求1所述的加热装置,其特征在于微电子器件包括一种半导体器件,框架元件是圆环形的。
4.如权利要求1所述的加热装置,其特征在于微电子器件的热扩散率与框架元件的热扩散率之比在0.8∶1~1∶0.8的范围内。
5.如权利要求1所述的加热装置,其特征在于加热元件的热容量与微电子器件的热容量之比在大约1∶100~大约5∶1的范围内。
6.如权利要求1所述的加热装置,其特征在于加热元件的热容量与微电子器件的热容量之比在大约1∶10~大约2∶1的范围内。
7.如权利要求1所述的加热装置,其特征在于微电子器件的热扩散率与框架元件的热扩散率之比约为1∶1。
8.如权利要求1所述的加热装置,其特征在于框架元件包括一种选自硅、铝、锗、砷化镓、玻璃、不锈钢、铝合金、包含铝的金属间组合物、镁合金、包含镁的金属间组合物以及它们的组合物的材料。
9.如权利要求1所述的加热装置,其特征在于工件至少包括98%重量的上述材料,并且框架元件包括至少98%重量的相同材料。
10.如权利要求1所述的加热装置,其特征在于框架元件和微电子器件彼此有相同的厚度。
11.如权利要求1所述的加热装置,其特征在于加热元件包括第一和第二独立可控的加热区。
12.如权利要求1所述的加热装置,其特征在于加热元件包括至少位于框架元件内部空间一部分以下的第一可控加热区和包围第一加热区并至少位于框架元件一部分以下的第二可控加热区。
13.如权利要求1所述的加热装置,还包括至少一个耦结到框架元件的温度传感器。
14.如权利要求1所述的加热装置,其特征在于温度传感器是一种RTD器件。
15.如权利要求1所述的加热装置,还包括:
(i)一个耦结到加热元件一个位置的第一温度传感器,用于感应对应于微电子器件温度的第一温度信号,第一温度传感器具有第一温度感应时间常数和第一温度感应稳定性;
(ii)一个耦结到加热元件一个位置的第二温度传感器,用于感应对应于微电子器件件温度的第二温度信号,第二温度传感器具有第二温度感应时间常数和第二温度感应稳定性,其中第二温度感应时间常数大于第一温度感应时间常数,第一温度感应稳定性大于第二温度感应稳定性。
16.如权利要求15所述的加热装置,还包括一个耦结到第一和第二温度传感器的控制系统,该系统包括能使控制系统利用包括第一和第二温度信号的信息自动在线地校正第一温度传感器的程序命令。
17.如权利要求15所述的装置,其特征在于第一和第二温度传感器耦结到框架元件。
18.如权利要求15所述的装置,其特征在于第一温度传感器是一种薄膜阻温器件(RTD)传感器。
19.如权利要求15所述的装置,其特征在于第二温度传感器是热偶(TC)传感器。
20.如权利要求15所述的装置,其特征在于第一温度传感器是一种薄膜阻温器件传感器,第二温度传感器是热偶传感器。
21.一种控制物体温度的系统,该系统包括:
(a)至少一个耦接到系统的一个位置从而有效地产生表示物体温度的输出温度信号的温度传感器;
(b)一个具有可控的变占空度的脉宽调制(PWM)控制信号源,其中PWM控制信号从包括温度信号的信息中产生;和
(c)一个加热元件,包括一个在加热期间支撑物体的表面,加热元件有一个对应于PWM控制信号占空度的可控热输出水平的。
22.如权利要求21所述的系统,其特征在于系统还包括一个电耦合到PWM控制信号源和加热元件的开关,耦合的方式使得通过PWM控制信号的开关的致动致使对应于PWM控制信号占空度的电压水平加在加热元件上。
23.如权利要求22所述的系统,其特征在于开关是一种IGBT晶体管。
24.如权利要求21所述的系统,其特征在于系统包括一个负载电路,负载电路包括一个与RC电路串联的电感,其中RC电路包括并联的电容和电阻,所述电阻对应于加热元件。
25.如权利要求24所述的系统,还包括一个电耦结到负载电路的开关和一个负载电路,当负载电路电压加在负载电路上时,开关响应于PWM控制信号调制加热元件的热输出。
26.如权利要求24所述的系统,负载电路还包括一个下端、上端和电连接上端和下端的二极管,二极管被相对于从下端到上端的电流向前偏置。
27.如权利要求21所述的系统,其特征在于PWM控制信号源包括一个包含DSP的控制器。
28.如权利要求21所述的系统,其特征在于物体包括一种微电子器件。
29.如权利要求21所述的系统,其特征在于温度传感器是一种阻温器件(RTD)传感器。
30.如权利要求21所述的系统,其特征在于PWM控制信号有一个至少1000Hz~约50,000Hz的频率范围。
31.如权利要求21所述的系统,其特征在于PWM控制信号有一个大约20,000Hz的频率。
32.如权利要求21所述的系统,其特征在于PWM控制信号源通过对温度信号应用PID控制法产生PWM控制信号。
33.一种把工件冷却到冷却温度(Tc)的方法,方法包括步骤:
(a)把工件支撑成与低热质量、导热的支撑物热接触,其中工件处于Tc以上的温度;
(b)把低热质量支撑物放置成与保持在Tc以下温度的冷却元件热接触,这使得工件通过支撑物的热导被冷却;
(c)冷却期间监测支撑物的温度;和
(d)当支撑物处于基本上与Tc相应的温度时停止进一步冷却。
34.如权利要求33所述的方法,其特征在于步骤(d)包括加热工件的时,在有效维持工件处于Tc的条件下保持工件与冷却元件热接触
35.如权利要求33所述的方法,其特征在于工件包括一种微电子器件。
36.如权利要求33所述的方法,其特征在于支撑物是一种较低热质量的导热的可控可加热元件,具有第一和第二相对主表面,并且步骤(a)包括把工件支撑在加热元件的第一主表面上。
37.如权利要求36所述的方法,其特征在于步骤(b)包括使加热元件的第二主表面与冷却元件接触,以致于支撑在加热元件第一主表面上的工件由此被冷却。
38.一种给工件加热的片状叠层低热质量的导热加热元件,包括分别对应于工件支撑面和工件冷却面的第一和第二相对主表面,其中,当冷却面放置成与热沉热接触时放置在支撑面上的工件可以被冷却。
39.如权利要求38所述的加热元件,其特征在于装置还包括一个设置在工件支撑表面上的框架元件,框架元件有一个适于收容工件的内部空间。
40.如权利要求39所述的加热元件,其特征在于工件的热扩散率与框架元件的热扩散率之比处于0.8∶1~1∶0.8。
41.如权利要求39所述的加热元件,其特征在于工件是一种微电子器件,框架元件至少包括一种占80%重量的材料,该材料选自硅、铝、锗、砷化镓、玻璃、不锈钢、铝合金、包含铝的金属间组合物、镁合金、包含镁的金属间组合物以及它们的组合物。
42.如权利要求39所述的加热元件,其特征在于工件至少包括98%重量的上述材料,并且框架元件包括至少98%重量的相同材料。
43.如权利要求39所述的加热元件,其特征在于框架元件和工件彼此有相同的厚度。
44.如权利要求39所述的加热元件,还包括至少一个耦结到框架元件的温度传感器。
45.如权利要求44所述的加热元件,其特征在于温度传感器是一种RTD器件。
46.如权利要求38所述的元件,还包括:
(i)一个耦结到加热元件一个位置的第一温度传感器,用于感应对应于工件温度的第一温度信号,第一温度传感器具有第一温度感应时间常数和第一温度感应稳定性;
(ii)一个耦结到加热元件有效位置的第二温度传感器,用于感应对应于工件温度的第二温度信号,第二温度传感器具有第二温度感应时间常数和第二温度感应稳定性,其中第二温度感应时间常数大于第一温度感应时间常数,第一温度感应稳定性大于第二温度感应稳定性。
47.如权利要求46所述的加热元件,还包括一个耦结到第一和第二温度传感器的控制系统,该系统包括能使控制系统利用包括第一和第二温度信号的信息自动在线地校正第一温度传感器的程序命令。
48.如权利要求46所述的加热元件,其特征在于加热元件包括一个设置在工件支撑面上的框架元件,框架元件有一个适于收容工件的内部空间,并且第一和第二温度传感器耦结到框架元件。
49.如权利要求46所述的元件,其特征在于第一温度传感器是一种薄膜阻温器件(RTD)传感器。
50.如权利要求46所述的加热元件,其特征在于第二温度传感器是热偶(TC)传感器。
51.如权利要求46所述的加热元件,其特征在于第一温度传感器是一种薄膜阻温器件传感器,第二温度传感器是热偶传感器。
52.如权利要求38所述的加热元件,其特征在于加热元件包括第一和第二独立的可控加热区。
53.如权利要求39所述的加热元件,其特征在于加热元件包括至少位于框架元件内部空间一部分以下的第一可控加热区和包围第一加热区并至少位于框架元件一部分以下的第二可控加热区。
54.如权利要求39所述的加热元件,其特征在于加热层包括一个位于框架元件内部空间和至少框架元件一部分之下的可控加热区。
55.如权利要求38所述的加热元件,其特征在于加热元件的工件支撑面还包括多个用于支撑工件的凸起。
56.如权利要求38所述的加热元件,其特征在于加热元件有一种对称的夹层结构。
57.如权利要求38所述的加热元件,其特征在于加热元件有一种对称的夹层结构,该结构包括一个夹在第一和第二结构层中的加热层。
58.如权利要求57所述的加热元件,其特征在于对称的夹层结构还包括一个插在加热层和每个结构层中的电介质层。
59.权利要求38所述的加热元件,其特征在于加热元件包括:
(a)第一电绝缘层;
(b)层叠在第一电绝缘层的加热层;
(c)设置在加热层上的第二电电绝缘层;
(d)设置在第二电绝缘层上的衬底;和
(e)设置在支撑工件的衬底上的多个凸起。
60.如权利要求38所述的加热元件,其特征在于加热元件包括:
(a)一个衬底;
(b)层叠在衬底上的第一电绝缘层;
(c)层叠在第一电绝缘层的加热层;
(d)层叠在加热层上的第二电电绝缘层;和
(e)设置在支撑工件的衬底上的多个凸起。
61.如权利要求38所述的加热元件,其特征在于加热元件包括至少一个包含聚酰胺树脂的层。
62.如权利要求38所述的加热元件,其特征在于加热元件包括至少一个包含基本上脱碱的陶化瓷玻璃层。
63.如权利要求38所述的加热元件,其特征在于加热元件包括一个层叠到加热层的衬底,并且加热层是电阻加热元件。
64.一种控制工件温度的装置,装置包括:
(a)一个片状加热元件,包括分别对应于工件支撑面和工件冷却面的第一和第二相对主表面,和
(b)一个冷却元件;和
其中装置在加热元件和冷却元件经受一个把工件冷却面拉成与冷却元件热接触的偏压的第一结构中有效地支撑加热元件和冷却元件,和
其中该装置在偏压被克服并且工件的冷却面和冷却元件热解耦的第二结构中有效地支撑加热元件和冷却元件。
65.一种烘烤和冷却工件的方法,包括步骤:
(a)工件被支撑在包括第一和第二相对主表面的片状加热元件上时加热工件,第一主表面支撑工件;
(b)把烘烤盘的第二主表面放置成与冷却元件热接触;和
(c)在烘烤盘的第二主表面与冷却元件热接触的同时控制加热元件的热输出,以致支撑在第一主表面上的工件被冷却。
66.一种烘烤工件的方法,包括工件被支撑在片状叠层的加热元件上时被加热的步骤,加热元件包括一个基本上为平面的衬底层和层压到衬底层的加热层,加热层至少包括一个可控的加热区。
CNA2004100058297A 1998-03-05 1999-03-02 包含低热质量导热烘烤盘的合成烘烤/冷却装置 Pending CN1591774A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/035,628 1998-03-05
US09/035,628 US6072163A (en) 1998-03-05 1998-03-05 Combination bake/chill apparatus incorporating low thermal mass, thermally conductive bakeplate

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CNB998050520A Division CN1146300C (zh) 1998-03-05 1999-03-02 包含低热质量导热烘烤盘的合成烘烤/冷却装置

Publications (1)

Publication Number Publication Date
CN1591774A true CN1591774A (zh) 2005-03-09

Family

ID=21883848

Family Applications (2)

Application Number Title Priority Date Filing Date
CNA2004100058297A Pending CN1591774A (zh) 1998-03-05 1999-03-02 包含低热质量导热烘烤盘的合成烘烤/冷却装置
CNB998050520A Expired - Fee Related CN1146300C (zh) 1998-03-05 1999-03-02 包含低热质量导热烘烤盘的合成烘烤/冷却装置

Family Applications After (1)

Application Number Title Priority Date Filing Date
CNB998050520A Expired - Fee Related CN1146300C (zh) 1998-03-05 1999-03-02 包含低热质量导热烘烤盘的合成烘烤/冷却装置

Country Status (7)

Country Link
US (1) US6072163A (zh)
EP (1) EP1068775A1 (zh)
JP (1) JP2002506279A (zh)
KR (1) KR100549231B1 (zh)
CN (2) CN1591774A (zh)
AU (1) AU2889899A (zh)
WO (1) WO1999045745A1 (zh)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102760680A (zh) * 2011-04-26 2012-10-31 塔工程有限公司 用于半导体基片处理设备的卡盘组件
CN103081972A (zh) * 2013-01-28 2013-05-08 梁祥 多层传送式食品冷却机器
CN103426793A (zh) * 2012-05-24 2013-12-04 沈阳芯源微电子设备有限公司 基板冷热处理装置
CN103792974A (zh) * 2014-01-22 2014-05-14 清华大学 一种可快速精细调节温度场空间分布的加热盘及控制方法
CN105552009A (zh) * 2014-10-29 2016-05-04 北京北方微电子基地设备工艺研究中心有限责任公司 一种半导体加工设备
CN105575847A (zh) * 2014-10-10 2016-05-11 沈阳芯源微电子设备有限公司 一种提升晶圆受热均匀性的装置
CN105630025A (zh) * 2014-10-29 2016-06-01 李东明 光阻预烤炉加热器温度控制装置
CN105630024A (zh) * 2014-10-29 2016-06-01 李东明 增加光阻预烤炉内独立温控区域数量的结构
WO2018209759A1 (zh) * 2017-05-17 2018-11-22 武汉华星光电技术有限公司 利用准分子激光退火制作低温多晶硅的系统及其承载装置
CN109460086A (zh) * 2018-12-26 2019-03-12 仪晟科学仪器(嘉兴)有限公司 多通道智能控温真空系统烘烤装置
TWI772745B (zh) * 2019-03-04 2022-08-01 日商斯庫林集團股份有限公司 熱處理裝置及熱處理方法
WO2023284050A1 (zh) * 2021-07-12 2023-01-19 长鑫存储技术有限公司 半导体热制程用加热装置及加热方法

Families Citing this family (419)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6276072B1 (en) 1997-07-10 2001-08-21 Applied Materials, Inc. Method and apparatus for heating and cooling substrates
US7244677B2 (en) 1998-02-04 2007-07-17 Semitool. Inc. Method for filling recessed micro-structures with metallization in the production of a microelectronic device
EP1019954B1 (en) * 1998-02-04 2013-05-15 Applied Materials, Inc. Method and apparatus for low-temperature annealing of electroplated copper micro-structures in the production of a microelectronic device
US6632292B1 (en) * 1998-03-13 2003-10-14 Semitool, Inc. Selective treatment of microelectronic workpiece surfaces
US6433314B1 (en) * 1998-04-08 2002-08-13 Applied Materials, Inc. Direct temperature control for a component of a substrate processing chamber
US6353209B1 (en) 1999-03-04 2002-03-05 Board Of Trustees Of The Leland Stanford Junior University Temperature processing module
JP2000277521A (ja) * 1999-03-26 2000-10-06 Kobe Steel Ltd 半導体ウェーハの高温高圧処理方法及び装置
US6326647B1 (en) * 1999-04-01 2001-12-04 Stmicroelectronics, Inc. Packaging and mounting of spherical semiconductor devices
US6307184B1 (en) 1999-07-12 2001-10-23 Fsi International, Inc. Thermal processing chamber for heating and cooling wafer-like objects
EP1133214B1 (en) * 1999-09-07 2005-08-10 Ibiden Co., Ltd. Ceramic heater
ATE491825T1 (de) * 1999-09-29 2011-01-15 Tokyo Electron Ltd Mehrzonenwiderstandsheizung
JP3988338B2 (ja) * 1999-10-07 2007-10-10 ウシオ電機株式会社 光照射式急速加熱処理装置の制御装置
JP2001127041A (ja) * 1999-10-26 2001-05-11 Matsushita Electric Ind Co Ltd 基板のプラズマ処理装置およびプラズマ処理方法
US6345150B1 (en) * 1999-11-30 2002-02-05 Wafermasters, Inc. Single wafer annealing oven
JP2001237053A (ja) * 1999-12-14 2001-08-31 Ibiden Co Ltd 半導体製造・検査装置用セラミックヒータおよび支持ピン
JP2001176777A (ja) * 1999-12-16 2001-06-29 Tokyo Electron Ltd 加熱処理装置
AU2001238149A1 (en) * 2000-02-09 2001-08-20 Semitool, Inc. Method and apparatus for processing a microelectronic workpiece at an elevated temperature
US6780374B2 (en) 2000-12-08 2004-08-24 Semitool, Inc. Method and apparatus for processing a microelectronic workpiece at an elevated temperature
US6471913B1 (en) 2000-02-09 2002-10-29 Semitool, Inc. Method and apparatus for processing a microelectronic workpiece including an apparatus and method for executing a processing step at an elevated temperature
EP1124252A2 (en) * 2000-02-10 2001-08-16 Applied Materials, Inc. Apparatus and process for processing substrates
US6544338B1 (en) * 2000-02-10 2003-04-08 Novellus Systems, Inc. Inverted hot plate cure module
US6414276B1 (en) 2000-03-07 2002-07-02 Silicon Valley Group, Inc. Method for substrate thermal management
US6472643B1 (en) 2000-03-07 2002-10-29 Silicon Valley Group, Inc. Substrate thermal management system
JP2001332609A (ja) * 2000-03-13 2001-11-30 Nikon Corp 基板保持装置及び露光装置
US6353210B1 (en) * 2000-04-11 2002-03-05 Applied Materials Inc. Correction of wafer temperature drift in a plasma reactor based upon continuous wafer temperature measurements using and in-situ wafer temperature optical probe
JP4470274B2 (ja) * 2000-04-26 2010-06-02 東京エレクトロン株式会社 熱処理装置
US6838115B2 (en) * 2000-07-12 2005-01-04 Fsi International, Inc. Thermal processing system and methods for forming low-k dielectric films suitable for incorporation into microelectronic devices
EP1341216A1 (en) * 2000-12-05 2003-09-03 Ibiden Co., Ltd. Ceramic substrate for semiconductor manufacturing and inspecting devices, and method of manufacturing the ceramic substrate
US6563686B2 (en) * 2001-03-19 2003-05-13 Applied Materials, Inc. Pedestal assembly with enhanced thermal conductivity
NL1018086C2 (nl) * 2001-05-16 2002-11-26 Asm Int Werkwijze en inrichting voor het thermisch behandelen van substraten.
US6529686B2 (en) * 2001-06-06 2003-03-04 Fsi International, Inc. Heating member for combination heating and chilling apparatus, and methods
EP1481114A4 (en) * 2001-08-31 2005-06-22 Semitool Inc DEVICE AND METHOD FOR ELECTROCHEMICAL PROCESSING OF MICROELECTRONIC WORKPIECES
JP4698097B2 (ja) * 2001-09-26 2011-06-08 京セラ株式会社 ウェハ支持部材
JP4493251B2 (ja) * 2001-12-04 2010-06-30 Toto株式会社 静電チャックモジュールおよび基板処理装置
JP4325902B2 (ja) * 2002-03-20 2009-09-02 京セラ株式会社 ウエハ加熱装置
JP4311914B2 (ja) * 2002-06-05 2009-08-12 住友電気工業株式会社 半導体製造装置用ヒータモジュール
EP1540259A2 (en) 2002-09-10 2005-06-15 FSI International, Inc. Thermal process station with heated lid
US6946033B2 (en) * 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
US20040052969A1 (en) * 2002-09-16 2004-03-18 Applied Materials, Inc. Methods for operating a chemical vapor deposition chamber using a heated gas distribution plate
US20040065656A1 (en) * 2002-10-04 2004-04-08 Makoto Inagawa Heated substrate support
US6956188B2 (en) * 2002-12-06 2005-10-18 General Electric Company Induction heating coil with integrated resonant capacitor and method of fabrication thereof, and induction heating system employing the same
KR20050088159A (ko) * 2003-01-17 2005-09-01 제너럴 일렉트릭 캄파니 웨이퍼 처리 장치
US7311810B2 (en) * 2003-04-18 2007-12-25 Applied Materials, Inc. Two position anneal chamber
WO2004102640A1 (en) * 2003-05-07 2004-11-25 Axcelis Technologies, Inc. Wide temperature range chuck system
DE10328660B3 (de) * 2003-06-26 2004-12-02 Infineon Technologies Ag Verfahren zum Bestimmen der Temperatur eines Halbleiterwafers
US7410355B2 (en) * 2003-10-31 2008-08-12 Asm International N.V. Method for the heat treatment of substrates
US7022627B2 (en) 2003-10-31 2006-04-04 Asm International N.V. Method for the heat treatment of substrates
US6940047B2 (en) * 2003-11-14 2005-09-06 Asm International N.V. Heat treatment apparatus with temperature control system
JP2005150506A (ja) * 2003-11-18 2005-06-09 Sumitomo Electric Ind Ltd 半導体製造装置
US7449662B2 (en) * 2004-04-26 2008-11-11 Hewlett-Packard Development Company, L.P. Air heating apparatus
US20050247668A1 (en) * 2004-05-06 2005-11-10 Silicon Genesis Corporation Method for smoothing a film of material using a ring structure
US7283734B2 (en) * 2004-08-24 2007-10-16 Fujitsu Limited Rapid thermal processing apparatus and method of manufacture of semiconductor device
JP2006135130A (ja) * 2004-11-08 2006-05-25 Sumitomo Electric Ind Ltd 半導体製造装置用加熱体およびこれを搭載した加熱装置
US7217670B2 (en) * 2004-11-22 2007-05-15 Asm International N.V. Dummy substrate for thermal reactor
US7481312B2 (en) * 2004-12-02 2009-01-27 Hitachi Global Storage Technologies Netherlands B.V. Direct cooling pallet assembly for temperature stability for deep ion mill etch process
US7296420B2 (en) * 2004-12-02 2007-11-20 Hitachi Global Storage Technologies Amsterdam, B.V. Direct cooling pallet tray for temperature stability for deep ion mill etch process
US20060127067A1 (en) * 2004-12-13 2006-06-15 General Electric Company Fast heating and cooling wafer handling assembly and method of manufacturing thereof
US7461925B2 (en) * 2005-03-04 2008-12-09 Hewlett-Packard Development Company, L.P. Adjusting power
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US20100270004A1 (en) * 2005-05-12 2010-10-28 Landess James D Tailored profile pedestal for thermo-elastically stable cooling or heating of substrates
US7321722B2 (en) * 2005-06-13 2008-01-22 United Microelectronics Corp. Method for thermal processing a semiconductor wafer
US7941039B1 (en) 2005-07-18 2011-05-10 Novellus Systems, Inc. Pedestal heat transfer and temperature control
JP4435742B2 (ja) 2005-08-09 2010-03-24 信越化学工業株式会社 加熱素子
US8709162B2 (en) * 2005-08-16 2014-04-29 Applied Materials, Inc. Active cooling substrate support
US7184657B1 (en) * 2005-09-17 2007-02-27 Mattson Technology, Inc. Enhanced rapid thermal processing apparatus and method
JP5161450B2 (ja) * 2005-09-30 2013-03-13 財団法人高知県産業振興センター プラズマcvd装置及びプラズマ表面処理方法
DE102005062081A1 (de) * 2005-12-22 2007-07-05 Carl Zeiss Smt Ag Projektionsobjektiv mit dezentraler Steuerung
US20100006030A1 (en) * 2006-06-23 2010-01-14 Ulvac, Inc. Take-up type vacuum vapor deposition apparatus
US7901509B2 (en) * 2006-09-19 2011-03-08 Momentive Performance Materials Inc. Heating apparatus with enhanced thermal uniformity and method for making thereof
JP2008085283A (ja) 2006-09-26 2008-04-10 Momentive Performance Materials Inc 熱均一性が強化された加熱装置及びその製造方法
US7793117B2 (en) * 2006-10-12 2010-09-07 Hewlett-Packard Development Company, L.P. Method, apparatus and system for determining power supply to a load
US7960297B1 (en) 2006-12-07 2011-06-14 Novellus Systems, Inc. Load lock design for rapid wafer heating
US20080203083A1 (en) * 2007-02-28 2008-08-28 Wirth Paul Z Single wafer anneal processor
US20080226838A1 (en) * 2007-03-12 2008-09-18 Kochi Industrial Promotion Center Plasma CVD apparatus and film deposition method
JP4949091B2 (ja) * 2007-03-16 2012-06-06 東京エレクトロン株式会社 基板処理装置、基板処理方法および記録媒体
US7824100B2 (en) * 2007-08-08 2010-11-02 General Electric Company Temperature measurement device that estimates and compensates for incident radiation
US20090110845A1 (en) * 2007-10-30 2009-04-30 General Electric Company Methods for bonding high temperature sensors
US8052419B1 (en) * 2007-11-08 2011-11-08 Novellus Systems, Inc. Closed loop temperature heat up and control utilizing wafer-to-heater pedestal gap modulation
JP4533926B2 (ja) * 2007-12-26 2010-09-01 財団法人高知県産業振興センター 成膜装置及び成膜方法
FR2938353A1 (fr) * 2008-11-10 2010-05-14 Thierry Martinez Dispositif de regulation automatique pour un appareil de chauffage electrique
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8033771B1 (en) 2008-12-11 2011-10-11 Novellus Systems, Inc. Minimum contact area wafer clamping with gas flow for rapid wafer cooling
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
ES2333396B1 (es) * 2009-10-21 2010-09-16 Josep Carbonell Callico Procedimiento de fabricacion de un utensilio de cocina apto para cocinas de induccion.
US9640412B2 (en) * 2009-11-20 2017-05-02 Applied Materials, Inc. Apparatus and method for enhancing the cool down of radiatively heated substrates
JP5603055B2 (ja) * 2009-12-01 2014-10-08 株式会社幸和電熱計器 ホットプレートおよびそれを用いたホットプレートユニット
JP5416570B2 (ja) * 2009-12-15 2014-02-12 住友電気工業株式会社 加熱冷却デバイスおよびそれを搭載した装置
WO2011083883A1 (ko) * 2010-01-08 2011-07-14 한국기계연구원 형상기억합금 기반 공구홀더의 클램핑 및 언클램핑 작동을 위한 가열 및 냉각장치
JP5041016B2 (ja) * 2010-03-01 2012-10-03 東京エレクトロン株式会社 熱処理装置、熱処理方法及び記憶媒体
KR101252176B1 (ko) * 2011-02-17 2013-04-05 (주)나노솔루션테크 웨이퍼 척의 가열/냉각장치 및 이를 포함하는 웨이퍼 본더
US8371567B2 (en) 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) * 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR20140119726A (ko) 2012-01-06 2014-10-10 노벨러스 시스템즈, 인코포레이티드 적응형 열 교환 방법 및 균일한 열 교환을 위한 시스템
JP5793468B2 (ja) * 2012-05-30 2015-10-14 東京エレクトロン株式会社 熱処理装置、熱処理板の冷却方法、プログラム及びコンピュータ記憶媒体
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9100992B2 (en) 2012-10-08 2015-08-04 Minco Products, Inc. Heater assembly
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
WO2014062994A1 (en) * 2012-10-18 2014-04-24 Hypertherm, Inc. Plasma torch power circuit and cooling system
US10025360B2 (en) * 2013-01-09 2018-07-17 Google Llc Thermal adjustment in a computing device using distributed sensors
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
CN103280416B (zh) * 2013-05-31 2016-05-04 深圳市华星光电技术有限公司 一种热处理装置
JP2015023018A (ja) * 2013-07-24 2015-02-02 日本電熱株式会社 加熱体
US9245767B2 (en) 2013-09-12 2016-01-26 Applied Materials, Inc. Anneal module for semiconductor wafers
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
JP2015162665A (ja) * 2014-02-28 2015-09-07 東京エレクトロン株式会社 熱処理方法、熱処理装置、及び記憶媒体
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
TW201639063A (zh) * 2015-01-22 2016-11-01 應用材料股份有限公司 批量加熱和冷卻腔室或負載鎖定裝置
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6575135B2 (ja) * 2015-05-15 2019-09-18 富士電機株式会社 加熱冷却方法及び加熱冷却機器
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
JP6873058B2 (ja) * 2015-06-29 2021-05-19 ヴァリアン セミコンダクター イクイップメント アソシエイツ インコーポレイテッド 基板を保持するための装置
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
GB2540349B (en) * 2015-07-09 2020-03-18 British Gas Trading Ltd Temperature control system
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10596653B2 (en) * 2015-10-20 2020-03-24 Richard Keeton Cutting water table and methods of use
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
JP6614933B2 (ja) * 2015-11-11 2019-12-04 東京エレクトロン株式会社 基板載置機構および基板処理装置
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10347547B2 (en) 2016-08-09 2019-07-09 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
KR101958636B1 (ko) * 2016-10-31 2019-03-18 세메스 주식회사 기판 지지 장치 및 이를 가지는 기판 처리 설비, 그리고 기판 처리 방법
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11054251B2 (en) * 2017-01-31 2021-07-06 Illinois Tool Works Inc. Systems and methods to determine workpiece characteristics
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
DE102017106968A1 (de) 2017-03-31 2018-10-04 Aixtron Se Vorrichtung und Verfahren zur Bestimmung der Konzentration eines Dampfes
DE102017106967A1 (de) 2017-03-31 2018-10-04 Aixtron Se Vorrichtung und Verfahren zur Bestimmung der Konzentration eines Dampfes
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10571337B2 (en) 2017-05-26 2020-02-25 Applied Materials, Inc. Thermal cooling member with low temperature control
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10818123B2 (en) * 2017-11-08 2020-10-27 Commercial Automation, Llc Food product storage and vending kiosk
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11236422B2 (en) 2017-11-17 2022-02-01 Lam Research Corporation Multi zone substrate support for ALD film property correction and tunability
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
CN107841727A (zh) * 2017-12-15 2018-03-27 北京创昱科技有限公司 一种冷却构件及真空镀膜设备
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR20200119806A (ko) 2018-02-14 2020-10-20 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
KR20210019573A (ko) 2018-07-05 2021-02-22 램 리써치 코포레이션 기판 프로세싱 시스템에서 기판 지지부의 동적 온도 제어
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11183400B2 (en) * 2018-08-08 2021-11-23 Lam Research Corporation Progressive heating of components of substrate processing systems using TCR element-based heaters
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10998205B2 (en) * 2018-09-14 2021-05-04 Kokusai Electric Corporation Substrate processing apparatus and manufacturing method of semiconductor device
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202032720A (zh) 2019-02-20 2020-09-01 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11367645B2 (en) 2019-03-13 2022-06-21 Applied Materials, Inc. Temperature tunable multi-zone electrostatic chuck
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
CN109871052A (zh) * 2019-04-03 2019-06-11 上海颐柏科技股份有限公司 一种电热辐射管温度控制装置及其控制方法
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
KR102403198B1 (ko) * 2019-07-19 2022-05-27 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
AU2020316424A1 (en) * 2019-07-23 2022-02-24 Yo-Kai Express Inc. Food vending machine and food vending method using the same
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN110809337B (zh) * 2019-09-29 2021-09-03 洛阳轴承研究所有限公司 一种电加热环式轴承加热工装
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
CN111477569B (zh) * 2020-04-10 2024-02-27 北京北方华创微电子装备有限公司 一种半导体设备中的加热装置及半导体设备
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
US10866036B1 (en) 2020-05-18 2020-12-15 Envertic Thermal Systems, Llc Thermal switch
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
TWI777341B (zh) * 2020-12-29 2022-09-11 皇丞創新科技股份有限公司 自動加熱式販賣機
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1293132A (en) * 1968-11-12 1972-10-18 Gulton Europ Ltd Improvements in or relating to temperature controllers
DE2263469C3 (de) * 1972-12-27 1975-10-02 Kernforschungsanlage Juelich Gmbh, 5170 Juelich Temperaturmeßeinrichtung
US3966500A (en) * 1973-04-25 1976-06-29 Kernforschungsanlage Julich Gesellschaft Mit Beschrankter Haftung Temperature-measuring device
US4030015A (en) * 1975-10-20 1977-06-14 International Business Machines Corporation Pulse width modulated voltage regulator-converter/power converter having push-push regulator-converter means
JPS52141526A (en) * 1975-10-27 1977-11-25 Seiko Epson Corp Voltage and temperature compensating control of thermal printer
US4443117A (en) * 1980-09-26 1984-04-17 Terumo Corporation Measuring apparatus, method of manufacture thereof, and method of writing data into same
US4486652A (en) * 1981-05-12 1984-12-04 Varian Associates, Inc. Blackbody radiation source with constant planar energy flux
US4475823A (en) * 1982-04-09 1984-10-09 Piezo Electric Products, Inc. Self-calibrating thermometer
DE3325381A1 (de) * 1983-07-14 1985-01-31 Kernforschungsanlage Jülich GmbH, 5170 Jülich Rauschthermometer/thermoelement-messfuehler und leitung zum anschluss an den messfuehler
US5484011A (en) * 1986-12-19 1996-01-16 Applied Materials, Inc. Method of heating and cooling a wafer during semiconductor processing
ATE96576T1 (de) * 1987-12-03 1993-11-15 Balzers Hochvakuum Verfahren und vorrichtung zur uebertragung thermischer energie auf bzw. von einem plattenfoermigen substrat.
US5252807A (en) * 1990-07-02 1993-10-12 George Chizinsky Heated plate rapid thermal processor
US5291514A (en) * 1991-07-15 1994-03-01 International Business Machines Corporation Heater autotone control apparatus and method
US5226472A (en) * 1991-11-15 1993-07-13 Lab-Line Instruments, Inc. Modulated temperature control for environmental chamber
US5567267A (en) * 1992-11-20 1996-10-22 Tokyo Electron Limited Method of controlling temperature of susceptor
JPH06244095A (ja) * 1993-02-12 1994-09-02 Dainippon Screen Mfg Co Ltd 基板冷却装置
TW262566B (zh) * 1993-07-02 1995-11-11 Tokyo Electron Co Ltd
US5410162A (en) * 1993-10-15 1995-04-25 Texas Instruments Incorporated Apparatus for and method of rapid testing of semiconductor components at elevated temperature
US5595241A (en) * 1994-10-07 1997-01-21 Sony Corporation Wafer heating chuck with dual zone backplane heating and segmented clamping member
JPH09157846A (ja) * 1995-12-01 1997-06-17 Teisan Kk 温度調節装置
WO1998005060A1 (en) * 1996-07-31 1998-02-05 The Board Of Trustees Of The Leland Stanford Junior University Multizone bake/chill thermal cycling module

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102760680B (zh) * 2011-04-26 2015-05-27 塔工程有限公司 用于半导体基片处理设备的卡盘组件
CN102760680A (zh) * 2011-04-26 2012-10-31 塔工程有限公司 用于半导体基片处理设备的卡盘组件
CN103426793A (zh) * 2012-05-24 2013-12-04 沈阳芯源微电子设备有限公司 基板冷热处理装置
CN103426793B (zh) * 2012-05-24 2016-02-03 沈阳芯源微电子设备有限公司 基板冷热处理装置
CN103081972A (zh) * 2013-01-28 2013-05-08 梁祥 多层传送式食品冷却机器
CN103792974A (zh) * 2014-01-22 2014-05-14 清华大学 一种可快速精细调节温度场空间分布的加热盘及控制方法
CN105575847B (zh) * 2014-10-10 2018-02-02 沈阳芯源微电子设备有限公司 一种提升晶圆受热均匀性的装置
CN105575847A (zh) * 2014-10-10 2016-05-11 沈阳芯源微电子设备有限公司 一种提升晶圆受热均匀性的装置
CN105552009A (zh) * 2014-10-29 2016-05-04 北京北方微电子基地设备工艺研究中心有限责任公司 一种半导体加工设备
CN105630024A (zh) * 2014-10-29 2016-06-01 李东明 增加光阻预烤炉内独立温控区域数量的结构
CN105630025A (zh) * 2014-10-29 2016-06-01 李东明 光阻预烤炉加热器温度控制装置
WO2018209759A1 (zh) * 2017-05-17 2018-11-22 武汉华星光电技术有限公司 利用准分子激光退火制作低温多晶硅的系统及其承载装置
CN109460086A (zh) * 2018-12-26 2019-03-12 仪晟科学仪器(嘉兴)有限公司 多通道智能控温真空系统烘烤装置
TWI772745B (zh) * 2019-03-04 2022-08-01 日商斯庫林集團股份有限公司 熱處理裝置及熱處理方法
WO2023284050A1 (zh) * 2021-07-12 2023-01-19 长鑫存储技术有限公司 半导体热制程用加热装置及加热方法

Also Published As

Publication number Publication date
US6072163A (en) 2000-06-06
EP1068775A1 (en) 2001-01-17
JP2002506279A (ja) 2002-02-26
CN1146300C (zh) 2004-04-14
CN1297670A (zh) 2001-05-30
AU2889899A (en) 1999-09-20
WO1999045745A1 (en) 1999-09-10
KR20010041579A (ko) 2001-05-25
KR100549231B1 (ko) 2006-02-03

Similar Documents

Publication Publication Date Title
CN1146300C (zh) 包含低热质量导热烘烤盘的合成烘烤/冷却装置
CN1513107A (zh) 用于组合式加热和冷却设备的加热部件以及其加热方法
CN1210815C (zh) 一种光电设备
CN1091341C (zh) 电子元件装配方法及装置
CN1290392C (zh) 电子装置
CN1314834C (zh) 处理装置、处理方法及载置部件
CN1111900C (zh) 晶片处理装置、晶片处理方法、和半导体衬底制备方法
CN1055891C (zh) 管状膜、制造管状膜的方法
CN1096716C (zh) 连续真空层压处理系统
CN1138332C (zh) 功率转换装置以及使用它的空调机
CN1695230A (zh) 多区域电阻加热器
CN100343956C (zh) 等离子加工方法和设备及用于等离子加工的托架
CN1959932A (zh) 在等离子体反应装置中以均匀温度冷却晶片支撑的方法
CN1841654A (zh) 载置台的温度控制装置、方法和程序以及处理装置
CN1689153A (zh) 微结构冷却器及其应用
CN1247317C (zh) 粉体涂装装置和粉体涂装方法
CN1990259A (zh) 加热电阻元件、热式打印头、打印机、以及加热电阻元件的制造方法
CN1910308A (zh) 先进的多压力工件加工
CN1759470A (zh) 衬底处理装置和温度调节装置
CN1208503A (zh) 热电元件的制造方法
CN1437837A (zh) 陶瓷衬底和制造陶瓷衬底的处理
CN1367410A (zh) 感应加热辊装置,加热辊,定影设备和成像设备
CN107234429B (zh) 一种散热片电动装置及工艺
JP2001523045A (ja) 加工物を保持する装置
CN101351874A (zh) 焊接方法和半导体模块的制造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication