CN1620712A - 用于溅射和再溅射的自离子化和电感耦合的等离子体 - Google Patents

用于溅射和再溅射的自离子化和电感耦合的等离子体 Download PDF

Info

Publication number
CN1620712A
CN1620712A CNA028282035A CN02828203A CN1620712A CN 1620712 A CN1620712 A CN 1620712A CN A028282035 A CNA028282035 A CN A028282035A CN 02828203 A CN02828203 A CN 02828203A CN 1620712 A CN1620712 A CN 1620712A
Authority
CN
China
Prior art keywords
target
coil
sputter
substrate
power
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA028282035A
Other languages
English (en)
Inventor
P·丁
Z·徐
R·C·莫塞利
S·伦加拉詹
N·迈蒂
D·A·卡尔
B·秦
P·F·史密斯
D·安杰洛
A·托利亚
J·傅
F·陈
P·戈帕拉贾
X·唐
J·C·福斯特
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1620712A publication Critical patent/CN1620712A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • C23C14/046Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3435Applying energy to the substrate during sputtering
    • C23C14/345Applying energy to the substrate during sputtering using substrate bias
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3457Sputtering using other particles than noble gas ions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • C23C14/354Introduction of auxiliary energy into the plasma
    • C23C14/358Inductive energy
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/02Electroplating of selected surface areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3402Gas-filled discharge tubes operating with cathodic sputtering using supplementary magnetic fields
    • H01J37/3405Magnetron sputtering
    • H01J37/3408Planar magnetron sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76868Forming or treating discontinuous thin films, e.g. repair, enhancement or reinforcement of discontinuous thin films
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3322Problems associated with coating
    • H01J2237/3327Coating high aspect ratio workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • H01L2221/1073Barrier, adhesion or liner layers
    • H01L2221/1084Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L2221/1089Stacks of seed layers

Abstract

一种磁控管溅射反应器及其使用方法,该反应器用于溅射沉积诸如例如钽、氮化钽和铜的材料,其中自离子化等离子体(SIP)溅射和电感耦合等离子体(ICP)溅射,两者一起或两者之一,在同一室中被促进。此外,通过ICP再溅射可减薄或消除底部覆盖。通过具有不均匀磁强度磁极的小磁控管和在溅射期间施加到靶的高功率来促进SIP。通过一个或多个将RF能量电感耦合进等离子体中的RF线圈来提供ICP。组合的SIP-ICP层可以充当用于孔的衬套或阻挡或籽晶或成核层。另外,可以溅射RF线圈以在ICP再溅射期间提供保护材料。

Description

用于溅射和再溅射的自离子化和电感耦合的等离子体
相关申请
本申请要求2001年12月21日提交的临时申请序号60/342,608和2001年8月30日提交的临时申请序号60/316,137的优先权,通过引用将其全部合并。
技术领域
本发明一般涉及溅射和再溅射。特别地,本发明涉及在半导体集成电路的形成中材料的溅射沉积和沉积材料的再溅射。
背景技术
半导体集成电路一般包括多层涂敷金属以提供在大量有源半导体器件之间的电连接。先进集成电路,特别是那些用于微处理器的,可以包括五层或更多的涂敷金属层。以前,铝是受欢迎的涂敷金属,但是已经开发铜作为先进集成电路的涂敷金属。
在剖面图图1中示出了典型的涂敷金属层。下级层110包括导电部件112。如果下级层110是诸如氧化硅或其他绝缘材料的下级介电层,则导电部件112可以是下级铜涂敷金属,并且上级涂敷金属的垂直部分因其互连两层涂敷金属而被称为通路。如果下级层110为硅层,则导电部件112可以是掺杂硅区,并且在孔中形成的上级涂敷金属的垂直部分因其电气地接触硅而被称为触点。上级介电层114沉积在下级介电层110和下级涂敷金属112上。还有包括管线和沟槽的其他形状的孔。同样,如下所述,在双金属镶嵌和类似的互连结构中,孔具有复杂形状。在一些应用中,孔不贯穿介电层。后续讨论仅针对通路孔,但是在大多数情况下,该讨论同样适用于仅有本领域所公知的少量修改的其他类型的孔。
通常,电介质是通过增强等离子体化学气相沉积(PECVD)利用四乙烷正硅酸盐(TEOS)作为前体形成的氧化硅。然而,可以考虑其他成分的低k材料和沉积技术。一些正在开发的低k电介质可以具有硅酸盐的特征,例如氟化硅酸盐玻璃。下文中仅直接描述硅酸盐(氧化物)电介质,但可预计的是也可以使用其他电介质合成物。
在硅酸盐电介质的情况中,通常利用氟基等离子体蚀刻工艺在上级介电层114中蚀刻通路孔。在先进集成电路中,通路孔的宽度可以小于等于0.18μm。介电层114的厚度通常至少为0.7μm,有时为其两倍,以至于该孔的孔径比为4∶1或更大。目前建议采用6∶1或更大的孔径比。而且,在大多数情况下,通路孔应该具有垂直侧面。
衬套层116可以沉积在孔的底部和侧面上以及介电层114之上。衬套116可以实现几个功能。它可以用作电介质与金属之间的粘合层,因为金属膜易于从氧化物上剥离。它还可以用作阻止氧化物基电介质与金属之间相互扩散的屏障。它还可以用作籽晶和成核层以促进均匀的粘合和生长以及填充孔的金属沉积物的可能的低温重熔,并使独立的籽晶层均匀生长。可以沉积一个或多个衬套层,其中一个层主要用作屏障层而其他层主要用作粘合、籽晶或成核层。
例如,诸如铜的导电金属制成的互连层118随后沉积在衬套层116上,以填充孔并覆盖介电层114的顶部。通过对金属层118的平面部分的选择性蚀刻,常规的铝涂敷金属被构图成水平互连。然而,用于铜涂敷金属的称为双金属镶嵌的技术将介电层114中的孔形成为两个连接部分,第一为穿过电介质底部的狭窄通路而第二为在表面部分中与通路互连的较宽沟槽。在金属沉积之后,执行化学机械抛光(CMP),该化学机械抛光除去暴露在电介质氧化物上相对较软的铜而停止于较硬的氧化物上。结果,相似于邻接的下一级的导电部件112,上级的多个填铜沟槽彼此隔离。填铜沟槽用作在填铜通路之间的水平互连。双金属镶嵌工艺与CMP的结合不再需要蚀刻铜。已经研制出用于双金属镶嵌的若干种层结构和蚀刻顺序,并且其他的涂敷金属结构具有相似的制造要求。
诸如出现在双镶嵌金属中的衬套和填充通路孔以及相似的高孔径比结构,随着它们的孔径比不断增大,已经表现出持续的难题。4∶1的孔径比是普通的,而且该值将进一步增大。此处所用的孔径比定义为孔的深度和孔的最窄宽度的比率,孔的最窄宽度通常在其顶表面附近。0.18μm的通路宽度也是普通的,而且该值将进一步降低。对于形成在氧化物电介质中的先进的铜互连,屏障层的形成倾向于与成核和籽晶层明确分离。扩散屏障层可以由Ta/TaN、W/WN或Ti/TiN或其他结构的双层形成。屏障层的典型厚度为10至50nm。对于铜互连,已发现沉积一个或更多个铜层以实现成核和籽晶功能是十分有利的。
通过常规物理气相沉积(PVD)的衬套层或涂敷金属的沉积,也称为溅射,较快。DC磁控管溅射反应器具有由要溅射沉积的金属组成的且由DC电源向其提供电力的靶。磁控管在靶的背面附近被扫描且将其磁场发射在相邻于靶的反应器部分以增加那里的等离子体的密度,从而增加溅射速率。然而,常规的DC溅射(与要介绍的其他类型溅射相比较将其称之为PVD)主要溅射中性原子。典型的PVD离子密度通常小于109cm-3。PVD还易于将原子溅射呈宽角分布,通常具有关于靶法线的余弦关系式。这种宽分布会不利于填充深且窄的通路孔122,以致如图2中示出那样,其中屏障层124已经沉积。大量的角外溅射颗粒可以引起层126优先沉积在孔122的上拐角周围并形成突出物128。大的突出物可以进一步地限制进入孔122,并引起孔122的侧壁130和底部132的不充分覆盖。而且,突出物128在填充孔之前会跨接孔122并在孔122内的涂敷金属中产生空白134。一旦形成空白134,通常很难通过将金属加热之接近其熔点来将其重熔。即使小的空白可以引起可靠性的问题。如果设计有第二涂敷金属沉积步骤,如通过电镀,跨接的突出物会使随后的沉积更困难。
一种改善突出物问题的方法是长射程溅射,其中将溅射靶放置在距离要被溅射涂覆的晶片或其他基底相对较远的地方。例如,靶至晶片的距离可以至少是晶片直径的50%,优选大于90%,且更为优选地大于140%。结果,溅射分布的角外部分优先射向室壁,但是角中央部分仍然基本上射向晶片。截角分布会引起要深射入孔122中的溅射颗粒的高粒度级且减小突出物128的突出程度。通过在靶与晶片之间放置准直仪能够实现类似效果。因为准直仪具有大量的高孔径比的孔,角外溅射颗粒易于轰击准直仪的侧壁,角中央的颗粒易于穿过。远距靶和准直仪通常都减小到达晶片的溅射颗粒的流量,从而有助于降低溅射沉积速率。随着射程加长或随着使校准更严于适应增加孔径比的通路孔,这种减小可以变得更为显著。
而且可以限制可增加的长射程溅射的长度。在PVD溅射中常使用的几毫托的氩压力下,随着靶至晶片间隔的增加,氩分散溅射颗粒的可能性更大。因此,可以减少向前颗粒的几何选择。长射程和准直的另一问题是减少了的金属粒子会导致较长的沉积周期,其不仅降低了产量,而且有助于增加晶片在溅射期间经历的最大温度。更进一步地,长射程溅射可以减小突出物并提供在侧壁中间和上部的良好覆盖,但是侧壁下部和底部覆盖会令人较不满意。
用于深孔衬套和填充的另一技术是在称为离子化金属电镀(IMP)的溅射工艺中利用高密度等离子体(HDP)溅射。一般的高密度等离子体的密度在除去等离子体护罩之外的具有全部等离子体范围内的至少1011cm-3的平均等离子体密度,优选为至少1012cm-3。例如,在IMP沉积中,通过将RF电源电感耦合至来自在靶与晶片之间的等离子体源区周围的缠绕的电线圈的等离子体,在远离晶片的区域中形成独立的等离子体源区。以这种方式产生的等离子体称为电感耦合等离子体(ICP)。具有该结构的HDP室来自Applied Materials of Santa Clara,California的商用HDP PVD反应器。可以利用其他的HDP溅射反应器。较高的功率不仅离子化氩工作气体还显著增加溅射原子的离子系数,即产生金属离子。晶片或者自充电至负电势或为RF偏置以控制其DC电势。随着金属离子接近负偏置晶片,它们被加速穿过等离子护罩。结果,它们的角分布在向前方向中变得更尖以至于它们深深地吸入通路孔。在IMP溅射中突起物成为更小的问题,且底部覆盖和底部侧壁覆盖相对较高。
通常在诸如30毫托或更高的较高压力下执行利用远程等离子体源的IMP溅射。较高压力和高密度的等离子体可以产生非常多的氩离子,该氩离子加速穿过等离子护罩倍至要被溅射沉积的表面。通常将氩离子的能量作为热直接分散进要形成的膜中。在IMP中经历的升高温度下,即使在50至75℃那样低的温度下,铜可以与氮化钛和其他屏障材料反浸润。此外,氩易于植入形成中的膜。IMP沉积为在横截面图图3的136示出的铜膜,该铜膜具有粗糙或不连续的表面形态。如果这样,这种膜不会促进孔填充,特别是当衬套用作电镀的电极时。
沉积金属的另一种技术是持续自溅射(SSS),其由Fu等人在1997年5月8日提交的美国专利申请序号08/854,008中以及Fu在1999年8月12日提交的美国专利号6,183,614 B1、序号09/373,097描述。例如,在邻接铜靶处的足够高的等离子体密度下,产生足够高的铜离子密度以致铜离子以超出单位的量再溅射铜靶。然后氩工作气体的供给可以被消除或至少减小到较低的压力而铜等离子体仍旧持续。铝被认为是不容易受SSS影响的。一些其他材料,如Pd、Pt、Ag和Au也可以经受SSS。
通过铜的持续自溅射而沉积的铜或其他金属具有很多优点。在SSS中的溅射速率趋向于高。具有被加速穿过等离子护罩并朝向偏压晶片的铜离子的高粒度级,因而就提高了溅射流的方向性。室压可以非常低,其通常由背侧的冷却气体的泄漏所限制,从而减小来自氩离子的晶片加热,并减少氩引起的金属颗粒的分散。
已经开发出促进持续自溅射的技术和反应器结构。可观察到的是,尽管一些溅射材料因为低于单位的再溅射量而不能经受SSS,但是仍然可从这些同样的技术和结构中获益,可能这是因为产生部分自离子化等离子体(SIP)的部分自溅射。此外,即使可获得没有任何氩工作气体的SSS,但是以低而有限的氩压力的溅射铜通常是有利的。因此,SIP溅射是用于更多包括减小的或零工作气体压力的一般溅射工艺的优选术语,因而SSS是SIP的一种。
还可以通过利用金属-有机前体的化学气相沉积(CVD)来沉积金属,其中金属-有机前体诸如Cu-HFAC-VTMS,其可从Schumacher以具有额外添加剂的专利混合物的形式在市场上买到,商品名CupraSelect。本领域技术人员公知热CVD处理可以与该前体一起使用,但是等离子增强CVD(PECVD)也有可能。即使在高孔径比的孔中,CVD处理也能够沉积几乎保形的膜。例如,CVD将膜沉积为薄的籽晶层,然后PVD或其他技术用于最后的孔填充。然而,通常观察到CVD铜籽晶层是粗糙的。粗糙可以消弱其作为籽晶层的作用,特别是作为重熔层的作用,重熔层要改善沉积铜深入孔中后的低温重熔。同样,粗糙表明会需要50nm数量级的较厚的CVD铜层来可靠地涂覆连续的籽晶层。现在考虑较窄的通路孔,特定厚度的CVD籽晶层会几乎填充该孔。然而,通过CVD执行的完全填充会受中央接缝的影响,中央接缝会影响器件的可靠性。
另一种结合技术使用IMP溅射以沉积出一个薄的铜成核层,有时称之为闪沉积(flash),并且较厚的CVD铜籽晶层沉积在IMP层上。然而,如图3所示,IMP层136可能是粗糙的,且CVD层易于保形地跟随着粗糙的基底。因此,在IMP层上的CVD也容易粗糙。
电化学镀(ECP)是另一种正在开发的铜沉积技术。在该方法中,将晶片浸入铜电解槽中。将晶片相对于槽电偏置,并按照普通的保形工艺将铜电化学地沉积在晶片上。还可以利用无电镀技术。电镀及其相关工艺是有利的,因为可以在大气压下用简单的设备来执行它们,沉积速率高,而且液体处理与随后的化学机械抛光相容。
然而,电镀强加于其自身的需要。籽晶和粘合层通常设置在诸如Ta/TaN的屏障层顶部之上,以使电镀的铜成核并将其粘结到屏障材料。而且,通路孔122周围的普通绝缘的结构需要形成在绝缘层114与通路孔122之间的电镀电极。钽和其他屏障材料一般为相对较弱的电导体,而面对通路孔122(包含铜电解质)的屏障层124的常见的渗氮亚层对于在电镀中需要的长横向电流路径甚至具有更弱的导电性。因此,经常沉积良导电性的籽晶和粘合层以促进电镀有效地填充通路孔的底部。
沉积在屏障层124上的铜籽晶层一般用作电镀电极。然而,优选连续平滑且均匀的膜。否则,电镀电流会仅流向由铜覆盖的区域或优选流向由较厚的铜覆盖的区域。沉积铜籽晶层表现出其自身的困难。IMP沉积的籽晶层在高孔径比的孔中提供良好的底部覆盖,但是其侧壁覆盖可能是小的以致最终的薄膜可能是粗糙的或不连续的。薄的CVD沉积的籽晶也可能很粗糙。较厚的CVD籽晶层或IMP铜上的CVD铜需要过厚的籽晶层以获得要求的连续性。此外,电镀电极主要在整个孔侧壁上操作以便于期望高侧壁覆盖。长射程提供充足的侧壁覆盖,但是底部覆盖可能并不充足。
发明内容
本发明的一个实施例涉及通过在一个室中结合长射程溅射、自离子化等离子体(SIP)溅射、电感耦合等离子体(ICP)再溅射和线圈溅射来溅射沉积诸如氮化钽的衬套材料。长射程溅射的特征为靶至基底的距离与基底直径的比率较高。长射程SIP溅射促进离子化的和中性的沉积材料成分的深孔涂覆。ICP再溅射可以减小深孔底部覆盖层的厚度以减小接触电阻。在ICP再溅射期间,ICP线圈溅射能够沉积保护层,具体地在诸如邻接孔开口的区域上,其处可能并不希望通过再溅射变薄。
本发明的另一实施例涉及通过在一个室中结合长射程溅射、自离子化等离子体(SIP)溅射和电感耦合等离子体(ICP)溅射来溅射沉积诸如铜的互连材料。长射程SIP溅射促进离子化的和中性的铜成分的深孔涂覆。ICP溅射为深孔的良好底部覆盖促进增强金属离子化。
通过低于5毫托、优选低于2毫托且更为优选的低于1毫托的低压,有助于促进SIP。特别在这些低压下,具有相对较小面积从而增加靶功率密度的磁控管和具有产生磁场穿透基底的不对称磁铁的磁控管有助于促进SIP。在另一实施例中,离靶相对较远延伸的电悬浮的溅射护罩也可促进SIP,优选在6至10cm的范围内。通过提供一个或多个设置在等离子体生成区域周围的RF线圈来促进ICP溅射。RF能量电感耦合于该区域以产生并保持等离子体。根据本发明的一个方案,控制溅射条件以在SIP与ICP溅射之间选择,或者要不然在SIP与ICP溅射之间提供平衡从而控制溅射流中金属离子和中性金属原子的比率。
本发明可用于沉积籽晶层,促进在沉积了层之后的成核或种晶,特别有利于形成贯穿介电层的窄而深的通路或触点。通过电化学镀(ECP)沉积另一层。在另一实施例中,通过化学气相沉积(CVD)沉积另一层。CVD层自身可以用作随后ECP的籽晶层,或者CVD层可以完全填充孔,特别是对很高孔径比的孔。
如下所讨论的,存在本发明的其他方案。因此应该意识到,前述仅为本发明的一些实施例和方案的简要说明。本发明的另外的实施例和方案将在下面提及。还应该意识到的是,在不脱离本发明的精神和范围下对公开的实施例可以作出大量的变化。因此前述说明并无意限制本发明的范围。更准确地,本发明的范围仅由所附的权利要求及其等同物决定。
附图说明
图1是在现有技术中实施的由覆盖介电层顶部的涂敷金属填充的通路的横截面图。
图2是在涂敷金属填充通路期间的通路的横截面图,其中涂敷金属突出并封闭了通路孔。
图3是具有通过离子化金属电镀沉积的粗糙籽晶层的通路的横截面图。
图4是可用于本发明实施例的溅射室的示意图。
图5是图4的溅射室的各部分的电互连的示意图。
图6是详述靶、护罩、线圈、支座、隔离体和靶的O-环的图4的部分放大图。
图7是说明悬浮护罩的长度与维持等离子体的最小压力之间关系的图。
图8A-8E是根据本发明的一个实施例的通路衬套和通路衬套形成工艺的横截面图。
图9是根据本发明的一个实施例的工艺形成的通路涂敷金属的横截面图。
图10是根据本发明的替换实施例的溅射室的示意图。
图11是图10的溅射室的各部分的电互连的示意图。
图12A和12B是描绘对于两个不同磁控管和不同操作条件穿过晶片的电流流量的图。
图13A是根据SIP工艺的通路涂敷金属的横截面图。
图13B是根据替换SIP的通路涂敷金属的横截面图。
图14是降低晶片加热的等离子体激发顺序的流程图。
图15是可在其上实现本发明实施例的集成处理设备的示意图。
具体实施方式
在DC磁控管溅射反应器中的侧壁和底部覆盖之间的分布适合于制造诸如在介电层中的孔或通路中具有期望轮廓的衬套层的金属层。溅射沉积入高孔径比通路中的SIP膜可以具有良好的上侧壁覆盖且不易于产生突出物。如果需要,可以通过通路底部的ICP再溅射来减薄或消除底部覆盖。根据本发明的一个方案,可以在反应器中获得两类溅射的优点,该反应器优选在分离步骤中结合SIP和ICP等离子产生技术的精选方案。这种反应器的实例以图4中的150概要说明。另外,通过溅射位于室内的ICP线圈151将线圈材料沉积到基底上可使衬套层侧壁的上部免于再溅射。
反应器150可以用于利用SIP或ICP、优选二者结合、但可选地也可二者中选其一产生的等离子体溅射沉积诸如互连层的金属层。在DC磁控管溅射反应器中的离子化的与中性的原子流量的分布可以适合于在介电层中的孔或通路中产生保形涂层。如前所述,溅射沉积在高孔径比的孔中的SIP膜具有良好的上侧壁覆盖并且不易于产生突出物。另一方面,ICP产生的等离子体可以增加金属离子化以便于溅射沉积到这种孔中的膜可以具有良好的底部和底部拐角覆盖。根据本发明的另一方案,在诸如反应器150的反应器中可以获得两种溅射类型的优点,在该反应器中结合两种沉积技术的选择方面。另外,如果需要,线圈材料也可以被溅射以贡献于沉积层。
示出的实施例的反应器150是基于Endura PVD反应器变型的DC磁控管型反应器,Endura PVD反应器可从Applied Materials,Inc.ofSanta Clara,California公司获得。反应器150包括真空室152,真空室152通常是金属的且电接地、整个靶隔离器154一直到PVD靶156都被密封,其中PVD靶156具有至少一个表面部分是由要在晶片158上溅射沉积的材料组成的。虽然靶的溅射表面在附图中画作平面,但应意识到靶溅射表面可具有包括拱形和圆柱形在内的各种形状。晶片可以是包括150、200、300和450nm在内的不同尺寸。所示反应器150能够以长射程模式自离子化溅射(SIP)。该SIP模式可以用于一个实施例中,在该实施例中期望诸如主要针对孔侧壁的覆盖的非保形覆盖。SIP模式还可以用于获得保形覆盖。
反应器150还具有RF线圈151,该线圈将RF能量电感耦合进入反应器的内部。由线圈151提供的RF能量将诸如氩的前体气体离子化来保持等离子体以利用离子化的氩将沉积层再溅射为薄的底部覆盖,或离子化溅射的沉积材料以改善底部覆盖。在一个实施例中,例如,不是在诸如通常用于高密度IMP工艺的20-60毫托的较高压力下保持等离子体,该压力优选保持在相当低的压力下,诸如对于氮化钽沉积为1毫托或对于钽沉积为2.5毫托。然而,取决于应用,在0.1至40毫托范围内的压力可适用。因此,认为在反应器150内的离子化速率明显低于一般的高密度IMP工艺的离子化速率。该等离子体可以用于再溅射沉积层或离子化溅射的沉积材料,或两者。更进一步地,可以溅射线圈151自身以在沉积到晶片上的材料的再溅射期间在对晶片上的那些不期望减薄沉积材料的区域提供保护涂层,或另外提供附加的沉积材料。
在一个实施例中,认为在多步工艺中可以获得良好的上侧壁覆盖和底部拐角覆盖,其中在一个步骤中,向线圈施加很少的RF功率或不施加。这样,在一个步骤中,溅射靶的沉积材料的离子化主要作为自离子化的结果发生。因此,认为可以获得良好的上侧壁覆盖。在第二步骤中且优选在相同的室中,可以向线圈151施加RF功率而向靶施加低功率或不施加。在该实施例中,几乎不或没有材料从靶156上溅射而前体气体的离子化会主要由通过线圈151电感耦合的RF能量引发。ICP等离子可以通过蚀刻或再溅射来直接减薄或消除底部覆盖以减小孔底部的屏障层电阻。另外,可以溅射线圈151以在不期望减薄的地方沉积保护材料。在一个实施例中,压力保持相对较低以使等离子的密度也相对较低以减小来自线圈的溅射沉积材料的离子化。结果,溅射的线圈材料可以主要保持为中性以致主要沉积在上侧壁上以保护那些部分不被减薄。
因为所示的反应器150能够自离子化溅射,不仅作为RF线圈151保持的等离子体的结果而且还通过靶156自身的溅射,沉积材料可以自离子化。当期望沉积保形层时,认为结合的SIP和ICP离子化工艺为良好的底部和底部拐角覆盖提供充足的离子化材料。然而,还认为由RF线圈151提供的低压等离子体的较低的离子化速率使充足的中性溅射材料保持非离子化以便于沉积在上侧壁。这样,认为离子化沉积材料的组合源可以提供良好的上侧壁覆盖以及良好的底部和底部拐角覆盖,下面将作更为详细的解释。
在替换实施例中,认为可以在多步工艺中获得良好的上侧壁覆盖、底部覆盖和底部拐角覆盖,在该工艺中,在一个步骤中,几乎没有或没有RF功率施加到线圈。这样,在一个步骤中,沉积材料的离子化会主要作为自离子化的结果发生。结果,认为可以获得良好的上侧壁覆盖。在第二步骤中且优选在相同的室中,RF功率施加到线圈151。另外,在一个实施例中,充分升高压力以便于保持高密度等离子体。结果,认为在第二步骤中可以获得良好的底部以及底部拐角覆盖。
晶片夹具160将晶片158夹持在基座电极162上。可以提供阻抗加热器、制冷槽和在基座162中的热传递气体腔以允许基座的温度被控制在小于-40℃的温度,从而使晶片温度被相似地控制。
通过第二介电护罩隔离体168独立的暗区护罩164和室护罩166保持在室152内以保护室壁152不受溅射材料的影响。在示出的实施例中,暗区护罩164和室护罩166接地。然而,在一些实施例中,护罩可以悬浮或偏压至非接地电平。室护罩166还充当相对于阴极靶156的阳极接地平面,从而电容性支撑等离子体。如果允许暗区护罩电悬浮,一些电子会沉积在暗区护罩164上以至于在那构成负电荷。认为负电势不仅可以排斥进一步的电子沉积,而且如果需要,将电子限定在主要的等离子体区域,这样减小了电子损失,维持了低压溅射,并增加了等离子体的密度。
通过多个线圈支座180将线圈151支撑在护罩164上,线圈支座将线圈151与支撑护罩164电绝缘。另外,支座180具有曲折的通道,该通道允许导电材料从靶110到线圈支座180上重复沉积同时防止沉积材料从线圈151到护罩164的全部导电路径形成,该导电路径会将线圈151短路于护罩164(其通常接地)。
为了能够将线圈用作电路路径,RF功率通过真空室壁并通过护罩164穿至线圈151的端部。真空馈入装置(未示出)延伸穿过真空室壁以提供来自发生器的RF电流,该发生器优选位于真空压力室的外部。通过馈入装置支座182穿过护罩164向线圈151施加RF功率(图5),与线圈支座180相似馈入装置支座182具有曲折通道以防止会将线圈151短路于护罩164的从线圈151到护罩164的沉积材料的路径形成。
等离子体暗区护罩164通常为圆柱形。等离子体室护罩166通常为碗状,并包括常为圆柱状的、垂直定向的壁190,支座180和182与该壁190相连以绝缘地支撑线圈151。
图5是示出的实施例的等离子体产生设备的电连接示意图。为了吸引有等离子体产生的离子,例如,通过在1-40kW的DC功率下可变的DC电源200对靶156优选地加负偏压。电源200将靶156负偏压至相对于室护罩166大约-400至-600 VDC以激发并维持等离子体。通常使用1与5kW之间的靶功率来激发等离子体而大于10kW的功率优选用于此处所述的SIP溅射。例如,可以使用24kW的靶功率来通过SIP溅射沉积氮化钽,并可以使用20kW的靶功率来通过SIP溅射沉积钽。在ICP再溅射期间,靶功率可以减小至100-200瓦,例如,为保持等离子体的均匀性。或者,如果期望在ICP再溅射期间靶溅射,靶功率可以保持在较高的水平,或者如果需要可以将其完全关掉。
因此尽管可以允许基座162和晶片158电悬浮,但是其上仍可能产生负DC自偏压,或者,可以通过在-30 VDC下的电源202对基座162加负偏压,来对基底159加负偏压以将离子化的沉积材料吸引至基底。其他实施例可以将RF偏压施加到基座162以进一步控制其上产生的负DC偏压。例如,偏压电源202可以是工作于13.56MHz的RF电源。其可提供在10瓦至5kW范围内的RF功率,例如,对于在SIP沉积中的200mm晶片更优选的范围在150至300W的。
线圈151的一端通过馈入装置支座182穿过护罩166绝缘耦合至RF电源如放大器以及匹配网络204的输出。匹配网络204的输入耦合于RF发生器206,该发生器为了该实施例的ICP等离子体的生成提供大约1或1.5kW的RF功率。例如,优选的是对于氮化钽沉积为1.5kW的功率和对于钽沉积为1kW的功率。优选范围为50瓦至10kW。在SIP沉积期间,如果需要,可以关掉导线圈的RF功率。或者,如果需要,在SIP沉积期间提供RF功率。
线圈151的另一端也通过相似的馈入装置支座182穿过护罩166绝缘地耦合至地线,优选地穿过为可变电容器的极间耦合电容器208,以在线圈151上维持DC偏压。因此线圈151上的DC偏压和线圈溅射速率可以通过耦合至线圈151的DC电源209来控制,如美国专利号6,375,810所描述的。用于ICP等离子体产生和线圈溅射的适合的DC功率范围包括50瓦至10千瓦。在线圈溅射期间的优选值为500瓦。如果需要,在SIP沉积期间,可以关掉到线圈151的DC功率。
当然,上述功率水平可以根据具体应用而改变。可以编程基于计算机的控制器224以控制根据具体应用的各种电源的功率水平、电压、电流和频率。
可以将RF线圈151放置在室中的较低位置处以便线圈溅射的材料轰击晶片时具有低入射角。结果,线圈材料优先沉积在孔的上拐角以便于当孔的底部通过ICP等离子体再溅射时保护孔的那些部分。在示出的实施例中,优选地,当线圈的主要功能是产生等离子体以再溅射晶片和在再溅射期间提供保护涂层时,将线圈定位成和靶相比更接近于晶片。对于许多应用,认为线圈至晶片的间距为0至500mm的是合适的。然而要意识到,实际的位置会取决于具体应用而改变。在这些应用中,其中线圈的主要功能是产生等离子体以离子化沉积材料,可以将线圈更接近于靶定位。同样,在1996年7月10日提交的标题为Sputtering Coil for Generating a Plasma的且为本申请的受让人转让的美国专利号6,368,469(律师摘要(attorney docket)1390-CIP/PVD/DV)中更为详细地阐述,还可以定位RF线圈以改善由溅射的线圈材料沉积的层的均匀性。另外,线圈可以具有以螺旋或螺线方式形成的多个匝或具有如单匝的少量匝以减小复杂性和成本并便于清洁。
各种线圈支撑支座和馈入装置支座可以用于绝缘地支撑线圈。因为特别是在与SSS、SIP和ICP相关的包括高电压的高功率水平下的溅射,介电隔离体通常分成不同的被偏置的部分。从而期望保护这种隔离体不被金属沉积。
支座的内部结构优选为曲折的,如在2000年2月29日提交的标题为“COIL AND COIL SUPPORT FOR GENERATING A PLASMA”的由本申请的受让人转让的未决申请序号09/515,880中更为详细地描述。线圈151和直接暴露于等离子体中的支座的那些部分优选由与要沉积的材料相同的材料制成。因此,如果要沉积的材料由钽制成,则支座的外部也优选由钽制成。为了促进沉积材料的粘结,通过会减少沉积材料颗粒脱落的喷丸清洗(bead blasting)处理暴露的金属表面。除了钽之外,线圈和靶可以由包括铜、铝和钨的各种沉积材料制成。应该设计迷宫体(labyrinth)的尺寸以阻止线圈到护罩的完整的导电路径形成。当导电的沉积材料沉积在线圈和支座上时,这种导电路径会形成。应该意识到,迷宫体的其他的尺寸、形状和通道数量是可能的,这取决于具体应用。影响迷宫体设计的因素包括要沉积的材料的类型和在支座需要被清洗或替换之前期望的沉积量。适合的馈入装置支座可以以相似的方式构造,除了RF功率要施加到穿过支座延伸的螺栓或其他导电部件的情况外。
线圈151可以具有重叠但间隔的端部。在这种布置中,每一端的馈入装置支座182可以在平行于真空室靶156与基底夹具162之间的等离子体室中心轴的方向上叠置,如图4所示。结果,从线圈一端到线圈另一端的RF路径可以相似地重叠从而避免了晶片上的间隙。认为这种重叠布置可以提高等离子产生、离子化和沉积的均匀性,如在1998年3月16日提交的由本申请受让人转让的未决申请序号09/039,695中描述的。
支撑支座180分布在线圈剩余物的周围以提供适合的支撑。在示出的实施例中,每一个线圈具有三个在各自线圈的外面呈90度分离分布的毂部件504。应该意识到支座的数量和间距可以根据具体应用而改变。
所示实施例的线圈151每个由制成单匝线圈的2×1/4英寸的耐用的经喷丸清洗的钽或铜带制成。然而,可以利用其他高导电材料和形状。例如,线圈的厚度可以减小至1/16英寸而宽度增加至2英寸。此外,可以利用中空管,特别是如果期望使用水冷却。
适合的RF发生器和匹配电路是本领域的技术人员所公知的组件。例如,诸如ENI Genesis系列的RF发生器是适合的,其具有频率搜索以找到与匹配电路和天线相匹配的最佳频率的能力。用于向线圈产生RF功率的发生器的频率优选为2MHz,但是可预料到的是,例如,该范围可在其他AC频率如1MHz至200MHz和非RF频率下改变。这些组件还可以通过可编程控制器224控制。
靶156包括铝或钛的背衬板230,向该背衬板230焊接或扩散粘结诸如钽和铜的要沉积的金属的靶部分232。背衬板230的凸缘233放置于并通过聚合物靶O-环234真空密封于靶隔离体154,靶隔离体154优选由诸如氧化铝的陶瓷构成。靶隔离体154放置于并通过适配器O-环245真空密封于室152,其实质上是密封主室体的铝适配器。
金属夹具环236在其内部半径侧面上具有向上延伸的环形边237。螺栓或其他适合的固定件将金属夹具环236固定于室152的向内延伸的凸缘238上,并将锁住室护罩166的凸缘239。从而,室护罩166机械地且电气地连接于接地的室152。
1999年10月8日提交的、本申请的受让人转让的、序号为09/414,614的、标题为“Self-ionized Plasma for Sputtering Copper”(律师摘要号3920)的未决申请描述了一个室护罩的适合结构的实施例。如那儿更为详细的描述,护罩隔离体168自由放置在夹具环236上且可以由诸如氧化铝的陶瓷材料加工而成。其是紧凑的,但与较小的宽度相比具有约165mm的相对较大的高度以在反应器温度循环期间提供强度。护罩隔离体168下部具有适合于夹具环236的边237外部的内部环形凹口。边237不仅使护罩隔离体168相对于夹具环236内径位居中心,而且还用作防止陶瓷护罩隔离体168与金属环夹具236之间的滑动表面250产生的任何颗粒到达主处理区的屏障层。
暗区护罩164的凸缘251自由放置在护罩隔离体168上且具有在其外侧向下延伸进形成于护罩隔离体168的上外部拐角的凹槽中的突出部或边252。由此,突出部252在护罩隔离体168外径处使暗区护罩164相对于靶156位居中心。护罩突出部252通过一个窄间隙与护罩隔离体168分离,该窄间隙要足够小以对准等离子体暗区,同时该窄间隙又要足够大以防止护罩隔离体168夹紧,并且暗区护罩251放置与在突出部252内侧和上面的滑动接触区253中的护罩隔离体168上。
窄沟道254形成在暗区护罩164的头部255与靶156之间。其具有大约2mm的宽度以充当等离子暗区。窄沟道254继续在路径中延伸,甚至比刚才所述的背衬板234的脊256更加径向地向内,其中脊256向下突起至护罩头部255与靶隔离体154之间的上部后间隙260。这些元件的结构和它们的特性类似于Tang等人在1998年10月30日提交的美国专利申请09/191,253中所公开的。上部后间隙260在室温下具有大约1.5mm的宽度。当护罩元件被温度循环时,它们易于变形。具有小于邻近靶156的窄沟道254的宽度的上部后间隙260足以保持窄沟道254中的等离子体暗区。后间隙260继续向下延伸至内侧的护罩隔离体168与环夹具236以及外侧的室体152之间的下部后间隙262。下部后间隙262用作收集陶瓷护罩隔离体168与夹具环236以及暗区护罩164之间的滑动表面250、253产生的陶瓷颗粒的腔体。护罩隔离体168另外还包括在其上面的内部拐角上的浅凹口264以收集来自其径向向内的侧面上的滑动表面253的陶瓷颗粒。
暗区护罩164包括向下延伸的宽的上圆柱部分288,该圆柱部分从凸缘251向下延伸并通过过渡部分292将其下端连接至较窄的下圆柱部分290。相似的,室护罩166具有暗区护罩164外侧的较宽的上圆柱部分294,因而比暗区护罩164的上圆柱部分要宽。接地上圆柱部分294在其上端部上连接于接地护罩凸缘250而在其下端通过过渡部分298连接至变窄的下圆柱部分296,过渡部分298近似地向室的径向延伸。接地下圆柱部分296装于暗区下圆柱部分290的外侧,从而比暗区下圆柱部分290宽;但是其比暗区上圆柱部分164小大约3mm的径向间距。两个过渡部分292、298都垂直或水平偏移。因此在暗区与室护罩164、166之间形成曲折窄沟道300,在接地下圆柱部分296与暗区上圆柱部分164之间的偏移确保在两个垂直沟道的部分间没有的直的瞄准线。沟道300的一个目的是电隔离两个护罩164、166同时保护夹具环236和护罩隔离体168不受铜沉积的影响。
护罩164、166的下圆柱部分290、296之间的沟道300的下部具有4∶1或更大的孔径比,优选为8∶1或更大。沟道300的下部具有示例性的宽度0.25cm和长度2.5cm,且优选范围在0.25至0.3cm与2至3cm。由此,透过沟道300的任何沉积材料和散射的沉积材料原子很可能必须从护罩反弹几次,并且在它们发现它们进一步朝向夹具环236和护罩隔离体168的路之前至少通过上接地圆柱部分294停止。任意一次反弹都可能导致离子被护罩吸收。在两个过渡部分292、298之间的沟道300中的两个相邻90度转弯或弯曲还将护罩隔离体168与等离子体隔离。采用60度弯曲或45度弯曲可以获得相似但减弱的效果而且更为有效的90度弯曲更容易形成于护罩材料中。90度转弯更为有效,因为它们增加了来自任意方向的沉积颗粒具有至少一次高角度轰击并由此通过上接地圆柱部分而损失它们大部分能量的可能性。90度转弯还遮蔽夹具环236和护罩隔离体168免受沉积颗粒的直接照射。认为金属优先在暗区过渡部分292的底部水平表面上和在一个90度转弯的两端部的垂直上接地圆柱部分294上沉积。同样,在室护罩166的水平过渡部分298上的处理期间,回旋沟道300收集由护罩隔离体168产生的陶瓷颗粒。这样的所收集的颗粒会被同样进行收集的金属粘住,这是有可能的。
返回大视图图4,室护罩166的下圆柱部分296继续向下延伸至恰好在支撑晶片158的底座162顶部后面。室护罩166然后继续径向向内延伸至碗状部分302并在最内侧的圆柱部分151中垂直向上延伸至近似于晶片158的高度而与底座162的外侧径向间隔开。
护罩164、166一般由不锈钢构成。且它们的内侧可以被喷丸清洗或另外使其变粗糙的方式,以促进溅射沉积在它们上的材料的粘合。然而,有时在延长的溅射期间,沉积材料堆积至很可能剥落的厚度,产生了有害的颗粒。在到达该点之前,应该清洗护罩或更为可能地用新护罩替换。然而,在大部分维护周期中不需要替换较昂贵的隔离体154、168。而且,维护周期是由护罩的剥落来决定的,而不是由隔离体的电短路决定。
如上所述,暗区护罩164如果悬浮会堆积一些电子电荷并建立起负电势。由此抑制进一步到暗区护罩164的电子损失并由此限定更加接近靶156的等离子体。Ding等人已经在美国专利5,736,021中公开了具有有些类似结构的类似效果。然而,图6的暗区护罩164具有其下圆柱部分290,该部分290比Ding等人的相应部分更加远离靶156延伸,由此将等离子体限制在较大容积。然而,暗区护罩164将室护罩166与靶156电屏蔽因而其不会延伸至离靶156太远。如果太长,则很难轰击等离子体,但是如果太短,会增加电子损失以至于不能在低压下维持等离子体且等离子体密度下降。已经找到最优长度,在该长度下暗区护罩166的底部顶点306与靶156的面距离6cm,暗区护罩166的总轴向长度为7.6cm,如图6所示。测试三种不同的暗区护罩的保持铜溅射的最小压力。对于1kW和18kW的靶功率,结果在图7中示出。横坐标表示总护罩长度,在护罩顶点164与靶156之间的间距小于1.6cm。间距的优选范围为5至7cm,长度优选范围为6.6至8.6cm。将护罩长度延伸至10cm略微减小了最小压力但是增加了轰击等离子体的难度。
再次参考图4,气体源314通过质量流控制器316向室152供给溅射工作气体,一般为化学性质不活泼的惰性气体氩。允许工作气体通过一个或多个入口管通到室的顶部,或如示出的,在其底部,其中该入口管穿透贯穿室护罩166底部或者贯穿室护罩166、晶片夹具160和底座162之间的间隙318的孔。通过宽泵压口322连接于室152的真空泵系统320将室保持在低压下。虽然基本压力可以保持在大约10-7托或更低,但工作气体的压力在常规溅射期间一般保持在大约1与1000毫托之间而在SIP溅射中低于大约5毫托。基于计算机的控制器224控制包括DC靶电源200、偏压电源202和质量流控制器316的反应器。
为了提供有效的溅射,磁控管330放置在靶156的背面。其具有由磁轭336连接并支撑的对置磁铁332、334。磁铁在室152内产生相邻于磁控管130的磁场。磁场俘获电子,而对于电荷中性,离子密度增加以形成高密度等离子体区338。通常通过电机驱动轴342在靶156的中心340附近旋转磁控管330,以在靶156的溅射中获得完整的覆盖。为了获得高密度的等离子体338,其离子密度要足以允许铜的持续自溅射,必须使传送到相邻于磁控管330的区域的功率密度优选地高。这可以通过增加从DC电源200的传送的功率水平并通过减小磁控管330的面积如用三角形或跑道形来获得,如Fu在上述引用的专利中所描述的。一个以其近似与靶中心340一致的顶点旋转的60度三角形磁控管在任何时候仅覆盖靶的大约1/6。1/4的覆盖在能够SIP溅射的商用反应器中为优选的最大值。
为了减少电子损失,通过内部磁铁332和磁极面表现的内部磁极应该没有显著的孔且由通过外部磁铁334和磁极面表示的连续的外部磁极包围。而且,为了将离子化的溅射颗粒导向晶片158,外部磁极必须比内部磁极产生更高的磁通量。延伸的磁场线俘获电子且因此将等离子体传播到更接近于晶片158。磁通量的比率应该至少为150%且优选大于200%。Fu的三角形磁控管的两个实施例具有25个外部磁铁和6或10个相同强度但相反极性的内部磁铁。虽然结合均匀的靶表面来描述,会意识到各种不均衡的磁控管可以与各种形状的靶一起使用以产生自离子化等离子体。
当允许氩进入室中时,在靶156与室护罩166之间的DC电压差将氩激发成为等离子体,且带正电荷的氩离子被吸引到带负电荷的靶156。离子在足够的能量下轰击靶156并产生要从靶156上溅射的靶原子或原子团。一些靶颗粒轰击晶片158并由此沉积在其上,由此形成靶材料膜。在金属氮化物的反应溅射中,还允许氮气进入室中,且氮与溅射的金属原子反应以在晶片158上形成金属氮化物。
图8A-8E示出根据本发明的一个方案的衬套层形成的顺序横截面图。参考图8A,层间电介质345(例如二氧化硅)沉积在互连348(图8E)的第一金属层(例如第一铜层347a)上。然后在层间电介质345中蚀刻通路349以暴露第一铜层347a。可以利用CVD、PVD、电镀或其他这样的公知金属沉积技术来沉积第一金属层,且第一金属层穿过介电层经由触点连接到形成在下面的半导体晶片中的器件。如果将第一铜层347a暴露于氧气,诸如当晶片从蚀刻室中移走,在该蚀刻室中,蚀刻覆盖第一铜层的氧化物以产生用于制造第一铜层与第二种要被沉积金属层之间的通路的孔,可以很容易地在其上形成绝缘/高阻抗的氧化铜层347a′。因此,为了减小铜互连348的阻抗,会除去通路349内的任何氧化铜层347a′和任何处理剩余物。
在除去氧化铜层347a′之前,屏障层351可以沉积(例如,在图2的溅射室152内)在层间电介质345上和暴露的第一铜层347a上。优选包括钽、氮化钽、氮化钛、钨或氮化钨的屏障层351阻止随后沉积的铜层混入层间电介质345中并退化层间电介质(如前所述)。
例如,如果溅射室152构造成用于氮化钽层的沉积,采用钽靶156。一般地,氩气和氮气都通过气体入口360(可以使用多个入口,每一种气体一个入口)流入溅射室152,同时功率信号经由DC电源200施加到靶156。选择地,功率信号还可以经由第一RF电源206施加到线圈151。在稳定状态的处理期间,氮与钽靶156反应以在钽靶156上形成氮化物膜以便于从其上溅射氮化钽。另外,还会从靶上溅射非氮化物钽原子这种原子可以与氮结合在飞行中或在由底座162支撑的晶片(未示出)上形成氮化钽。
在操作中,可操作地耦合到排放出口的节流阀被放置在中间位置,以便在将处理气体引入室之前将沉积室152保持在期望的大约1×10-8托的低真空级。为了在溅射室152内开始处理,氩气和氮气的混合物经由气体入口360流入溅射室152中。在气体压力稳定在10-100毫托之后,将DC功率经由DC电源200施加到钽靶1 56(同时气体混合物继续经由气体入口360流入溅射室152并通过泵37从其中抽出)。施加到靶156的DC功率使氩/氮气体混合物形成SIP等离子体并产生氩和氮离子,这些粒子被吸引到靶156并轰击靶156使靶材料(例如坦和氮化钽)从其上喷射出。喷射的靶材料传到并沉积在由底座162支撑的晶片158上。根据SIP工艺,由不对称的磁控管产生的等离子体离子化部分溅射的钽和氮化钽。通过调节施加到基底支撑底座162的RF功率信号,可以在基底支撑底座162与等离子体之间产生负偏压。基底维持底座162与等离子体之间的负偏压使钽离子、氮化钽离子和氩离子朝向底座162和支撑在其上的任何晶片加速。因此,中性的和离子化的氮化钽可以沉积在晶片上,根据SIP提供良好的侧壁和上侧壁覆盖。另外,特别是如果RF功率选择施加到ICP线圈,可以通过氩离子溅射蚀刻晶片同时来自靶156的氮化钽材料沉积在晶片上(即,同步沉积/溅射蚀刻)。
在屏障层351沉积之后,如果期望减薄或消除底部,可以通过氩等离子体如图8B中所示溅射蚀刻或再溅射在通路349底部的屏障层351和其下的氧化铜层347a′(以及任何处理剩余物)。主要通过向ICP线圈施加RF功率氩等离子体优选在该步骤中产生。值得注意的是,在该实施例中的溅射室152(图2)内的溅射蚀刻期间,施加到靶156的功率优选被除掉或减小至低电平(例如100或200W),以便于抑制或阻止来自靶156的显著沉积。低的靶功率水平,而不是没有靶功率,可以提供更均匀的等离子体且现在为优选的。
ICP氩离子通过电场(例如由图2的第二RF电源41施加在基底支撑底座162的RF信号,该信号在底座上产生负的自偏压)朝屏障层351加速,轰击屏障层351,且取决于动量传递,从通路孔的底部溅射屏障层材料并沿着涂覆通路349侧壁的屏障层351的部分将其再分布。将氩离子在基本垂直于基底的方向上吸引至基底。结果,几乎没有通路侧壁的溅射发生,而充分发生通路底部的溅射。为了促进再溅射,例如,施加到底座和晶片的偏压可以为400瓦。
再溅射工艺参数的具体值可以根据具体工艺而改变。未决的或已出版的申请08/768,058、09/126,890、09/449,202、09/846,581、09/490,026和09/704,161描述了再溅射工艺,它们通过引用被整体地并入此处。
根据本发明的另一方案,ICP线圈151可以由诸如钽的衬套材料以与靶156相同的方式形成,并被溅射以在晶片上沉积氮化钽同时再溅射通路底部。由于在再溅射工艺期间相对低的压力,从线圈151上溅射的沉积材料的离子化速率相对较低。因此,沉积到晶片上的溅射材料主要为中性材料。另外,将线圈151相对较低地放置在室中,包围并相邻于晶片。
因此,从线圈151上溅射的材料的轨道易于具有相对较小的入射角。因而,从线圈151上溅射的材料易于沉积在晶片上表面上的以及晶片的孔或通路的开口周围的层364中,而不深入晶片孔中。来自线圈151的沉积材料可以用于提供保护免受再溅射的程度以致通过主要在孔底部的再溅射来减薄屏障层,而非在侧壁上和孔开口的周围,那里并不希望减薄屏障层。
一旦已经从通路底部溅射蚀刻屏障层351,氩离子就轰击氧化铜层347a′,并溅射该氧化层以再分布来自通路底部的氧化铜层材料,溅射材料的一些或全部沿着涂覆通路349侧壁的屏障层351的部分进行沉积。铜原子347a″也涂覆沉积在通路349侧壁上的屏障层351和364。然而,因为初始沉积的屏障层351以及从通路底部到通路侧壁再分布的屏障层是铜原子347a″的扩散屏障,铜原子347a″在屏障层351内基本上不能移动,并被阻止到达层间介电层345。因此沉积在侧壁上的铜原子347a″通常不产生通路至通路的漏电流,因为它们被再分布到没有涂覆的侧壁。
此后,在相同的室152或具有SIP和ICP能力的类似室中,在先前的屏障层351上可以沉积由诸如钽的第二材料制成的第二衬套层371(图8C)。钽衬套层在下面的氮化钽屏障层与随后沉积的诸如铜的导体金属互连层之间提供良好的粘结。可以用与第一衬套层351相同的方式沉积第二衬套层371。即,可以在第一SIP步骤中沉积钽衬套层371,在该步骤中主要通过靶磁控管330产生等离子体。然而,不允许氮气进入以便于沉积钽而非氮化钽。根据SIP溅射,可以获得良好的侧壁和上侧壁覆盖。如果需要,可以减小或消除到ICP线圈151的RF功率。
在钽衬套层371沉积之后,如果希望减薄或消除底部,可以通过氩等离子体以与衬套层351底部相同的方式溅射蚀刻或再溅射其下的通路349底部的部分衬套层371(和任意的处理剩余物),如图8D中所示。主要通过向ICP线圈施加RF功率来在该步骤中优选产生氩等离子体。又一值得注意的是,在溅射室152(图2)内的溅射-蚀刻期间,优选将施加到靶156的功率除去或减小至低电平(例如500W)以便于在减薄或消除第二衬套层的底部覆盖期间抑制或阻止来自靶156的显著沉积。另外,线圈151被优选溅射以沉积衬套材料374,同时氩等离子体再溅射该层底部以保护衬套侧壁和上部在底部部分再溅射期间基本上不被减薄。
在上述实施例中,通路侧壁上的靶材料的SIP沉积主要发生在第一步骤中,而通路底部的ICP再溅射和线圈151材料的ICP沉积主要发生在随后的步骤中。应意识到,如果需要,在通路349侧壁上的靶材料和线圈材料的沉积能够同步发生。还应进一步意识到,如果需要,在通路349底部的沉积材料的ICP溅射-蚀刻与靶和线圈材料在侧壁上的沉积能够同步发生。通过调节施加到线圈151、靶156和底座162的功率信号利用图2的室152可以实现同步沉积/溅射-蚀刻。因为线圈151可以用于保持等离子体,所以等离子体可以溅射晶片,在该晶片上具有相对较低的偏压(小于需要维持等离子体的偏压)。一旦到达溅射阈值,对于具体的晶片偏压,施加到线圈151的RF功率(“RF线圈功率”)相比较于施加到靶156的DC功率(“DC靶功率”)的比率影响溅射蚀刻与沉积之间的关系。例如,RF∶DC功率比率越高,发生溅射蚀刻就越多,这是由于增加的离子化以及随后增加的到晶片的离子轰击流量。增加晶片的偏压(例如增加施加到支撑底座162的RF功率)会增加引入离子的能量,这将会增加溅射量和蚀刻率。例如,增加施加的底座162的RF信号的电压电平增加了入射在晶片上的离子能量,同时增加施加到底座162的RF信号的占空比来增加入射离子的数量。
因此,可以调节晶片偏压的电压电平和占空比来控制溅射率。另外,保持DC靶功率低会减少可用于沉积的屏障材料的数量。零DC靶功率会导致仅进行溅射-蚀刻。与高RF线圈功率和晶片偏压耦合的低DC靶功率会导致同时发生通路侧壁沉积和通路底部溅射。因此,该工艺适合于所述的材料和几何形状。对于利用钽或氮化钽作为屏障材料的200mm晶片上的一般3∶1的孔径比,在2至3kW或更大的RF线圈功率的、具有持续施加的250W至400W或更大的晶片偏压(例如100%的占空比)的500W至1kW的DC靶功率会导致屏障层沉积在晶片侧壁上而从通路底部除去材料。DC靶功率越低,沉积在侧壁上的材料越少。DC靶功率越高,溅射通路底部所需的RF线圈功率和/或晶片偏压功率也就越高。例如,在线圈151上的2kW RF线圈功率水平和在底座162上的具有100%占空比的250W RF晶片功率水平可以用于同步沉积/溅射蚀刻。在同步沉积/溅射蚀刻初期(例如,几秒钟或更多,取决于所述的具体几何形状/材料)可以不施加晶片偏压以使充足的通路侧壁覆盖来防止通路底部的材料的溅射蚀刻污染侧壁。
例如,在通路349的同步沉积/溅射蚀刻初期不施加晶片偏压会促进在层间电介质345上的初始屏障层的形成,该屏障层抑制溅射的铜原子在沉积/溅射蚀刻的剩余物操作期间污染层间电介质345。或者,可以在相同的室内顺序完成沉积/溅射蚀刻,即通过在第一处理室内沉积屏障层351,在独立的第二处理室(例如诸如Applied Materials′Preclean II室的溅射-蚀刻室)内溅射蚀刻屏障层351和氧化铜层347a′。
在第二衬套层371沉积且底部覆盖减薄之后,沉积第二金属层347b(图8E)以形成铜互连348。第二铜层347b可以保形地或以致形成如图8E所示的铜插塞347b′地沉积在第二衬套层371之上和在每一通路底部暴露的第一铜层347a的部分之上。铜层347b可以包括铜籽晶层。因为第一和第二铜层347a、347b直接接触,而不是通过屏障层351或第二衬套层371接触,因此铜互连348的阻抗可以较低,同样通路至通路的漏电流也可以较低。
如果互连由不是衬套层或多层的不同导电金属形成,可以在具有不同导电金属靶的溅射室中沉积互连层。溅射室可以为SIP型或ICP型。可以通过包括CVD和电化学镀的其他类型的室和设备的其他方法来沉积金属互连。
更进一步,根据本发明的另一方案,互连层或多层可以在相似于产生SIP和ICP等离子体的室152的溅射室中沉积。如果在诸如室152的室中沉积,例如,靶156会由诸如铜的沉积材料形成。另外,ICP线圈151也可以由相同的沉积材料形成,特别是如果需要线圈溅射用于部分或全部的互连金属沉积。
如前所述,示出的室152能够包括持续自溅射的铜的自离子化溅射。在这种情况中,在已经激发等离子体之后,在SSS的情况中关闭氩供给,且铜离子具有足够的高密度以大于单位的量铜靶。或者,可以继续供给一些氩离子,但是是在减小的流速和室压下,并且可能具有不足以维持纯的持续自溅射的靶功率密度,但是仍具有显著的而减小的自溅射粒度。如果氩压力显著地增加至5毫托之上,氩会从铜离子上除去能量,从而减小自溅射。晶片偏压将铜颗粒的离子化的碎屑深深地吸入孔中。
然而,为了使用部分中性流获得较深的孔的涂层,需要增加靶156与晶片1 58之间的距离,即为了在所述的长射程模式中操作。在长射程中,靶至基底的间距一般大于基底直径的一半,优选地大于晶片直径,更优选地,至少应是基底直径的80%,并且最好是基底直径的140%。对于许多应用,认为50至1000mm的靶至晶片间距是适合的。常规溅射中的长射程降低了溅射沉积速率,但是离子化的溅射颗粒不会遭受这么大的减小。
在自离子化等离子体(SIP)溅射、电感耦合等离子体(ICP)溅射和持续自溅射(SSS)中的受控划分允许控制中性与离子化溅射颗粒之间的分布。这种控制特别有利于高孔径比的通路孔中的铜籽晶层的溅射沉积。通过混合自离子化等离子体(SIP)溅射和电感耦合等离子体(ICP)溅射可以实现对溅射的离子化率的控制。
根据本发明的结构的一个实施例是图9中横截面中示出的通路。例如,利用图4中示出的长射程溅射反应器并在促进SIP和ICP组合和/或SIP和ICP二者之一的条件下,铜籽晶层380沉积在衬套层384(其可以包括一层或多层屏障层和衬套层,诸如前述的TaN屏障层和Ta衬套层)上的通路孔382中。例如,可以将SIP-ICP铜层380沉积至50至300nm或更为优选的80至200nm的覆层厚度。SIP-ICP铜籽晶层380在通路侧壁上优选具有2至20nm范围的厚度,更为优选的7至15nm。考虑到窄孔,侧壁厚度不应该超过50nm。通过降底座的温度降低至小于0℃且优选的小于-40℃来改善膜质量以致快速SIP沉积提供的冷却变得重要。
认为SIP-ICP铜籽晶层380具有良好的底部覆盖和提高的侧壁覆盖。在沉积了保形的铜籽晶层380之后,优选通过利用籽晶层380作为一个电镀电极的电化学镀,用相似于图1的铜层18的铜层填充孔。然而,SIP-ICP铜籽晶层380的平滑结构还促进通过标准溅射或物理气相沉积(PVD)的铜的重熔或高温沉积。
在一个实施例中,可以在将SIP和ICP沉积技术的精选方案组合于一个步骤的工艺中,形成SIP-ICP层,该步骤在此处通常称为SIP-ICP步骤。另外,根据替换实施例的反应器385除线圈151之外还具有第二线圈386,如图10中所示。以与线圈151相同的方式,线圈386的一端通过馈入装置支座181穿过暗区护罩164′绝缘地耦合至放大器和匹配网络387的输出(图11)。匹配网络387的输入耦合至RF发生器388。线圈386的另一端通过馈入装置支座182穿过护罩164′经由隔离电容器389绝缘地耦合至地线,以在线圈386上提供DC偏压。可以通过独立的DC源391来控制DC偏压。
在ICP或组合的SIP-ICP步骤中,例如,在1-3kW且2MHz的频率下,向RF线圈151与386的一个或两个施加RF能量。线圈151和386被施加功率时,将RF能量电感耦合至反应器的内部。通过线圈提供的RF能量离子化诸如氩的前体气体以在相对较高的压力下保持等离子体来离子化溅射的沉积材料。然而,并不是在相对较高的压力下保持等离子体,例如对于高密度IMP工艺的一般为20-60毫托,而是将压力优选地保持在明显较低的压力下,例如2毫托。因此,认为在反应器150内的离子化速率明显低于一般高密度IMP工艺的离子化速率。
而且,如上面讨论的,示出的反应器150还能够在长射程模式下自离子化溅射。因此,不仅作为通过RF线圈保持的低压等离子体的结果而且通过靶的DC磁控管溅射的等离子体的自生成,沉积材料可以被离子化。认为组合的SIP和ICP离子化工艺可以提供用于良好底部拐角覆盖的充足的离子化材料。然而,还认为通过RF线圈151和386提供的低压等离子体的较低的离子化速率允许充足的中性溅射材料保留非离子化以便于通过反应器的长射程能力沉积在上侧壁上。因此,认为离子化沉积材料的组合的SIP和ICP源可以提供良好的上侧壁覆盖以及良好的底部与底部拐角覆盖。在另一实施例中,可以交替改变到线圈151和386的功率以便于在第一步骤中,相对于到下线圈151的功率消除或减小上线圈396的功率。在该步骤中,电感耦合等离子体的中心从靶偏移开并接近于基底。这种布置可以减小相邻于靶产生的自离子化等离子体于通过一个或多个线圈保持的电感耦合等离子体之间的相互作用。结果,可以保持较高比例的中性溅射材料。
在第二步骤中,可以反向功率以致相对于施加到上线圈386的功率消除或减小下线圈151的功率。在该步骤中,电感耦合等离子体的中心可以朝向靶偏移并离开基底。这种布置可以增加离子化溅射材料的比例。
在另一实施例中,在两个步骤或多个步骤中形成该层,其中在一个步骤中,即此处通常所称的SIP步骤,很少或没有RF功率被施加到任意线圈。另外,将压力保持在相对较低的水平,例如优选低于5毫托,更为优选低于2毫托,如1毫托。而且,施加到靶的功率会相对较高,例如,诸如在18-24kW DC的范围内。例如在500瓦的功率水平下还向基底支撑施加偏压。在这些条件下,认为沉积材料的离子化会主要作为自离子化等离子体(SIP)的结果发生。结合反应器的长射程模式的布置,认为可以获得具有低突出物的良好上侧壁覆盖。例如,在该初始步骤中沉积的层部分可以在1000-2000埃的范围内。
在第二步骤中,即此处通常所称的ICP步骤,且优选的在同一室中,向线圈151与386中的一个或两个施加RF功率。另外,在一个实施例中,压力充分上升以便于保持高密度等离子体。例如,压力可以上升至20-60毫托,施加到线圈的RF功率上升至1-3kW的范围内,施加到靶的DC功率减小至1-2kW且基底支撑的偏压减小至150瓦。在这些条件下,认为沉积材料的离子化会主要作为高密度ICP的结果发生。结果在第二步骤中可以获得良好的底部以及底部拐角覆盖。功率可以同时或交替地施加到两个线圈上,如上所述。
在通过组合SIP和ICP的工艺溅射沉积铜籽晶层之后,通过相同或另一工艺来填充孔的剩余部分。例如通过电镀或CVD来填充孔的剩余部。
应该意识到SIP和ICP步骤的顺序可以颠倒,在SIP步骤中一些RF功率可以施加到一个或多个线圈上,在ICP步骤中以引入自离子化。另外,可以在一个或多个步骤中引入持续自溅射(SSS)。因此,可以根据具体应用改变包括压力、功率和靶至晶片距离在内的工艺参数,以获得期望的结果。
例如,于1999年10月8日提交的未决申请序列号09/414,614描述几个试验,在这些试验中,改变工艺参数以在没有RF线圈的反应器中获得SIP和SSS沉积与长射程模式的不同组合。可以将描述的工艺条件施加到在其中采用SIP-ICP步骤、包括SIP步骤和ICP步骤的多个步骤或其结合的反应器中。
如申请09/414,614中所述,在诸如将籽晶层SIP沉积在1.2μm氧化物中的0.20μm宽的通路孔中进行几个试验,采用290mm的靶至基底间隔、小于0.1毫托的室压力(指SSS模式)且用601三角形磁控管施加到靶的14kW的DC功率,在氧化物的顶部上产生0.2μm的铜覆层厚度的沉积在通路底部上产生18nm而在通路侧壁上产生大约12nm。一般为30s和更短的沉积时间是典型的。当靶功率增加至18kW,底部覆盖增加至37nm,而侧壁厚度没有显著的变化。较高功率下的较高的底部覆盖表明较高的离子化系数。对于这两种情况,可看到沉积的铜膜比IMP或CVD铜更光滑。
与不大于0.2μm/min的IMP沉积速率相比,SIP沉积相对较快,在0.5至1.0μm/min之间。快沉积速率导致短沉积周期,并与不存在氩离子加热相协力显著地减少热聚积。认为低温SIP沉积产生非常平滑的铜籽晶层。
290nm的射程用于Fu利用十个内部磁铁和二十五个外部磁铁的标准的三角形磁控管。在各种条件下测量离子流量作为离靶中心的半径的函数。在图12A的图中描绘了该结果。曲线560是对16kW的靶功率和0毫托的室压测量的。曲线562、564、566是对18kW的靶功率和0、0.2及1毫托的室压分别测量的。与小于109cm-3的常规的磁控管和溅射反应器相比,这些电流对应于1011与1012cm-3之间的离子密度。还使用零压力条件来测量铜离子化系数。空间相关性(spatialdependences)与DC靶功率的定向相关性(direct dependence)在10%与20%之间改变的离子化系数基本相同。相对较低的离子化系数表明没有长射程的SIP具有中性铜流量的大系数,其具有常规PVD的不利的深填充特性。结果表明,由于增加的离子化,应优选在较高功率下操作以更好地进行覆盖。
然后重复试验,Fu磁控管中的内部磁铁的数量减小至6。即,第二次控管在磁通量中具有改善的均匀性,这促进了朝向晶片的均匀溅射的离子流。在图12B中描绘了该结果。曲线568示出了对于12kW靶功率和0毫托压力下的离子流流量,曲线570对于18kW。对于14kW和16kW的曲线居中。因此,改进的磁控管产生穿过晶片的更均匀的离子流,这再次取决于具有优选较高功率的靶功率。
相对低的离子化系数10%至20%与IMP系数90%至100%相比表明中性铜的实质流量。虽然晶片偏压会将铜离子深深地导向孔中,长射程和铜中性一样可实现相同的。
用一组试验来确定射程和室压力在溅射颗粒分布上的联合影响。在零室压下,140mm的射程产生大约451的分布,190mm的射程,大约351;290mm的射程,大约251。对于190mm的射程改变压力。中央分布保留大约0、0.5和1毫托那样。然而,低电平末梢被推至几乎101的最高压力,表明一些颗粒的散射。这些结果表明在5毫托下获得可接受的结果,但优选的范围为小于2毫托,更为优选的范围为小于1毫托,且最为优选的范围为0.2毫托和更小。同样,如期望的那样,长射程分布最好。
对于窄的高孔径比的通路,SIP侧壁覆盖可能会出现问题。正在研究对于0.13μm的通路或更小的技术。在大约100nm的覆层厚度下,侧壁覆盖变得不连续。如图13A的横截面图所示,不利的几何图形会使SIP铜膜390形成为包括在通路侧壁130上的空隙或其他缺陷392的不连续的膜。缺陷392为没有铜或以致不能局部充当电镀阴极的薄铜层的存在。尽管如此,SIP铜膜390与缺陷392平滑分开且良好成核。在这些有问题的几何形状中,对于在SIP铜成核膜390上沉积铜CVD籽晶层394却是有利的。因为通过化学气相沉积来沉积,其通常保形且由SIP铜膜680良好成核。CVD籽晶层394修补缺陷392并为后面的铜电镀提供连续的、不粗糙的籽晶层以完成孔382的填充。CVD层可以沉积在用于铜沉积的CVD室中,诸如来自Applied Materials的利用前述热处理的CuxZ室,。
进行试验,其中在可选择的SIP铜成核层和IMP成核层上沉积20nm的CVD铜。结合SIP产生相对平滑的CVD籽晶层,而结合IMP在CVD层产生达到不连续程度的更粗糙的表面。
CVD层394可以沉积至如5至20nm范围内的厚度。然后通过其他方法用铜来填充孔的剩余部分。通过CVD铜在SIP铜的成核层的顶部上产生的非常平滑的籽晶层提供有效的通过电镀或常规PVD技术在正在研发的窄通路中的铜孔填充。特别对于电镀,平滑的铜成核与籽晶层提供连续的且几乎均匀的用于向电镀工艺供电的电极。
如图13B的横截面图中示出的,在具有非常高的孔径比的通路或其他孔的填充中,无须电镀而是在SIP铜成核层390上沉积足够厚的CVD铜层398以完全填充通路,是很有利的。CVD填充的优点不需要独立的电镀步骤。此外,电镀需要在0.13μm以下的孔宽很难控制的液体流。
本发明的该实施例的双层铜的优点是允许以相对较低的热预算进行铜沉积。钽在较高的热预算下易于与氧化物反润湿。IMP具有许多用于深孔填充的同样的覆盖优点,但是IMP易于在更高的温度下操作因为它产生能量氩离子的高流量,氩离子将它们的能量消散在要沉积的层中。而且,IMP总是将一些氩植入沉积的膜中。相反的,在相对较高的速率下沉积相对较薄的SIP层,SIP工艺因为不存在氩离子所以本来就不热。而且,SIP沉积速率比IMP更快,以致任何热沉积都非常短,达到一半。
通过SIP等离子体的冷激发也会减小热预算。冷等离子体激发和处理工序在图14的流程图中示出。在晶片已经通过装载锁紧阀插入进溅射反应器中之后,装载锁紧阀关闭,且在步骤410中平衡气体压力。氩室压力上升至用于激发的压力,一般在2和大约5至10毫托之间,且将氩背侧冷却气体供给于在大约5至10托的背侧压力下的晶片的背部。在步骤412中,用低电平靶功率激发氩,一般在1至5kW的范围内。在步骤414中,在检测到等离子体激发后,室压快速下降,例如超过3s,靶功率保持在低电平。如果计划持续自溅射,关闭室氩供给,但是等离子体继续在SSS模式下。对于自离子化等离子体溅射,减小氩供给。背侧冷却气体继续供给。一旦减小氩压力,在步骤416中,靶功率快速上升至预期的溅射电平,例如10至24kW,或对于选择SIP或SSS溅射的200mm的晶片更大。通过同时减小压力并上升功率能够合并步骤414、416。在步骤418中,继续在所选电平下对靶施加一定时间长度的功率,该时间长度为溅射沉积所选厚度的材料所需要的时间长度。靶可能被溅射,在如上所述的组合的SIP-ICP离子化工艺或多步的SIP和ICP工艺中离子化溅射沉积材料。在另一种情况中,图14中的激发顺序与利用激发的预计的溅射功率水平的相比要更冷。较高的氩压力促进激发,但是如果在希望用于溅射沉积的较高功率水平下继续,会有害地影响溅射的中性粒子,除非希望将高压ICP离子化用于该膜的一部分。在较低的激发功率下,由于在减小功率下的低沉积速率,只有非常少量的铜沉积。此外,底座能够冷却保持通过激发工艺已冷却的基底。
如前所述,在线圈151和386中可以独立或一起操作。在一个实施例中,线圈一起操作,其中施加到一个线圈的RF信号相对施加到另一线圈的RF信号偏移以便于产生螺旋波。例如,可以通过波长系数对RF信号进行相移,如在美国专利号6,264,812中所述。
本发明的一个实施例包括优选在集成多室设备中实践的集成工艺,诸如在图15的平面图中示意性示出的Endura 5500平台。Tepman等人在美国专利5,186,718中对该平台进行了功能性描述。
将已经在介电层蚀刻有通路孔或其他结构的晶片通过两个独立操作的装载锁紧室432、434传入和传出系统,其中装载锁紧室432、434被构造成从装入相应装载锁紧室的晶片盒中将晶片传入和传出该系统。在将晶片盒装在进装载锁紧室432、434之后,对室抽真空至适当低的压力,例如,在10-3至10-4托的范围内,且将装载锁紧室与第一晶片传送室436之间的狭缝阀打开。其后将第一晶片传送室736的压力保持在低压。
装载于第一传送室436中的第一机械手438将晶片从盒传送至两个排气/定向室440、442中的一个,且然后至第一等离子体预清洗室444,其中氢或氩等离子体清洗晶片表面。如果要沉积CVD屏障层,第一机械手438然后将晶片传送至CVD屏障层室446。在CVD屏障层沉积之后,机械手438将晶片传送进过渡室448,第二机械手450从那里将其传送至第二传送室452。狭缝阀将室444、446、448与第一传送室436分离以便隔离处理和压力水平。
第二机械手450有选择地将晶片传送至环绕周边布置的反应器或从反应器传送出。第一IMP溅射室454可用于沉积铜。相似于上面描述的室150的SIP-ICP溅射室456可用于SIP-ICP铜成核层的沉积。该室在上述的一个步骤或多个步骤的工艺中结合用于底部覆盖的ICP沉积和用于侧壁覆盖的SIP沉积并减少了暂停。此外,例如,Ta/TaN的至少部分屏障层通过SIP溅射和线圈溅射以及ICP再溅射来沉积,且因此第二SIP-ICP溅射室460用于溅射难熔金属,可能在反应的氮等离子体中。相同的SIP-ICP室460用于沉积难熔金属及其氮化物。CVD室458用于铜籽晶层的沉积并有可能完成孔的填充。通过狭缝阀对第二传送室452有选择地打开室454、456、458、460的每一个。可能使用不同的结构。例如,IMP室454可以由第二CVD铜室替换,特别是如果CVD用于完成孔填充。
在低压处理之后,第二机械手450将晶片传送至立即放置的热处理室462,如果前续处理太热该热处理室462可能为冷却室,或者如果需要为涂敷金属退火则该室为快速热处理(RTP)室。在热处理之后,第一机械手438将晶片取回并将其传送回装载锁紧室432、434其中一个中的盒中。当然,可以采用其他结构,根据集成工艺的步骤来实施本发明。
通过在控制总线472上操作的基于计算机的控制器470来控制整个系统以与跟各室相关的子控制器相通信。通过可读媒介474从控制器470中读取工艺配方,诸如可插入在控制器470的或在通信线路476上的软磁盘或CD-ROM。
本发明的设备和工艺的许多特征可以应用于不包括长射程的溅射。虽然本发明目前特别有用于钽以及氮化钽衬套层沉积和铜级间涂敷金属,但本发明的不同方案可以应用于溅射其他材料和其他目的。2001年8月30日提交的临时申请号60/316,137涉及溅射和再溅射技术,通过引用全部并入此处。
本发明提供了改进的溅射室,尽管利用了简单的元件结合,但是这种结合对在一些有难度的几何结构中的溅射非常有效。本发明还提供用于将铜填充进高孔径比的孔中的简明工艺。对现有技术作出改进的所有的这些优点促进了金属孔填充技术,特别是用铜的。
当然,应理解本发明在其各个方案中的修改对于本领域技术人员是显而易见的,一些在通过学习后也是明显的,另一些为常规的机械和工艺设计。也可能存在其他实施例,它们的具体设计取决于具体应用。同样地,本发明的范围不应该被限于此处所描述的具体实施例,而仅应该由所附的权利要求及其等同物来限定。

Claims (144)

1.一种在具有靶的室中将沉积材料溅射沉积在基底上的方法,包括:
在靶的背面附近旋转磁控管,所述磁控管具有不大于1/4靶面积的面积并包括一种磁极性的内部磁极,该内部磁极由相反磁极性的外部磁极包围,所述外部磁极的磁通量比所述内部磁极的磁通量至少大50%以产生相邻于所述靶的自离子化等离子体;
向所述靶施加功率,从而将材料从所述靶溅射到所述基底上,其中至少有一部分溅射材料在所述自离子化等离子体中被离子化;并且
向线圈施加RF功率以电感耦合RF能量,以产生相邻于所述基底的电感耦合等离子体。
2.根据权利要求1所述的方法,进一步包括对所述基底施加足够的偏压,以将离子化的沉积材料吸引至具有至少4∶1的高对宽孔径比的所述基底的孔中。
3.根据权利要求1所述的方法,进一步包括对所述基底施加足够的偏压,以利用在所述电感耦合等离子体中产生的离子从所述基底再溅射沉积材料。
4.根据权利要求3所述的方法,进一步包括将前体气体供给到所述室中,其中所述前体气体在所述电感耦合等离子体中被离子化以产生用于从所述基底再溅射沉积材料的所述离子。
5.根据权利要求1所述的方法,进一步包括利用电感耦合等离子体离子化附加的溅射沉积材料。
6.根据权利要求1所述的方法,进一步包括利用所述电感耦合等离子体将材料从所述线圈溅射到所述基底上。
7.根据权利要求1所述的方法,进一步包括利用耦合到所述线圈的DC源控制所述线圈上的DC偏压以控制从所述线圈溅射线圈材料的速率。
8.根据权利要求7所述的方法,其中所述控制包括利用耦合到所述线圈的极间耦合电容器维持所述线圈上的DC偏压。
9.根据权利要求1所述的方法,进一步包括:在第一步骤中,对所述基底施加足够的偏压以将离子化的沉积材料吸引至具有至少3∶1的高对宽孔径比的所述基底的孔中,以在所述孔中形成沉积材料的层,其中所述层具有底部部分和侧壁部分;在第二步骤中,对所述基底施加足够的偏压以利用在电感耦合等离子体中产生的离子再溅射所述孔的底部部分的沉积材料,以致少减薄所述底部部分同时至少减小施加到所述靶的功率以减小在所述第二步骤期间从所述靶溅射的材料的量。
10.根据权利要求9所述的方法,其中在所述第二步骤的至少一部分期间,将施加到所述靶的功率减小至1kW以下。
11.根据权利要求9所述的方法,其中在所述第二步骤的至少一部分期间,将施加到所述靶的功率减小至200瓦以下。
12.根据权利要求9所述的方法,其中施加到所述线圈的所述RF功率在所述第一步骤的至少一部分期间小于500瓦,而在所述第二步骤的至少一部分期间大于500瓦。
13.根据权利要求12所述的方法,其中施加到所述线圈的所述RF功率在所述第一步骤的至少一部分期间为0瓦,而在所述第二步骤的至少一部分期间为至少1kW。
14.根据权利要求9所述的方法,进一步包括将线圈材料从所述线圈溅射到所述层的所述侧壁部分上,同时在所述第二步骤期间利用所述电感耦合等离子体再溅射所述层底部部分的沉积材料。
15.根据权利要求14所述的方法,其中所述线圈溅射包括在所述第二步骤的至少一部分期间将DC功率施加到所述线圈。
16.根据权利要求14所述的方法,其中所述层是屏障层。
17.根据权利要求16所述的方法,其中所述屏障层包括氮化钽。
18.根据权利要求14所述的方法,其中所述层是衬套层。
19.根据权利要求18所述的方法,其中所述衬套层包括钽。
20.根据权利要求1所述的方法,其中当向所述线圈施加RF功率时,所述室内的压力小于5毫托。
21.根据权利要求1所述的方法,其中通过大于基底直径的50%的射程距离,将所述靶与用于夹持所述基底的底座间隔开。
22.根据权利要求21所述的方法,其中所述射程距离大于所述基底直径的80%。
23.根据权利要求22所述的方法,其中所述射程距离大于所述基底直径的140%。
24.根据权利要求1所述的方法,其中所述材料是铜,其沉积到形成于所述基底的介电层中并具有至少4∶1的高对宽孔径比的孔中。
25.一种将材料沉积在各自具有至少4∶1的孔径比并形成在基底的介电层的孔中的方法,包括:
利用产生自离子化等离子体的磁控管溅射室的靶,该自离子化等离子体离子化从靶上溅射的材料;
在所述室中将在自离子化等离子体中被离子化的溅射材料沉积到基底的所述孔中;和
在所述室中利用RF线圈产生电感耦合等离子体以进一步处理基底。
26.根据权利要求25所述的方法,其中所述沉积包括对所述基底施加足够的偏压以将离子化的沉积材料吸引至所述基底中的所述孔中。
27.根据权利要求25所述的方法,进一步包括对所述基底施加足够的偏压以利用在所述电感耦合等离子体中产生的离子从所述基底中的所述孔中再溅射沉积材料。
28.根据权利要求27所述的方法,进一步包括将前体气体供给到所述室中,其中所述前体气体在所述电感耦合等离子体中被离子化以产生用于从所述基底再溅射沉积材料的所述离子。
29.根据权利要求25所述的方法,进一步包括利用所述电感耦合等离子体离子化附加的溅射沉积材料。
30.根据权利要求25所述的方法,进一步包括利用所述电感耦合等离子体将材料从所述线圈溅射到所述基底上。
31.根据权利要求30所述的方法,进一步包括利用耦合到所述线圈的DC源控制所述线圈上的DC偏压以控制从所述线圈溅射线圈材料的速率。
32.根据权利要求31所述的方法,其中所述控制包括利用耦合到所述线圈的极间耦合电容维持所述线圈上的DC偏压。
33.根据权利要求25所述的方法,其中所述沉积包括对所述基底施加充足的偏压以将离子化的沉积材料吸引至所述基底中的所述孔中以在所述孔中形成沉积材料的层,其中所述层具有底部部分和侧壁部分,且在第二步骤中,对所述基底施加足够的偏压以利用在所述电感耦合等离子体中产生的离子从所述孔的底部部分再溅射沉积材料以至少减薄所述底部部分同时至少减小施加到所述靶的功率,以减小在所述第二步骤期间从所述靶溅射的材料的量。
34.一种将沉积材料溅射沉积到基底上的方法,包括:
提供具有靶的室;
在靶的背面附近旋转磁控管,所述磁控管具有不大于1/4靶面积的面积且包括一种磁极性的内部磁极,该内部磁极由相反磁极性的外部磁极包围,所述外部磁极的磁通量比所述内部磁极的磁通量至少大50%;
向所述靶施加功率,从而在第一速率下将材料从所述靶溅射到所述基底上;和
向第一线圈施加RF功率,以提供等离子体来在所述室中将沉积材料再溅射到所述基底上。
35.根据权利要求34所述的方法,其中通过大于基底直径的50%的射程距离,将所述靶与用于夹持所述基底的底座间隔开。
36.根据权利要求34所述的方法,进一步包括溅射所述线圈以将线圈材料沉积到所述基底上同时再溅射所述基底上的靶材料。
37.根据权利要求36所述的方法,进一步包括抑制溅射所述靶同时再溅射所述基底上的靶材料。
38.一种将材料沉积在各自具有至少4∶1的孔径比并形成在基底的介电层的孔中的方法,包括:
在室中的产生自离子化等离子体的磁控管中离子化溅射靶材料;
在所述室中,将在自离子化等离子体中被离子化的溅射材料沉积到基底的所述孔中;和
在所述室中的电感耦合等离子体中再溅射所述孔的每一个的底部部分的材料。
39.根据权利要求38所述的方法,进一步包括在所述室中的所述电感耦合等离子体中将RF线圈材料溅射沉积到所述孔的周围。
40.一种在形成于基底的介电层的孔中形成屏障层和衬套层的方法,包括:
操作磁控管以在室中产生相邻于靶的自离子化等离子体;
溅射所述靶以提供溅射的靶材料,其中所述溅射靶材料中至少有一部分在所述自离子化等离子体中被离子化;
对所述室中的所述基底加偏压以在每一个所述孔中沉积屏障层,所述屏障层包括在所述室中产生自离子化等离子体的所述磁控管中被离子化的溅射靶材料;
操作RF线圈以在所述室中产生电感耦合等离子体;
在所述室中将线圈材料从所述RF线圈溅射到所述基底上;
利用在所述室中的电感耦合等离子体再溅射所述屏障层的底部部分以减薄所述屏障层的所述底部部分;
操作所述磁控管以在所述室中产生相邻于所述靶的附加自离子化等离子体;
溅射所述靶,以提供附加的溅射靶材料,其中所述附加的溅射靶材料中至少有一部分在所述附加的自离子化等离子体中被离子化;
对所述室中的所述基底加偏压以在每一个所述孔中沉积衬套层,所述衬套层包括在所述室中产生自离子化等离子体的所述附加磁控管中被离子化的所述附加的溅射靶材料;
操作所述RF线圈以在所述室中产生附加的电感耦合等离子体;
在所述室中将附加的线圈材料从RF线圈溅射到所述基底上;和
利用所述室中的所述附加的电感耦合等离子体再溅射所述衬套层的底部部分以减薄所述衬套层的所述底部部分。
41.一种用于在基底上溅射沉积膜的等离子体溅射反应器,包括:
真空室,包含与室轴对准且具有用于支撑要被溅射沉积的基底的支撑表面的底座;
靶,包括要被溅射沉积到所述基底上的材料,且与所述真空室电隔离;
磁控管,相邻于所述靶放置且具有不大于靶面积的大约1/4的面积,并包括一种磁极性的内部磁极,该内部磁极由相反磁极性的外部磁极包围,所述外部磁极的磁通量比所述内部磁极的磁通量至少大50%,且适用于在所述室中相邻于所述靶产生自离子化等离子体以离子化从所述靶溅射的沉积材料;和
第一RF线圈,设置在所述靶与所述底座之间,且适用于电感耦合RF能量以在所述靶与底座之间的等离子体生成区域中产生电感耦合等离子体。
42.根据权利要求41所述的反应器,进一步包括关于所述轴大体对称且设置在所述室内的第一导电护罩,其中所述线圈关于所述轴大体对称且由所述护罩绝缘地支撑。
43.根据权利要求41所述的反应器,进一步包括压力泵和控制器,其中该压力泵与所述的室耦合,该控制器适用于控制所述压力泵和所述室中的压力在所述溅射沉积的至少第一部分期间不超过5毫托的压力。
44.根据权利要求41所述的反应器,进一步包括源和控制器,其中该源与所述线圈耦合,该控制器适用于控制所述源以对所述基底施加足够的偏压以将离子化的沉积材料吸引到所述基底中的具有至少4∶1的高对宽孔径比的所述孔中。
45.根据权利要求44所述的反应器,其中所述控制器适用于控制所述源来对所述基底施加足够的偏压以利用在所述电感耦合等离子体中产生的离子来从所述基底再溅射沉积材料。
46.根据权利要求45所述的反应器,进一步包括前体气体供给器,其中所述控制器适用于控制所述供给器将前体气体供给到所述室中,其中所述前体气体在所述电感耦合等离子体中被离子化以产生用于从所述基底再溅射沉积材料的所述离子。
47.根据权利要求41所述的反应器,其中所述线圈适用于被溅射,所述反应器进一步包括DC源和控制器,其中该DC源与所述线圈耦合,该控制器适用于控制所述DC源以控制所述线圈上的DC偏压从而控制从所述线圈溅射线圈材料的速率。
48.根据权利要求47所述的反应器,进一步包括耦合到所述线圈以维持所述线圈上的DC偏压的极间耦合电容。
49.根据权利要求41所述的反应器,进一步包括偏压源和控制器,其中该偏压源与所述底座耦合,该控制器适用于控制所述偏压源:在第一步骤中对所述基底施加足够的偏压以将离子化的沉积材料吸引到所述基底中具有至少3∶1的高对宽孔径比的孔中,以在每一个所述孔中形成沉积材料的层,其中所述层具有底部部分和侧壁部分;在第二步骤中,对所述基底施加足够的偏压以利用在所述电感耦合等离子体中产生的离子从所述层的底部部分再溅射沉积材料以致少减薄所述底部部分同时减小施加到所述靶的功率,以减小在所述第二步骤期间从所述靶溅射的材料的量。
50.根据权利要求49所述的反应器,进一步包括适用于向所述靶施加功率的功率源,其中所述控制器适用于控制靶功率源以在所述第二步骤的至少一部分期间将施加到所述靶的功率减小至1kW以下。
51.根据权利要求49所述的反应器,其中在所述第二步骤的至少一部分期间将施加到所述靶的所述功率减小至200瓦以下。
52.根据权利要求51所述的反应器,其中在所述第二步骤的至少一部分期间没有材料从所述靶溅射。
53.根据权利要求49所述的反应器,进一步包括适用于向所述线圈施加RF功率的RF功率源,其中所述控制器适用于控制该线圈RF功率源以在所述第一步骤的至少一部分期间向所述线圈施加小于500瓦的RF功率而在所述第二步骤的至少一部分期间大于500瓦的RF功率。
54.根据权利要求53所述的反应器,其中向所述线圈施加的所述RF功率在所述第一步骤的至少一部分期间是0瓦,在所述第二步骤的至少一部分期间是至少1kW。
55.根据权利要求49所述的反应器,进一步包括适用于向所述线圈施加DC功率的DC功率源,其中所述控制器适用于控制该线圈DC功率源以向所述线圈施加DC功率来在所述第二步骤的至少部分期间控制线圈溅射。
56.根据权利要求55所述的反应器,其中所述控制器适用于控制所述线圈DC功率源,以将线圈材料从所述线圈溅射到所述层的所述侧壁部分上,同时在所述第二步骤期间利用所述电感耦合等离子体将沉积材料从所述层的底部部分再溅射。
57.根据权利要求41所述的反应器,其中所述靶材料包括钽。
58.根据权利要求47所述的反应器,其中所述线圈材料包括钽。
59.根据权利要求41所述的反应器,其中所述靶与所述底座通过大于基底直径的50%的射程距离间隔开。
60.根据权利要求59所述的反应器,其中所述射程距离大于基底所述直径的80%。
61.根据权利要求60所述的反应器,其中所述射程距离大于基底所述直径的140%。
62.一种用于在基底上溅射沉积膜的等离子体溅射反应器,包括:
真空室,包含与室轴对准且具有用于支撑要被溅射沉积的基底的支撑表面的底座;
靶,包括要被溅射沉积到所述基底上的材料,且与所述真空室电隔离;
磁控管,设置在相邻于所述靶且具有不大于靶面积的大约1/4的面积,并包括一种磁极性的内部磁极,该内部磁极由相反磁极性的外部磁极包围,所述外部磁极的磁通量比所述内部磁极的磁通量至少大50%,且适用于在所述室中相邻于所述靶产生自离子化等离子体以离子化从所述靶溅射的沉积材料;和
第一RF线圈,设置在所述靶与所述底座之间,且适用于电感耦合RF能量以在所述靶与底座之间的等离子体生成区域中产生电感耦合等离子体,以从所述基底再溅射靶沉积材料。
63.根据权利要求62所述的反应器,其中所述线圈适用于被溅射,所述反应器进一步包括DC源和控制器,其中该DC源与所述线圈耦合,该控制器适用于控制所述DC源以控制所述线圈上的DC偏压从而控制从所述线圈溅射线圈材料的速率。
64.根据权利要求63所述的反应器,进一步包括耦合到所述线圈以维持所述线圈上的DC偏压的极间耦合电容。
65.一种用于在具有多个孔的基底上溅射沉积膜的等离子体溅射反应器,包括:
真空室,包含与室轴对准且具有用于支撑要被溅射沉积的基底的支撑表面的底座;
控制器;
底座功率源,响应于所述控制器且耦合到所述底座,适用于对支撑在所述底座支撑表面上的所述基底加偏压;
靶,包括要被溅射沉积到所述基底上的材料,且与所述真空室电隔离,其中所述靶通过大于基底直径的50%的射程距离与所述底座间隔开;
磁控管,响应于所述控制器,相邻于所述靶放置,具有不大于靶面积的大约1/4的面积,并包括一种磁极性的内部磁极,该内部磁极由相反磁极性的外部磁极包围,所述外部磁极的磁通量比所述内部磁极的磁通量至少大50%,且适用于在所述室中相邻于所述靶产生自离子化等离子体以离子化从所述靶溅射的沉积材料;
靶功率源,耦合到所述靶,并响应于所述控制器对所述靶施加偏压以导致从所述靶溅射靶材料;
第一导电护罩,关于所述轴大体对称且设置在所述室内;
RF线圈,关于所述轴大体对称,通过所述护罩被绝缘承载,并放置在所述靶与所述底座之间;
RF功率源,响应于所述控制器,并耦合到所述RF线圈以对所述RF线圈施加功率来电感耦合RF能量以在所述靶与所述底座之间的等离子体生成区域中产生电感耦合等离子体;和
线圈偏压源,响应于所述控制器,耦合于所述RF线圈,并适用于对所述RF线圈施加偏压以导致从所述RF线圈溅射线圈材料;
其中所述控制器适用于:
操作所述磁控管以产生相邻于所述靶的自离子化等离子体;
操作所述靶功率源以对所述靶施加偏压,来溅射所述靶以提供溅射靶材料,其中所述溅射靶材料中至少有一部分在所述自离子化等离子体中被离子化;
操作所述底座功率源以对所述室中的所述基底施加偏压以在每一个所述孔中沉积屏障层,该屏障层包括在所述室中产生自离子化等离子体的所述磁控管中被离子化的溅射靶材料;
操作所述RF源以操作所述RF线圈以在所述室中产生电感耦合等离子体;
操作所述线圈偏压源以对所述RF线圈施加偏压,以将线圈材料从所述RF线圈溅射到所述室中的所述基底上;
操作所述底座功率源以对所述基底施加偏压,来利用在所述室中的所述电感耦合等离子体再溅射所述屏障层底部部分以减薄所述屏障层的底部部分;
操作所述磁控管以在所述室中相邻于所述靶产生附加的自离子化等离子体;
操作所述靶功率源以对所述靶施加偏压,来溅射所述靶以提供附加的溅射靶材料,其中所述附加的溅射靶材料中至少有一部分在所述附加的自离子化等离子体中被离子化;
操作所述底座功率源以对所述室中的所述基底施加偏压,以在每一个所述孔中沉积衬套层,所述衬套层包括在所述室中产生自离子化等离子体的所述附加磁控管中被离子化的所述附加溅射靶材料;
操作所述RF功率源以操作所述RF线圈,以在所述室中产生附加的电感耦合等离子体;
操作所述线圈偏压源以对所述RF线圈施加偏压,以将附加线圈材料从所述RF线圈溅射到所述室中的所述基底上;和
操作所述底座功率源以对所述基底施加偏压,来利用在所述室中的所述附加电感耦合等离子体再溅射所述衬套层的底部部分以减薄所述衬套层的底部部分。
66.根据权利要求65所述的反应器,其中所述靶材料和所述线圈材料包括钽,而所述屏障层包括氮化钽,所述衬套层包括钽。
67.一种用于在基底上沉积导电材料的反应器,包括:
靶装置,用于将导电材料的层溅射沉积到所述基底上,且用于产生自离子化等离子体以在沉积到所述基底上之前,离子化从所述靶装置溅射的一部分所述导电材料;和
电感耦合等离子体装置,用于相邻于所述基底产生电感耦合等离子体。
68.一种用于将导电材料沉积到基底上的反应器,包括:
底座装置,用于支撑基底;
靶装置,用于将导电材料的层溅射沉积到所述基底上,且用于产生自离子化等离子体以在沉积到所述基底上之前,离子化从所述靶装置溅射的一部分所述导电材料;
用于对所述基底施加偏压以从所述靶装置吸引被离子化的导电材料来将其沉积到所述基底上的装置;
电感耦合等离子体装置,用于产生包含所述室内的离子的电感耦合等离子体,所述电感耦合等离子体装置包括导电材料的RF线圈;
所述基底偏压装置还用于对所述基底加偏压以从所述电感耦合等离子体吸引所述离子,以再溅射从所述靶装置沉积到所述基底上的所述基底导电材料;和
当从所述基底再溅射靶装置导电材料时用于溅射所述线圈以将线圈材料沉积到所述基底上的装置;
其中,所述底座装置包括基底支撑表面,且所述靶装置包括通过大于基底直径的50%的射程距离与所述基底支撑表面间隔开的靶。
69.一种将沉积材料溅射沉积到基底上的方法,包括:
提供具有靶的室;
在靶的背面附近旋转磁控管,所述磁控管具有不超出靶面积1/4的面积,且包括一种磁极性的内部磁极,该内部磁极有相反磁极性的外部磁极包围,所述外部磁极的磁通量比所述内部磁极磁通量至少大50%;
向所述靶施加功率,从而将溅射材料从所述靶溅射到所述基底上;和
向第一线圈施加RF功率以在所述室中提供附加的等离子体密度。
70.根据权利要求69所述的方法,其中所述靶通过大于基底直径的50%的射程距离与用于夹持所述基底的底座间隔开。
71.根据权利要求69所述的方法,其中进一步包括向第二线圈施加RF功率以提供附加的等离子体密度。
72.根据权利要求71所述的方法,其中所述第一线圈定位在比所述基底底座更接近于所述靶,且所述第二线圈定位在比所述靶更接近于所述基底底座。
73.根据权利要求72所述的方法,其中在第一间隔期间,所述第二线圈比第一线圈提供更多的附加等离子体密度,同时将靶材料溅射到所述基底上。
74.根据权利要求73所述的方法,其中在第二间隔期间,所述第一线圈比第二线圈提供更多的附加等离子体密度,同时将靶材料溅射到所述基底上。
75.根据权利要求69所述的方法,进一步包括,在室中激发等离子体之后,在所述靶功率施加的至少第一部分期间对所述室泵压至不超过5毫托的压力。
76.根据权利要求75所述的方法,进一步包括在靶功率施加的第二部分期间将所述压力泵压至大于5毫托的压力。
77.根据权利要求76所述的方法,其中在所述第二部分期间,大于5毫托的所述压力至少为20毫托,所述RF功率至少为1kW,而所述靶功率小于10kW。
78.根据权利要求76所述的方法,其中在所述第二部分期间,大于5毫托的所述压力为20-40毫托,所述RF功率为1-3kW,而所述靶功率为1-2kW DC。
79.根据权利要求75所述的方法,其中在所述第一部分期间,所述RF功率为至少1kW,而所述靶功率为至少10kW DC。
80.根据权利要求79所述的方法,其中在所述第一部分期间,所述RF功率为至少1kW,而所述靶功率为至少18kW DC。
81.根据权利要求75所述的方法,其中在所述靶功率施加的所述第一部分期间没有向所述线圈施加RF功率。
82.根据权利要求75所述的方法,其中所述靶通过大于基底直径的50%的射程距离与用于夹持所述基底的底座间隔开,且其中所述压力小于2毫托。
83.根据权利要求82所述的方法,其中所述射程距离大于基底所述直径的80%。
84.根据权利要求83所述的方法,其中所述射程距离大于基底所述直径的140%。
85.根据权利要求75所述的方法,其中所述压力小于2毫托。
86.根据权利要求85所述的方法,其中所述压力小于1毫托。
87.根据权利要求86所述的方法,其中所述靶通过大于基底所述直径80%的射程距离与用于夹持所述基底的底座间隔开。
88.根据权利要求75所述的方法,其中所述基底为200mm晶片,而所述靶功率施加步骤向对于所述200mm晶片标准化的所述靶施加至少18kW的DC功率。
89.根据权利要求76所述的方法,进一步包括向支撑所述基底的支撑施加功率以在所述基底上施加偏压。
90.根据权利要求89所述的方法,其中在向所述支撑施加功率期间,在所述第一部分期间施加的功率高于在所述第二部分期间施加的功率。
91.根据权利要求90所述的方法,其中在向所述支撑施加功率期间,在所述第一部分期间施加的功率约为500瓦,在所述第二部分期间施加的功率约为150瓦。
92.根据权利要求88所述的方法,其中所述靶功率施加功率向对于所述200mm晶片标准化的所述靶施加至少24kW的DC功率。
93.根据权利要求75所述的方法,其中所述基底为200mm的晶片,所述压力小于1毫托,所述靶通过大于所述基底直径的140%的射程距离与用于夹持所述基底的底座间隔开,且所述靶施加功率向对于所述200mm晶片标准化的所述靶施加至少24kW的DC功率。
94.根据权利要求69所述的方法,其中所述材料是铜,其沉积在形成于所述基底的介电层中的且具有至少4∶1的孔径比的孔中。
95.根据权利要求94所述的方法,其中所述铜在所述基底的顶部平坦表面上沉积至50至300nm之间的厚度,且进一步包括将铜填充进所述孔的剩余部分中。
96.根据权利要求95所述的方法,其中所述厚度在150至200nm之间。
97.根据权利要求95所述的方法,其中所述填充包括电镀。
98.根据权利要求95所述的方法,其中所述填充包括化学气相沉积。
99.根据权利要求76所述的方法,其中所述材料是铜,其沉积在形成于所述基底的介电层中的且具有至少4∶1的孔径比的孔中,且其中所述铜在所述第一部分期间在所述基底的顶部平坦表面上沉积至100至200nm之间的厚度,而在所述第二部分期间在所述基底的顶部平坦表面上沉积至50至100nm之间的厚度。
100.一种在具有至少4∶1孔径比并形成于基底的介电层的孔中沉积铜的方法,包括:
在室中的自离子化等离子体中溅射沉积第一铜层以在所述孔壁的至少第一部分上形成铜层但不填充所述孔;
在所述室中的电感耦合等离子体中溅射沉积第二铜层以在所述孔壁的至少第二部分上形成另一铜层但不填充所述孔;和
在所述第一和第二层上沉积第三铜层。
101.根据权利要求100所述的方法,其中在所述溅射沉积第一铜层之后进行所述溅射沉积第二铜层。
102.根据权利要求100所述的方法,其中在所述溅射沉积第一铜层的同时进行所述溅射沉积第二铜层。
103.根据权利要求100所述的方法,其中所述溅射沉积第二铜至少部分使用RF电感耦合以形成所述电感耦合等离子体。
104.根据权利要求100所述的方法,其中所述第一铜层具有第一铜覆层厚度,而所述第二铜层具有第二铜覆层厚度,所述第一对所述第二覆层厚度的比在4∶1至1∶1的范围内。
105.根据权利要求100所述的方法,其中所述沉积第三铜层包括电镀。
106.根据权利要求100所述的方法,其中在小于5毫托的室压下进行所述沉积第一铜层。
107.根据权利要求100所述的方法,其中所述第一层在所述介电层的顶表面上具有100至200nm的厚度。
108.根据权利要求100所述的方法,其中所述第二层在所述介电层的顶表面上具有50至100nm的厚度。
109.根据权利要求100所述的方法,其中所述沉积第三铜层用铜填充所述孔。
110.根据权利要求100所述的方法,其中所述沉积第三铜层包括化学气相沉积。
111.根据权利要求110所述的方法,进一步包括沉积第四铜层,其包括将包括铜的所述第四层电镀到所述第三层上从而用铜填充所述孔。
112.根据权利要求110所述的方法,其中沉积第三铜层使用铜填充所述孔。
113.一种将铜溅射沉积到基底上的方法,包括:
提供具有主要包括铜的靶的室,该靶通过大于基底直径的50%的射程距离与用于夹持要被溅射涂覆的基底的底座间隔开;
在靶的背面附近旋转磁控管,所述磁控管具有不超出靶面积1/4的面积且包括一种磁极性的内部磁极,该内部磁极由相反磁极性的外部磁极包围,所述外部磁极的磁通量比所述内部磁极的磁铜量至少大50%;
在室中激活等离子体之后,泵所述室至不超过5毫托的压力;
当所述室被泵至所述压力时,向对于200mm晶片标准化的所述靶施加至少10kW的DC功率,从而将铜从所述靶溅射到所述基底上;和
向线圈施加RF功率以提供附加的等离子体密度。
114.一种用于在基底上溅射沉积膜的等离子体溅射反应器,包括:
金属真空室,包含与室轴对准且具有用于支撑要被溅射沉积的基底的支撑表面的底座;
靶,包括要被溅射沉积到所述基底上的材料,且与所述真空室电隔离;
磁控管,相邻于所述靶放置且具有不大于靶面积的大约1/4的面积的,并包括一种磁极性的内部磁极,该内部磁极由相反磁极性的外部磁极包围,所述外部磁极的磁通量比所述内部磁极的磁通量至少大50%;
第一导电护罩,关于所述轴大体对称,支撑在所述室上且电连接于所述室,并沿所述室的壁远离所述靶延伸至在所述支撑表面之后的高度;
第一RF线圈,由所述第一护罩绝缘地承载;和
控制器,适用于在所述溅射沉积的至少第一部分期间控制所述室中压力至不超过5毫托的压力。
115.根据权利要求113所述的反应器,进一步包括:
绝缘地承载在所述室内的第二RF线圈。
116.根据权利要求113所述的反应器,进一步包括:
由所述室支撑的电隔离体;
第二导电护罩,关于所述轴大体对称、支撑在所述隔离体上、与所述室和所述靶电隔离;和
由所述第二护罩绝缘地承载的第二RF线圈。
117.根据权利要求114所述的反应器,其中所述靶通过大于基底直径的50%的射程距离与用于夹持所述基底的底座间隔开。
118.根据权利要求114所述的反应器,进一步包括适用于向所述第一线圈施加RF功率的第一RF发生器。
119.根据权利要求115所述的反应器,其中所述第一线圈定位在比所述基底支撑更接近于所述靶,而所述第二线圈定位在比所述靶更接近于所述基底。
120.根据权利要求119所述的反应器,进一步包括适用于向所述第一线圈施加RF功率的第一RF发生器和适用于向所述第二线圈施加RF功率的第二RF发生器,且其中所述控制器适用于在第一间隔期间向所述第二线圈提供的功率大于向所述第一线圈提供的功率同时将靶材料溅射到所述基底上。
121.根据权利要求120所述的反应器,其中所述控制器适用于在第二间隔期间向所述第一线圈提供的功率大于向所述第二线圈提供的功率同时将靶材料溅射到所述基底上。
122.根据权利要求118所述的反应器,其中所述控制器适用于在所述溅射沉积的第二部分期间控制所述压力至大于5毫托的压力同时向所述线圈施加RF功率。
123.根据权利要求122所述的反应器,进一步包括响应于所述控制器并适用于向所述靶提供把功率的DC功率供给器。
124.根据权利要求123所述的反应器,其中在所述第二部分期间,所述大于5毫托的压力至少为20毫托,所述RF功率至少为1kW,且所述靶功率小于10kW。
125.根据权利要求123所述的反应器,其中在所述第二部分期间,所述大于5毫托的压力为20-40毫托,所述RF功率为1-3kW,且所述靶功率为1-2kW DC。
126.根据权利要求114所述的反应器,进一步包括响应于所述控制器且适用于向所述第一线圈施加RF功率的第一RF发生器,其中在所述第一部分期间,所述RF功率至少为1kW。
127.根据权利要求126所述的反应器,进一步包括响应于所述控制器且适用于向所述靶提供靶功率的DC功率发生器,其中在所述第一部分期间,所述靶功率至少为10kW DC。
128.根据权利要求127所述的反应器,其中在所述第一部分期间,所述靶功率至少为18kW DC。
129.根据权利要求118所述的反应器,其中所述控制器适用于控制所属RF发生器以在所述溅射沉积的所述第一部分期间不提供RF功率。
130.根据权利要求118所述的反应器,其中所述靶通过大于基底直径的50%的射程距离与用于夹持所述基底的底座间隔开,且其中所述压力小于2毫托。
131.根据权利要求130所述的反应器,其中所述射程距离大于所述基底直径的80%。
132.根据权利要求131所述的反应器,其中所述射程距离大于所述基底直径的140%。
133.根据权利要求114所述的反应器,其中所述压力小于2毫托。
134.根据权利要求133所述的反应器,其中所述压力小于1毫托。
135.根据权利要求134所述的反应器,其中所述靶通过大于所述基底直径的80%的射程距离与用于夹持所述基底的底座间隔开。
136.根据权利要求114所述的反应器,进一步包括DC功率供给器,其中所述基底为200mm的晶片,且所述控制器适用于向对于200mm晶片标准化的所述靶施加至少18kW的DC功率。
137.根据权利要求136所述的反应器,其中所述控制器相对于200mm晶片标准化的所述靶施加至少24kW的DC功率。
138.根据权利要求46所述的反应器,其中所述基底为200mm的晶片,所述压力小于1毫托,所述靶通过大于所述直径140%的射程距离与用于夹持所述基底的底座间隔开。
139.根据权利要求122所述的反应器,进一步包括响应于所述控制器且适用于向支撑所述基底的所述支撑表面施加功率以对所述基底加偏压的源。
140.根据权利要求139所述的反应器,其中施加到所述支撑的所述支撑功率,在所述第一部分期间施加的功率高于所述第二部分期间施加的功率。
141.根据权利要求140所述的反应器,其中施加到所述支撑的所述支撑功率在所述第一部分期间施加的功率约为500瓦,在所述第二部分期间施加的功率约为150瓦。
142.一种用于将导电材料沉积到基底上的反应器,包括:
靶装置,用于将导电材料层溅射沉积到所述基底上,且用于产生自离子化等离子体,以在沉积到所述基底上之前,离子化从所述靶装置溅射的一部分所述导电材料;和
电感耦合等离子体装置,用于产生电感耦合等离子体以在沉积到所述基底上之前离子化从所述靶装置溅射的一部分所述导电材料。
143.根据权利要求142所述的反应器,其中所述靶装置包括靶和磁控管,其中该靶包括要溅射沉积在所述基底上的导电材料,该磁控管相邻于所述靶放置,具有不超出靶面积1/4的面积,并包括一种磁极性的内部磁极,该内部磁极由相反磁极性的外部磁极包围,所述外部磁极的磁通量比所述内部磁极的磁通量大50%。
144.根据权利要求142所述的反应器,其中所述电感耦合等离子体装置包括放置在所述靶装置与所述基底之间的RF线圈,和用于向所述RF线圈施加RF能量的RF发生装置。
CNA028282035A 2001-12-21 2002-12-10 用于溅射和再溅射的自离子化和电感耦合的等离子体 Pending CN1620712A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US34260801P 2001-12-21 2001-12-21
US60/342,608 2001-12-21
US10/202,778 US20030116427A1 (en) 2001-08-30 2002-07-25 Self-ionized and inductively-coupled plasma for sputtering and resputtering
US10/202,778 2002-07-25

Publications (1)

Publication Number Publication Date
CN1620712A true CN1620712A (zh) 2005-05-25

Family

ID=26898019

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA028282035A Pending CN1620712A (zh) 2001-12-21 2002-12-10 用于溅射和再溅射的自离子化和电感耦合的等离子体

Country Status (6)

Country Link
US (1) US20030116427A1 (zh)
EP (1) EP1459353A2 (zh)
JP (1) JP2005514777A (zh)
KR (1) KR20040063002A (zh)
CN (1) CN1620712A (zh)
WO (1) WO2003056603A2 (zh)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102036460A (zh) * 2010-12-10 2011-04-27 西安交通大学 平板式等离子体发生装置
CN102414793A (zh) * 2009-04-03 2012-04-11 应用材料公司 用于pvd腔室的溅射靶材
CN105683406A (zh) * 2013-05-23 2016-06-15 欧瑞康先进科技股份公司 用于填充通孔的方法及基板通孔填充真空处理系统
CN106048531A (zh) * 2016-07-28 2016-10-26 苏州大学 ICP增强多靶磁控溅射装置及使用该装置制备TiO2薄膜的方法
CN111383900A (zh) * 2018-12-27 2020-07-07 佳能特机株式会社 成膜装置、成膜方法、及电子器件的制造方法
CN113533308A (zh) * 2021-06-15 2021-10-22 杭州谱育科技发展有限公司 放射性样品中元素的检测装置和方法
CN114686831A (zh) * 2022-03-11 2022-07-01 中国电子科技集团公司第四十八研究所 一种用于深孔pvd的金属自离子化装置及镀膜方法
CN111383900B (zh) * 2018-12-27 2024-04-19 佳能特机株式会社 成膜装置、成膜方法、及电子器件的制造方法

Families Citing this family (70)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7105434B2 (en) * 1999-10-02 2006-09-12 Uri Cohen Advanced seed layery for metallic interconnects
US6924226B2 (en) 1999-10-02 2005-08-02 Uri Cohen Methods for making multiple seed layers for metallic interconnects
US6610151B1 (en) 1999-10-02 2003-08-26 Uri Cohen Seed layers for interconnects and methods and apparatus for their fabrication
US10047430B2 (en) 1999-10-08 2018-08-14 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US8696875B2 (en) 1999-10-08 2014-04-15 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US6498091B1 (en) * 2000-11-01 2002-12-24 Applied Materials, Inc. Method of using a barrier sputter reactor to remove an underlying barrier layer
US6764940B1 (en) 2001-03-13 2004-07-20 Novellus Systems, Inc. Method for depositing a diffusion barrier for copper interconnect applications
US7781327B1 (en) 2001-03-13 2010-08-24 Novellus Systems, Inc. Resputtering process for eliminating dielectric damage
US7186648B1 (en) 2001-03-13 2007-03-06 Novellus Systems, Inc. Barrier first method for single damascene trench applications
US8043484B1 (en) 2001-03-13 2011-10-25 Novellus Systems, Inc. Methods and apparatus for resputtering process that improves barrier coverage
DE10147998A1 (de) * 2001-09-28 2003-04-10 Unaxis Balzers Ag Verfahren und Vorrichtung zur Erzeugung eines Plasmas
US7504006B2 (en) 2002-08-01 2009-03-17 Applied Materials, Inc. Self-ionized and capacitively-coupled plasma for sputtering and resputtering
US20040083976A1 (en) * 2002-09-25 2004-05-06 Silterra Malaysia Sdn. Bhd. Modified deposition ring to eliminate backside and wafer edge coating
US7005375B2 (en) * 2002-09-30 2006-02-28 Agere Systems Inc. Method to avoid copper contamination of a via or dual damascene structure
US8298933B2 (en) 2003-04-11 2012-10-30 Novellus Systems, Inc. Conformal films on semiconductor substrates
US7842605B1 (en) 2003-04-11 2010-11-30 Novellus Systems, Inc. Atomic layer profiling of diffusion barrier and metal seed layers
US6811662B1 (en) * 2003-08-22 2004-11-02 Powership Semiconductor Corp. Sputtering apparatus and manufacturing method of metal layer/metal compound layer by using thereof
EP1664370A1 (en) * 2003-09-25 2006-06-07 Honeywell International Inc. Pvd component and coil refurbishing methods
US20050118796A1 (en) * 2003-11-28 2005-06-02 Chiras Stefanie R. Process for forming an electrically conductive interconnect
US7416076B2 (en) * 2004-01-12 2008-08-26 Halliburton Energy Services, Inc. Apparatus and method for packaging and shipping of high explosive content components
US7686926B2 (en) * 2004-05-26 2010-03-30 Applied Materials, Inc. Multi-step process for forming a metal barrier in a sputter reactor
US20050266173A1 (en) 2004-05-26 2005-12-01 Tokyo Electron Limited Method and apparatus of distributed plasma processing system for conformal ion stimulated nanoscale deposition process
US20050266679A1 (en) * 2004-05-26 2005-12-01 Jing-Cheng Lin Barrier structure for semiconductor devices
US20050263891A1 (en) * 2004-05-28 2005-12-01 Bih-Huey Lee Diffusion barrier for damascene structures
US7686928B2 (en) * 2004-09-23 2010-03-30 Applied Materials, Inc. Pressure switched dual magnetron
US7268076B2 (en) * 2004-10-05 2007-09-11 Applied Materials, Inc. Apparatus and method for metal plasma vapor deposition and re-sputter with source and bias power frequencies applied through the workpiece
US7399943B2 (en) * 2004-10-05 2008-07-15 Applied Materials, Inc. Apparatus for metal plasma vapor deposition and re-sputter with source and bias power frequencies applied through the workpiece
US7214619B2 (en) * 2004-10-05 2007-05-08 Applied Materials, Inc. Method for forming a barrier layer in an integrated circuit in a plasma with source and bias power frequencies applied through the workpiece
JP2006148074A (ja) * 2004-10-19 2006-06-08 Tokyo Electron Ltd 成膜方法及びプラズマ成膜装置
WO2006049022A1 (ja) * 2004-11-04 2006-05-11 Asahi Glass Company, Limited イオンビームスパッタリング装置およびeuvリソグラフィ用反射型マスクブランクの多層膜の成膜方法
US7244344B2 (en) * 2005-02-03 2007-07-17 Applied Materials, Inc. Physical vapor deposition plasma reactor with VHF source power applied through the workpiece
US20060239800A1 (en) * 2005-04-26 2006-10-26 Roger Hamamjy Pulsed DC and RF physical vapor deposition cluster tool
JP2007027347A (ja) * 2005-07-15 2007-02-01 Sony Corp 半導体装置およびその製造方法
DE102005046976B4 (de) * 2005-09-30 2011-12-08 Advanced Micro Devices, Inc. Verfahren zur Herstellung einer Wolframverbindungsstruktur mit verbesserter Seitenwandbedeckung der Barrierenschicht
US7994047B1 (en) * 2005-11-22 2011-08-09 Spansion Llc Integrated circuit contact system
US20070252277A1 (en) * 2006-04-28 2007-11-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and fabrication method thereof
US20070257366A1 (en) * 2006-05-03 2007-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier layer for semiconductor interconnect structure
US7855147B1 (en) 2006-06-22 2010-12-21 Novellus Systems, Inc. Methods and apparatus for engineering an interface between a diffusion barrier layer and a seed layer
US7645696B1 (en) 2006-06-22 2010-01-12 Novellus Systems, Inc. Deposition of thin continuous PVD seed layers having improved adhesion to the barrier layer
JP4776033B2 (ja) * 2006-07-05 2011-09-21 柿原工業株式会社 スパッタリングによる樹脂導電化を利用した装飾めっき品の製造方法
SG10201501328WA (en) * 2006-08-30 2015-04-29 Lam Res Corp Controlled ambient system for interface engineering
US7510634B1 (en) 2006-11-10 2009-03-31 Novellus Systems, Inc. Apparatus and methods for deposition and/or etch selectivity
US7909968B2 (en) * 2006-11-13 2011-03-22 Advanced R F Design, L.L.C. Apparatus and method for the electrolysis of water
JP2008141051A (ja) * 2006-12-04 2008-06-19 Ulvac Japan Ltd 半導体装置の製造方法及び半導体装置の製造装置
KR100834283B1 (ko) * 2006-12-28 2008-05-30 동부일렉트로닉스 주식회사 금속 배선 형성 방법
US7682966B1 (en) * 2007-02-01 2010-03-23 Novellus Systems, Inc. Multistep method of depositing metal seed layers
US7922880B1 (en) 2007-05-24 2011-04-12 Novellus Systems, Inc. Method and apparatus for increasing local plasma density in magnetically confined plasma
US7897516B1 (en) 2007-05-24 2011-03-01 Novellus Systems, Inc. Use of ultra-high magnetic fields in resputter and plasma etching
US20090050468A1 (en) * 2007-08-22 2009-02-26 Applied Materials, Inc. Controlled surface oxidation of aluminum interconnect
US7659197B1 (en) 2007-09-21 2010-02-09 Novellus Systems, Inc. Selective resputtering of metal seed layers
JP5286351B2 (ja) * 2008-03-17 2013-09-11 株式会社アルバック マグネトロンスパッタリング装置及びマグネトロンスパッタリング方法
US8017523B1 (en) 2008-05-16 2011-09-13 Novellus Systems, Inc. Deposition of doped copper seed layers having improved reliability
US20090308732A1 (en) * 2008-06-17 2009-12-17 Applied Materials, Inc. Apparatus and method for uniform deposition
KR101353411B1 (ko) * 2008-08-18 2014-01-21 캐논 아네르바 가부시키가이샤 자석 유닛, 및 마그네트론 스퍼터링 장치
KR20100032644A (ko) * 2008-09-18 2010-03-26 삼성전자주식회사 선택적 플라즈마 처리를 이용한 반도체 소자의 금속배선 형성방법
CN101423323B (zh) * 2008-11-21 2010-12-22 胡伟 非平面玻璃制品的成型方法及其设备
CA2793855A1 (en) * 2010-03-22 2011-09-29 Luxottica Us Holdings Corporation Ion beam assisted deposition of ophthalmic lens coatings
KR101960364B1 (ko) * 2011-11-16 2019-03-21 엘지디스플레이 주식회사 유기 박막 증착장치
US9279179B2 (en) 2012-02-06 2016-03-08 Taiwan Semiconductor Manufacturing Co., Ltd. Multi coil target design
KR101316154B1 (ko) * 2012-02-29 2013-10-08 주식회사 포스코 전기전도성이 우수한 강심알루미늄연선용 고탄소 강선 및 그의 제조방법
US9123780B2 (en) * 2012-12-19 2015-09-01 Invensas Corporation Method and structures for heat dissipating interposers
CN103151235B (zh) * 2013-02-20 2016-01-27 上海华力微电子有限公司 一种提高刻蚀均匀性的装置
JP6329839B2 (ja) * 2014-07-29 2018-05-23 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
CN105448818B (zh) * 2015-12-31 2018-10-16 上海集成电路研发中心有限公司 一种应用于半导体铜互连工艺的磁控溅射方法
KR102383703B1 (ko) * 2016-03-05 2022-04-08 어플라이드 머티어리얼스, 인코포레이티드 물리 기상 증착 프로세스들에서 이온 프랙션을 제어하기 위한 방법들 및 장치
KR102619574B1 (ko) * 2017-02-10 2023-12-28 어플라이드 머티어리얼스, 인코포레이티드 딥 트렌치에서의 저온 선택적 에피택시를 위한 방법 및 장치
CN109468601A (zh) * 2017-09-08 2019-03-15 南京理工大学 磁控溅射沉积碳钢表面非晶钽涂层的方法
US10964590B2 (en) * 2017-11-15 2021-03-30 Taiwan Semiconductor Manufacturing Co., Ltd. Contact metallization process
KR20200074263A (ko) * 2017-11-19 2020-06-24 어플라이드 머티어리얼스, 인코포레이티드 금속 표면들 상의 금속 산화물들의 ald를 위한 방법들
US11345991B2 (en) 2018-09-27 2022-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, method and machine of manufacture

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5178739A (en) * 1990-10-31 1993-01-12 International Business Machines Corporation Apparatus for depositing material into high aspect ratio holes
JP3231900B2 (ja) * 1992-10-28 2001-11-26 株式会社アルバック 成膜装置
US5907220A (en) * 1996-03-13 1999-05-25 Applied Materials, Inc. Magnetron for low pressure full face erosion
US6368469B1 (en) * 1996-05-09 2002-04-09 Applied Materials, Inc. Coils for generating a plasma and for sputtering
US5736021A (en) * 1996-07-10 1998-04-07 Applied Materials, Inc. Electrically floating shield in a plasma reactor
TW358964B (en) * 1996-11-21 1999-05-21 Applied Materials Inc Method and apparatus for improving sidewall coverage during sputtering in a chamber having an inductively coupled plasma
US5933753A (en) * 1996-12-16 1999-08-03 International Business Machines Corporation Open-bottomed via liner structure and method for fabricating same
US6692617B1 (en) * 1997-05-08 2004-02-17 Applied Materials, Inc. Sustained self-sputtering reactor having an increased density plasma
JPH111770A (ja) * 1997-06-06 1999-01-06 Anelva Corp スパッタリング装置及びスパッタリング方法
US5902461A (en) * 1997-09-03 1999-05-11 Applied Materials, Inc. Apparatus and method for enhancing uniformity of a metal film formed on a substrate with the aid of an inductively coupled plasma
US6042700A (en) * 1997-09-15 2000-03-28 Applied Materials, Inc. Adjustment of deposition uniformity in an inductively coupled plasma source
US6174811B1 (en) * 1998-12-02 2001-01-16 Applied Materials, Inc. Integrated deposition process for copper metallization
US6506287B1 (en) * 1998-03-16 2003-01-14 Applied Materials, Inc. Overlap design of one-turn coil
US6208585B1 (en) * 1998-06-26 2001-03-27 Halliburton Energy Services, Inc. Acoustic LWD tool having receiver calibration capabilities
US6287977B1 (en) * 1998-07-31 2001-09-11 Applied Materials, Inc. Method and apparatus for forming improved metal interconnects
US6149776A (en) * 1998-11-12 2000-11-21 Applied Materials, Inc. Copper sputtering target
US6179973B1 (en) * 1999-01-05 2001-01-30 Novellus Systems, Inc. Apparatus and method for controlling plasma uniformity across a substrate
US6579421B1 (en) * 1999-01-07 2003-06-17 Applied Materials, Inc. Transverse magnetic field for ionized sputter deposition
US6306265B1 (en) * 1999-02-12 2001-10-23 Applied Materials, Inc. High-density plasma for ionized metal deposition capable of exciting a plasma wave
US6183614B1 (en) * 1999-02-12 2001-02-06 Applied Materials, Inc. Rotating sputter magnetron assembly
US6398929B1 (en) * 1999-10-08 2002-06-04 Applied Materials, Inc. Plasma reactor and shields generating self-ionized plasma for sputtering
US6193855B1 (en) * 1999-10-19 2001-02-27 Applied Materials, Inc. Use of modulated inductive power and bias power to reduce overhang and improve bottom coverage
JP4021601B2 (ja) * 1999-10-29 2007-12-12 株式会社東芝 スパッタ装置および成膜方法
US6350353B2 (en) * 1999-11-24 2002-02-26 Applied Materials, Inc. Alternate steps of IMP and sputtering process to improve sidewall coverage
US6451177B1 (en) * 2000-01-21 2002-09-17 Applied Materials, Inc. Vault shaped target and magnetron operable in two sputtering modes
US6251242B1 (en) * 2000-01-21 2001-06-26 Applied Materials, Inc. Magnetron and target producing an extended plasma region in a sputter reactor
US6277249B1 (en) * 2000-01-21 2001-08-21 Applied Materials Inc. Integrated process for copper via filling using a magnetron and target producing highly energetic ions
US6554979B2 (en) * 2000-06-05 2003-04-29 Applied Materials, Inc. Method and apparatus for bias deposition in a modulating electric field
US6352629B1 (en) * 2000-07-10 2002-03-05 Applied Materials, Inc. Coaxial electromagnet in a magnetron sputtering reactor
US6436267B1 (en) * 2000-08-29 2002-08-20 Applied Materials, Inc. Method for achieving copper fill of high aspect ratio interconnect features
US6498091B1 (en) * 2000-11-01 2002-12-24 Applied Materials, Inc. Method of using a barrier sputter reactor to remove an underlying barrier layer

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102414793A (zh) * 2009-04-03 2012-04-11 应用材料公司 用于pvd腔室的溅射靶材
US9752228B2 (en) 2009-04-03 2017-09-05 Applied Materials, Inc. Sputtering target for PVD chamber
US10060024B2 (en) 2009-04-03 2018-08-28 Applied Materials, Inc. Sputtering target for PVD chamber
CN102036460A (zh) * 2010-12-10 2011-04-27 西安交通大学 平板式等离子体发生装置
CN102036460B (zh) * 2010-12-10 2013-01-02 西安交通大学 平板式等离子体发生装置
CN105683406A (zh) * 2013-05-23 2016-06-15 欧瑞康先进科技股份公司 用于填充通孔的方法及基板通孔填充真空处理系统
CN106048531A (zh) * 2016-07-28 2016-10-26 苏州大学 ICP增强多靶磁控溅射装置及使用该装置制备TiO2薄膜的方法
CN111383900A (zh) * 2018-12-27 2020-07-07 佳能特机株式会社 成膜装置、成膜方法、及电子器件的制造方法
CN111383900B (zh) * 2018-12-27 2024-04-19 佳能特机株式会社 成膜装置、成膜方法、及电子器件的制造方法
CN113533308A (zh) * 2021-06-15 2021-10-22 杭州谱育科技发展有限公司 放射性样品中元素的检测装置和方法
CN114686831A (zh) * 2022-03-11 2022-07-01 中国电子科技集团公司第四十八研究所 一种用于深孔pvd的金属自离子化装置及镀膜方法
CN114686831B (zh) * 2022-03-11 2023-11-07 中国电子科技集团公司第四十八研究所 一种用于深孔pvd的金属自离子化装置及镀膜方法

Also Published As

Publication number Publication date
WO2003056603A3 (en) 2003-11-20
EP1459353A2 (en) 2004-09-22
KR20040063002A (ko) 2004-07-09
US20030116427A1 (en) 2003-06-26
JP2005514777A (ja) 2005-05-19
WO2003056603A2 (en) 2003-07-10

Similar Documents

Publication Publication Date Title
CN1620712A (zh) 用于溅射和再溅射的自离子化和电感耦合的等离子体
CN1656243A (zh) 用于溅射和再溅射的自离子化及电感耦合等离子体
KR100843514B1 (ko) 구리 스퍼터링용 자기-이온화 플라즈마
US9062372B2 (en) Self-ionized and capacitively-coupled plasma for sputtering and resputtering
US8668816B2 (en) Self-ionized and inductively-coupled plasma for sputtering and resputtering
US6627050B2 (en) Method and apparatus for depositing a tantalum-containing layer on a substrate
JP2022079472A (ja) Pvdスパッタチャンバ向けのバイアス可能なフラックスオプティマイザ/コリメータ
US20050252765A1 (en) Method and apparatus for forming a barrier layer on a substrate
KR20130122005A (ko) 가공물을 통해 인가되는 소스 및 바이어스 전력 주파수들을 이용한 금속 플라즈마 기상 증착 및 재-스퍼터를 위한 장치
US10047430B2 (en) Self-ionized and inductively-coupled plasma for sputtering and resputtering
KR20070097298A (ko) 타깃에 인가되는 rf 소스 파워에 의한 물리 기상 증착플라즈마 반응기
WO2003042424A1 (en) Self-ionized and inductively-coupled plasma for sputtering and resputtering

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication