CN1629730A - 执行基于模型的光学邻近校正的方法 - Google Patents

执行基于模型的光学邻近校正的方法 Download PDF

Info

Publication number
CN1629730A
CN1629730A CNA2004100860907A CN200410086090A CN1629730A CN 1629730 A CN1629730 A CN 1629730A CN A2004100860907 A CNA2004100860907 A CN A2004100860907A CN 200410086090 A CN200410086090 A CN 200410086090A CN 1629730 A CN1629730 A CN 1629730A
Authority
CN
China
Prior art keywords
array
dimension
wavefront
amplitude
diameter
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2004100860907A
Other languages
English (en)
Other versions
CN100483334C (zh
Inventor
格莱格·M·加拉丁
埃马纽埃尔·高夫曼
黎家辉
马克·A·莱文
马哈拉伊·穆克海吉
多夫·拉姆
阿兰·E·罗森布鲁斯
施罗姆·施拉夫曼
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN1629730A publication Critical patent/CN1629730A/zh
Application granted granted Critical
Publication of CN100483334C publication Critical patent/CN100483334C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions

Abstract

第一种方法利用来自随机产生数据的模拟波前信息在光学邻近校正模拟核内计算相位映射。第二种方法使用光学工具所测量的数据。通过解析地嵌入随机产生的二维波前信息组成的复数阵列,并且在所得的阵列上执行傅立叶逆变换,来产生相位映射。滤波函数需要阵列中的每个元素的幅度与高斯函数相乘。然后将幂律应用到该阵列中。改变阵列中元素的位置,并将其元素由相位复矢量形式转换成实部/虚部形式。应用二维快速傅立叶变换。然后反移该阵列元素的位置,再将其转换成相位复矢量形式。

Description

执行基于模型的光学邻近校正的方法
技术领域
本发明一般性地涉及微光刻(optical microlithography)技术领域,尤其涉及波前模拟并结合相位映射(phase map),以解析地解决光刻掩模的光学邻近校正(optical proximity correction)中的杂光(flare)。
背景技术
微光刻也被称为半导体制备中的光刻处理技术,它包括将所希望的电路图案尽可能完美地复制到半导体晶圆上。所希望的电路图案在常常被称为光掩模的模板上通常表示为不透明的和透明的区域。在微光刻技术中,光掩模模板上的图案通过曝光系统以光学成像的方式被投影到带光阻涂层的晶圆上。
计算由光学投影系统产生的图象的空间象(aerial image)模拟器已经被证明对于分析和改善集成电路制作的目前光刻技术水平是很有价值的工具。这些模拟在例如相移掩模(PSM)设计、光学邻近校正(OPC)和投影光学系统设计的高级掩模设计中得到了应用。空间象的建模是半导体制作中至关重要的部分。因为目前的光刻工具使用部分相干照明,这样的建模对于几乎所有的基本图案都有很大的计算量。由掩模产生的空间象,即光学投影系统的象平面的光强度,在微光刻技术中对于如何更好地控制所开发的光致抗蚀结构复制掩模设计是一个至关重要的量。
与半导体芯片制作的光刻处理过程有关的光学邻近校正模拟核(simulation kernel)目前并没有考虑较高阶象差(aberration)这一因素,其中可以在远范围(long range)效应中产生这一因素的结果,尽管结果不象在1至2微米的近范围(short range)内那样显著。因为现有技术水平趋向使用更小的光波长,例如193nm和157nm以及远紫外线(EUV)13nm;而随着设备尺寸与用于在晶圆上印刷它们的光的波长相比明显变得更小,例如杂光这样的远范围效应就变得很重要,这使得势必要考虑更高阶象差。
在现有技术中,由于缺乏处理大面积掩模的能够处理杂光(flare-capable)的模拟器,妨碍了数据分析的应用。杂光一般被定义为光刻处理过程中不希望出现的光,而它却在该黑的地方出现。出现的原因有三点。第一点,波前粗糙度(wavefront roughness)或高阶波前象差(high order wavefront aberration)(涵盖了直至近似为1010泽尔尼克(Zermike))的波前)引起杂光。光学抛光的局限性、污染和指标(index)的变化,例如熔融石英内部的冻结紊乱,所有这些都对波前粗糙度有贡献。第二点,幻象或杂散反射(对于99%的反反射涂层为1%量级)也将引起杂光。最后,投影光学系统的壁的散射可以引起杂光。
如果该杂光是恒定的,则一定的偏移会完全弥补它的影响。然而,它不是恒定的,1%的杂光变化可以导致跨芯片线宽变化(ACLV:Across Chip Line-width Variation)无法让人接受。ACLV是用于描述印刷处理过程的保真度的一个关键参数。在线宽为45nm的设计中,6nm的ACLV表示大约有+/-15%的变化。可接受的变化的标称值是+/-10%或者更少。这样大的变化会显著地降低电路的性能,并在某些情形可能会引起灾难性的故障。因此,需要确定和补偿杂光对ACLV的贡献。
数学上,杂光表示所有来自掩模明亮区域的晕轮成分(halocontribution);围绕光学系统点扩散函数(PSF:point spread function)由来自光学系统内部的散射导致的光之和。于是,杂光用亮区域(brightarea)来衡量。在近范围内,被估计为Rmin~5λ/(2NA)直至2.5微米的级别(其中NA是光学系统的数值孔径,λ表示光的波长),实现部分相干效应。在中等范围(medium range)内(2.5至5微米)和远范围内(5微米直到Rmax~10mm),不相干地累加杂光成分。
其它远范围效应包含例如蚀刻、宏装载效应(macro loading effect)和化学杂光的非光学效应。
杂光随亮区域而增加。因此,明视场掩模(其中背景是清楚或明亮的)通常易于受杂光影响。双曝光(double exposure)(其中利用两个不同掩模的两次曝光来印刷一组形状)同样可以引起较多的杂光问题。第二次曝光期间从屏蔽罩中显著地泄漏出光,从而将新的杂光加入到第一次曝光已经产生的杂光中。具有不同图案密度的掩模更易受到杂光变化的影响。在某些物理条件下,杂光按照波长平方的倒数1/λ2来衡量,但在包含EUV的更小光波长中会引起更多的问题。
实验已经演示了多尺度下的复杂杂光效应。例如,已经示出了所有的核心各向异性、场变化和化学杂光。然而,直至现在,数据分析已经受到了由于缺乏能够处理杂光的模拟器的因素的妨碍。
在Aleshin等人,2001年7月17日授权的美国专利6,263,299,“几何空间象模拟”中,由具有透射部分的掩模产生的空间象通过以下方式模拟:将掩模的透射部分分成基本元(primitive element),获得针对每个基本元的响应,然后通过将所有基本元的响应组合来模拟出空间象。然而,这种分析方法不能教导或启示解决光学邻近校正模拟核心内的较高阶杂光象差问题的方法。
在现有技术中,波前形状用其项为相互正交的级数(series)来表示。最常使用的项是Zernike多项式。到目前为止,与较低阶项的Zernike多项式的表达式相反,现有技术中较高阶象差效应尚未被解析地表示为多项式的形式。在现有光学邻近校正(OPC)工具的技术水平下,所使用的Zernike多项式的阶数被限定在37的级别。因此,在现有技术中需要提供解决相继模型校准中的较高阶象差效应的方法。
解决较高阶象差将最终允许:校准的光学和抗蚀剂模型的计算精度;通过取得较好的掩模形状校正而达到晶圆形状相对“期望”形状的保真度;用于更好理解和评估校正方法的晶圆形状的模拟精度;由于取得了更好的精度而增加芯片制造的收益;减少制备的成本。
发明内容
鉴于现有技术中的问题和不足,本发明的目的在于提供一种考虑所有距离处的掩模上的解析波前构造的方法。
本发明的另一个目的在于提供一种根据波前产生相位映射的方法。
本发明的进一步的目的在于为近、远或中距离光学效应的实施提供基于波前的核心。
本发明的另一个目的在于提供一种使用已存在制造商的波前构造数据解决较高阶象差效应的方法。
本发明的另一个目的是提供一种使用模拟的波前数据解决较高阶象差效应的方法。
本发明的其它目的和优点将是显而易见的,并从详细说明书中变得更加明显。
本发明实现了本领域的技术人员能够理解的上述和其它的目的,本发明涉及执行基于模型的光学邻近校正的方法,包括:在具有多个阵列元素和已赋值的直径的第一二维复阵列中嵌入波前信息;由该波前信息中生成相位映射;由该相位映射计算点扩散函数;使用点扩散函数进行光学邻近校正计算。嵌入波前信息的步骤包括嵌入来自随机产生数据的模拟波前信息,或嵌入经验导出的波前数据。
该方法进一步包括:形成包括多个具有幅度和相位的复数的第一二维复阵列;获得与第一二维复阵列对应的复共轭阵列;使用复共轭阵列将第一二维复阵列排列成对称复阵列;在该对称复阵列上实施解析过滤函数;将幂律函数应用到对称复阵列;通过在对称复阵列上进行傅立叶变换来计算波前,从而产生变换的阵列;获得直径等于第一阵列的赋值直径的变换阵列的圆形核心,并转换到该直径之外的零坐标;正则化变换阵列的幅度。
形成第一二维复阵列的步骤包括将阵列元素以其相位在2p的范围内的相位复矢量(phasor)的形式放置。相位复矢量可具有0到小于1的预定小分数的范围内的幅度。第一二维复阵列的大小可以通过第一二维复阵列的赋值直径的以2为底的对数来表示。复数被放在第一二维复阵列的中心,使第一二维复阵列的每个幅度与复共轭阵列的对应幅度相等,并且使第一二维复阵列的坐标对的每个相位与复共轭阵列的对应相位的逆相同。
将幂律函数应用到对称复阵列的步骤包括将每个复数的幅度乘以每个坐标对的平方的和,其中该和经过求幂(raised to a power)。
正则化变换阵列的幅度步骤包括:从每个阵列元素减去波前平均值;用解析表达式代替每个元素,该表达式是固有杂光的平方根和波前半径的函数。
该方法进一步包含移动(shuffle)元素位置,使得第一阵列中心的元素移到第一阵列的左下角。这通过下列步骤来完成:忽略第一阵列的第一行和第一列;将第一阵列的剩余部分分成四个象限;将第一象限与第二象限进行交换,将第三象限与第四象限进行交换;将第一象限与第四象限进行交换;将第二象限与第三象限进行交换;将这些元素转换成实部和虚部形式;反移(unshuffle)元素的位置,使得第一阵列进行傅立叶变换再次居中;将第一阵列转换成相位复矢量形式。
该方法也可以包含:对经验导出的波前信息取幂以产生相位复矢量形式的复数阵列;在具有中心的较大阵列中嵌入指数形式的波前,使得如果波前阵列的线性尺寸由对数直径p给出,则该较大阵列中对应的线性尺寸由对数直径q给出,其中q至少为p+3;移动较大阵列的位置以将中心移到左下方象限;在该较大阵列上执行快速傅立叶逆变换以获得变换阵列;反移变换阵列的位置以将复数从左下方象限移回到中心;将反移的阵列的幅度正则化;选择正则化阵列的幅度值并产生相位映射;使用该相位映射计算点扩散函数阵列;将点扩散函数阵列从象素直径定标到实数直径。
在第二个方面,本发明涉及在结合相位映射的光刻掩模图案上进行基于模型的光学邻近校正的方法,包括:将实数维的点扩散函数阵列与一组卷积核结合,使用该组卷积核计算带象差的空间象。
在第三个方面,本发明涉及机器可读的程序存储设备,其有形地体现了可由机器运行以执行基于模型的光学邻近校正的方法步骤的指令程序,该方法步骤包括:在具有多个阵列元素和赋值直径的第一二维复阵列中嵌入波前信息;由该波前信息生成相位映射;由该相位映射计算点扩散函数;使用该点扩散函数进行光学邻近校正计算。
该方法进一步包括:形成包括多个具有幅度和相位的复数的第一二维复阵列;获得与第一二维复阵列对应的复共轭阵列;使用复共轭阵列将第一二维复阵列排列成对称复阵列;在该对称复阵列上实施解析过滤函数;将幂律函数应用到对称复阵列;通过在对称复阵列上进行傅立叶变换来计算波前,从而产生变换的阵列;获得直径等于第一阵列的赋值直径的变换阵列的圆形核心,并在该直径外转换成零坐标;正则化变换阵列的幅度。
附图说明
相信本发明的特性是新颖的,因此利用附加的权利要求中的特性提出本发明的基本特征。附图仅用于举例说明的目的,并没有按尺寸画出。然而,本发明本身既涉及构成又涉及操作方法,可以通过与下列附图一起参照本发明的详细描述来对本发明最好地加以理解。其中:
图1图示了波前粗糙度与杂光的关系。
图2是波前功率谱密度的图示。
图3图示了作为掩模上形状密度的函数的非相干积分模式(incoherent integration scheme)的片段。
图4示出的是在并入波前时进行空间象计算的算法的总体结构。
图5A-5C是将由幂律计算导出的波前模拟与实波前进行的比较。
图6A和6B分别图示了具有作为范围R的函数、伽马值(gammavalue)为1.7和2.3的杂光的点扩散函数。
图7是解析地将随机阵列A变换成实波前数据的处理步骤的流程图。
图8图示了根据本发明的方法所产生的模拟波前。
图9图示了嵌入测量的或经验得出的数据以获得实波前阵列的方法的处理过程的流程图。
图10图示了测量或经验导出的数据的文件所产生的波前图片。
图11图示了能够实施本发明的系统例子。
具体实施方式
在对本发明的优选实施例的描述中,将参照附图1-11,其中同样的数字表示本发明同样的特征。
本发明提供了计算可以用来解决光学邻近校正模拟核内的较高阶象差的相位映射的方法,其中当远范围效应变得突出时,该方法将导致更精确的OPC计算。
使用傅立叶逆变换产生解决较高阶象差的相位映射,较高阶象差通常在传统的光学邻近校正(OPC)模拟核中被忽略。提出两种方法来解决较高阶象差问题。第一种方法使用来自随机产生数据的模拟波前信息。第二种方法使用从光学工具中测量或经验导出的数据。
在这两种方法中,都考虑了杂光问题。图1图示了波前粗糙度与杂光的关系。示出的是晶圆上具有0阶和1阶发射(transmission)14的单频波前12。晶圆上的强度图案16以平方幅度的形式给出,并表示为每孔周期数,波长和数值孔径的函数。实波前具有连续的频率分布。所发射光的功率谱密度(PSD)能够使用下列关系由波前的快速傅立叶变换(FFT)的绝对值的平方计算出来:
psd~|波前的FFT|2~Amp2
Amp2~幂律函数~1/(#周期/孔)γ~1/xγ~杂光晕轮
其中
#周期/孔~(Zernike#)1/2
抛光和指标的波动变化产生近似为2的γ值。然而,杂光晕轮迅速地超过衍射,产生衍射受限的图象。
杂光是固有杂光以及功率谱密度和掩模透射率(masktransmission)的积分的函数。当假定掩模透射率是1时,固有杂光值为(2πσ)2,其中6是实残留rms波前。图2是波前的功率谱密度图表。这张图也表示了在模拟杂光时Zernike多项式和衍射受限点扩散函数(PSF)的使用限制。功率谱密度(PSD)的对数20以及杂光晕轮的对数在纵坐标上对于(PSD)的情形作为每孔周期数24的函数,对于晕轮对数的情形作为距离标度(distance scale)的对数被图示出来。使用仅37阶Zernike多项式所造成的限制在x轴(22)上被描述出来。曲线A图示的是非理想干涉仪的区域,其基本上滤出了高频数据。曲线B图示的是衍射受限点扩散函数的区域。这刻画了仅使用衍射受限PSF的现有技术OPC工具的当前状态的限制。曲线C图示的是实点扩散函数,示出的斜率为-γ。线26表示由于衍射受限PSF而截取的象素。在这个截取点处,有足够的解析范围来确定γ。
给定点处的杂光是所有其它点的杂光的贡献之和。在本发明的积分模式下,累加栅格(summation grid)可以在掩模的一定距离的部分是粗糙的。图3图示的是作为光刻掩模上形状密度的函数的非相干积分模式30的片段。这也能够作为晶圆上的强度密度被察觉出来。对芯片上10平方微米级别的区域(real estate)进行多边形积分32,而部分相干效应34被确定于近似3平方微米处。应当注意的是,该范围仅是为了举例说明的目的以正方形进行图示的。该范围也可以被描述为圆形、或其它封闭的、凸起的几何形状。同样,距离标度仅是为了举例说明的目的进行描述。它们可以按顺序在相同范围内变化,这取决于光刻工艺和技术。
在近似为1.5μm至5μm的中等范围内(即在所描述的10μm正方形内),本发明的算法通过直接在掩模多边形上积分而加速计算过程。这就避免了当所需的象素网格非常精细时对每个象素进行求和。此外,使用平均点扩散函数进行非相干卷积对于和图象点相距明显距离的较大多边形是精确的。然而,相互靠近的边缘或靠近图象点的边缘会相干地相互影响。本发明的这种算法使得这些限制条件部分地得到放宽。在小于近似为1.5μm的近范围区内使用相干积分(即在所描述的3μm正方形内),对于非相干光,在中等范围内使用确切的、非平均点扩散函数,或可选地,可以使用平均点扩散函数和解析方法。重要的是,为了使用和开发这些特性,必须知道波前/点扩散函数,或者用户必须使用所产生的模拟波前以遵守用户输入统计数据。
图4图示的是在一个点处实施空间象计算的算法的总体结构。首先,由用户输入模型选项42。这个数据用于近范围、部分相干和确切几何计算44,以获得空间象46的近范围效应。也可以用来确定波前数据48。使用经验导出的波前数据或模拟波前数据,并且针对部分相干、确切几何、中等范围的计算52计算50出的点扩散函数。如果没有使用波前数据,则非相干光的效应可以被计算54出来。在任一情形中,接着计算56中等范围的空间象并将其加入到近范围的计算结果58中。最后,使用模型输入计算整个芯片60的密度映射。然后使用幂律核与模糊几何62进行卷积运算以计算出远范围空间象64,这个结果随后被加到近范围和中等范围的计算结果58中。
本发明的波前技术通过模拟/读取波前和计算点扩散函数任务50,以及SOCS、部分相干、确切几何中等范围效应的计算52在图4的整体算法中表示出来。图5A-5C是由幂律计算5A、5B导出的波前与测量波前5C的对比图像。针对图5A的gamma=1.7,图5B的gamma=2.3示出幂律估计。应当注意到,较小的伽马值表示波前的更多高频项,如图5A和5B所示。
图6A和6B分别图示了具有作为范围R的函数、伽马值(gammavalue)为1.7和2.3的杂光的点扩散函数。曲线A和A’表示10%的杂光,而曲线B和B’表示的是0%的杂光。再一次应当注意的是,伽马的较小值说明了表示较宽杂光效应的较宽PSF扩散。这就是图6A和6B中所示的情形。模拟波前方法仅关注于象差的高频分量,而通过使用例如超高斯函数的切趾函数来忽略低频分量。这是因为较低阶的象差项通常作为Zernike多项式被包含在OPC的计算中。基本上,波前经过超高斯函数滤波以削弱低频对波前的影响,而允许高频成分保持原样。注意,能够使用任何其它有效滤出较低阶频率项的衰减函数来代替超高斯函数。将逆幂律函数应用到这些频率分量上,使得该函数仿真光学系统的当前远范围效应实验结果。通过使用快速傅立叶变换由这个信息产生波前。因此通过构造满足等式A(x,y)=A*(-x,-y)的对称复阵列A来使波前函数变成实的。
通过解析地将波前嵌入在具有大量保护带零的阵列上,并且对结果阵列进行快速傅立叶逆变换,由模拟波前或经验导出的波前产生相位映射。在傅立叶逆变换之后,将象素维度转换成用于OPC核的实现的标称维度。
不管波前是由模拟数据获得还是由经验导出的信息获得,产生相位映射的方法是相同的。简单地说,该方法包括模拟波前的产生或测量波前数据的嵌入,以及波前的点扩散函数的产生,同时保留较高阶成分。
产生模拟波前的方法
产生模拟波前的方法是从随机产生阵列开始,并最终以输出实波前为结束。首先,构造随机产生的二维复数阵列A。阵列A中的元素可以以相位复矢量的形式给出,使得保留相位和幅度信息。这也可以通过构造实部和虚部坐标的复阵列来完成。使得相位在2π的范围内均匀地变化,最好是从-π到+π均匀变化,并且使得幅度从0到用户指定的数(例如,可以大约是0.01)进行变化。
对阵列A中的元素进行排列,使得对于任何给定的坐标(x,y),阵列元素A(x,y)的值等于逆坐标的共轭值。这可以表示为下列关系式:
A(x,y)=A*(-x,-y),
其中A*是A的共轭。
共轭阵列必须满足幅度的等式关系和相位的逆等式关系:
幅度(A)=幅度(A*);
相位(A)=-相位(A*)。
给坐标(x,y)赋值,使得它们在阵列A内居中。为此,阵列A的行的编号从-y_max到+y_max变化,阵列A的列的编号从-x_max到+x_max变化,从而让原点位于阵列中心。这种编号是优选的方案;不过,可以使用任何其它具有类似效果的编号方案。
为了增加使用的FFT软件的效率,我们将阵列大小表示为非常接近2的幂的数。然而,为了确保A的对称性以使得它满足等式A(x,y)=A*(-x,-y),A的行数和列数需要为奇数。因此,A的行数和列数被表示为2p+1的形式,其中p被称作A的对数直径。这是因为p等价于log(A的行数-1)。同样,A的大小可以通过阵列的直径的对数来确定,它是一个整数。例如,对于为7的初始输入大小,A的大小为129×129,其中长度129是由关系27+1确定的。
一旦构造了随机产生的二维复数阵列A,较低阶的Zernike项就被滤出。这样做使得这些项不在同一计算中考虑二次。过滤需要将A的每个元素的幅度乘以超高斯函数,或乘以其它的适当的滤波函数。
优选函数是超高斯函数sg(Z)。超高斯函数由下列关系式确定:
f=λ/(R_min*NA),
其中R_min是较低阶项的圆形区域的半径;
NA是数值孔径;
λ是光波长;
并且
p=ln(1-ln(9)/ln(10))/(2ln(1-f))
接着超高斯函数sg(Z)由下列关系式定义:
sg(Z)=exp{-ln(2)||(Zf)p||}
为了获得所需要的滤波,阵列A(x,y)每个元素的幅度由作用于该幅度的超高斯函数所代替,使得产生的幅度等于sg(_(x2+y2))。
接着通过将阵列A的每个元素的结果幅度乘以{(x2+y2)(γ/2)}将幂律应用到阵列A,其中γ是用户提供的函数,值最好在1到3之间变化。
接着通过将傅立叶变换应用到滤波过的阵列A来计算波前。可以将移动位置机制应用到该阵列,以使得阵列能够用于商用快速傅立叶变换工具。这种移动位置机制(shuffling mechanism)将该阵列适当地进行变换,使得该阵列的中心移到左下角,所有其它的元素也进行适当的移动。然而,如果快速傅立叶变换(FFT)方法被配置成按上述方式处理阵列,则这个步骤不是必需的。移动位置机制描述如下。首先,对阵列A的元素移动位置,使得阵列A的原点(位于A的中心)重置于阵列A的左下角。这可以通过下列步骤进行:
i)忽略A的第一行和第一列;
ii)将A的元素分成四个象限;
iii)第一象限与第二象限进行交换;
iv)第三象限与第四象限进行交换;
v)第一象限与第四象限进行交换;
vi)第二象限与第三象限进行交换。
应当注意的是,如果A的行数和列数初始被设置为2p+1,则步骤(i)使得阵列中被传递到FFT的行数和列数都成为2的幂。被移动位置的阵列A的元素接着被从相位复矢量形式转换成实部/虚部的形式。这样做是因为当前商用FFT工具是以实部/虚部形式处理数据的。如果FFT工具能够以相位复矢量形式处理数据,这个步骤不是必需的。接着将二维快速傅立叶变换应用到该阵列上。接着反移动该阵列的位置,使得它再一次适当地居中。随后,它再被转换回相位复矢量的形式。
在快速傅立叶变换(FFT)之后,阵列A的元素的相位将是0或2p。这能够通过初始假定阵列坐标等于复共轭的逆坐标,即A(x,y)=A*(-x,-y)来保证。然后取阵列A的圆形核心。阵列A的直径与原始阵列的大小相同;不过,其余的元素被转换成0。接着幅度以下列方式被正则化:
i)从每个元素中减去幅度的平均值;
ii)每个元素A(x,y)的幅度用下列式子代替:
(√(固有杂光)/4π)/(√(∑(A(x,y)2)/π*r),
其中“r”表示波前的半径。
所得到的波前是实的,因为所有元素的相位都具有0值或2π值。图7是处理步骤80的流程图,该处理步骤将随机阵列A82解析地变换成实波前数据94。如前所讨论的那样,使得随机阵列A满足条件A(x,y)=A*(-x,-y),82。因此阵列核心被切趾以去掉较低阶Zernike84。应用86幂律函数。然后移动阵列的位置,进行快速傅立叶变换,然后反移。核心被圆化90和正则化92,导致用实数据表示的波前。图8图示的是通过上述方法产生的模拟波前。
嵌入所测量的波前数据的方法
所提出的解决较高阶象差的第二种方法是使用来自光学工具的测量或经验导出数据。波前数据通常可以原始数据的形式取自工具制造商。这种数据在能够用于计算点扩散函数之前需要被适当地嵌入和居中。该数据提供了下列信息:
i)原始数据;
ii)能够被解析地忽略的值的描述;
iii)行数和列数,它们不必相同。
使用下列步骤嵌入该数据:
i)通过用0替换每个被忽略的数据块,按行定序地读取数据;
ii)将该数据居中,这样做是为了以下列方式避免数据的非有意倾斜:
a)找到包括数据的最佳圆形;
b)在正方形阵列中嵌入和居中该圆形,其大小作为对数直径p给出,使得该阵列的行数和列数指定为2p+1。
图9图示的是嵌入所测量的或经验导出的数据以获得实波前阵列的方法的处理流程100。如图所示,测量的数据被输入102,以最佳匹配的圆形被圆化104,被嵌入和居中106,以最优匹配的对数直径108被匹配于正方形阵列中,并且被转换成实波前数据110。
由所测量的数据的文件产生的波前的图片如图10所示。
由实值波前数据产生点扩散函数的方法
不管波前是由模拟数据产生还是由经验导出的数据产生,均产生用于计算空间象的点扩散函数,该空间象解决了较高阶象差问题。首先,实值波前W被指数化为eiw。注意,迄今为止产生的波前是相位值为0的实阵列。因此这个实阵列的指数化具有相位复矢量形式(相位和幅度)的复数阵列的实际作用,其相位值与波前的幅度值相对应(这遵守指数化的定义),并且所有元素的幅度值是1(unity)。然后波前被嵌入较大的阵列中。作为一个例子,如果波前阵列的尺寸由对数直径p给出,则较大阵列的尺寸由对数直径q给定,其中q最好至少为p+3。注意,对于一个阵列,如果其大小是根据对数直径p给出的,则该阵列的行数和列数被定为2p+1。
其次,如前所述,我们通过使用移动位置的方法准备对该阵列进行逆FFT。如果FFT工具能够以这种给定的格式处理数据,则这个步骤可以是不必要的。该阵列被移动位置以将其中心移向左下方。在经过移动位置的阵列上进行快速傅立叶逆变换。然后反移该阵列的位置以从左下方移回到中心。该阵列由象素维度定标到实数维度。
上述方法计算出在光学邻近校正模拟核中能够用于考虑较高阶象差的相位映射。该方法可以使用模拟的波前信息,也可以使用经验导出的信息。波前信息在阵列之内进行模拟,其元素被重新进行排序,给出复共轭相关,用滤波函数对其操作,并实施快速傅立叶变换,稍后进行傅立叶逆变换。计算出结果波前以演示较高阶象差的影响。
该方法可以通过机器可读的程序存储设备中的软件来实现,该设备有形地体现了可被该机器运行以执行该方法的每个步骤的指令程序。图11图示的是能够实施本发明的系统的例子。CPU1001、I/O设备1002和通信设备1003经总线1005连接到具有存储该程序指令的存储器组1006的中心计算机系统1004。其它硬件/软件组合也是可行的,并且能够被构造成提供执行本发明的方法所需要的计算能力。
虽然已经对本发明结合特定优选实施例进行了具体的描述,很显然,本领域的技术人员根据前面的描述能够得到许多可选实施例、修正和变化。因此试图用附加的权利要求将所有这样的可选方式、修正和变化包含在本发明的真正范围和宗旨之内。

Claims (30)

1.一种执行基于模型的光学邻近校正的方法,包括:
在具有多个阵列元素和一个赋值直径的第一二维复阵列上嵌入波前信息;
由所述波前信息生成相位映射;
由所述相位映射计算点扩散函数;以及
使用所述点扩散函数执行光学邻近校正计算。
2.如权利要求1所述的方法,其中嵌入波前信息的所述步骤包括嵌入来自随机产生数据的模拟波前信息。
3.如权利要求1所述的方法,其中嵌入波前信息的所述步骤包括嵌入经验导出的波前数据。
4.如权利要求2所述的方法,包括:
形成包括多个具有幅度和相位的复数的所述第一二维复阵列;
获得与所述第一二维复阵列对应的复共轭阵列;
使用所述复共轭阵列将所述第一二维复阵列排列成对称复阵列;
在所述对称复阵列上执行解析滤波函数;
将幂律函数应用到所述对称复阵列;
通过对所述对称复阵列执行傅立叶变换来计算波前,以产生变换阵列;
获得其直径等于所述第一阵列的赋值直径的所述变换阵列的圆形核心,并将其转换到所述直径之外的0坐标;以及
将所述变换阵列的幅度正则化。
5.如权利要求4所述的方法,其中形成所述第一二维复阵列的所述步骤进一步包括将所述阵列元素放置成相位复矢量形式,其相位在2π范围之内。
6.如权利要求5所述的方法,其中所述相位复矢量的幅度在0至小于1的预定小数的范围内。
7.如权利要求5所述的方法,其中所述第一二维复阵列的大小用所述第一二维复阵列的赋值直径的以2为底的对数来表示。
8.如权利要求4所述的方法,其中在所述第一二维复阵列中所述复数被居中。
9.如权利要求4所述的方法,其中将所述第一二维复阵列排列成所述对称复阵列的所述步骤进一步包含使所述第一二维复阵列的每个幅度与所述复共轭阵列的对应幅度相等。
10.如权利要求4所述的方法,其中将所述第一二维复阵列排列成所述对称复阵列的所述步骤进一步包含使所述第一二维复阵列的坐标对的每个相位与所述复共轭阵列的对应相位的逆相等。
11.如权利要求4所述的方法,其中执行解析滤波函数的所述步骤包含将每个所述复数的幅度乘以切趾函数。
12.如权利要求11所述的方法,进一步包含滤出低空间频率象差。
13.如权利要求4所述的方法,其中将幂律函数应用于所述对称复阵列的所述步骤包括将每个所述复数的所述幅度乘以每个所述坐标对的平方的和,其中所述和经过求幂。
14.如权利要求13所述的方法,其中所述的幂包括γ/2的形式的所提供的用户函数,其中γ在1至3的范围内。
15.如权利要求4所述的方法,其中所述傅立叶变换是二维快速傅立叶变换。
16.如权利要求15所述的方法,包含在进行所述变换后将所述复数的每个相位赋值为0。
17.如权利要求4所述的方法,其中将所述变换阵列的幅度正则化的所述步骤包括:
从每个阵列元素中减去所述波前的平均值;
用解析表达式代替每个所述元素,该表达式是固有杂光的平方根和所述波前的半径的函数。
18.如权利要求4所述的方法,包含移动所述元素的位置,使得所述第一阵列的中心的元素被移到所述第一阵列的左下角。
19.如权利要求18所述的方法,进一步包含:
忽略所述第一阵列的第一行和第一列;
将所述第一阵列的剩余部分分成四个象限;
将第一象限与第二象限进行交换,并且将第三象限与第四象限进行交换;
将第一象限和第四象限进行交换;
将第二象限和第三象限进行交换;
将所述元素转换成实部和虚部形式;
在傅立叶变换之后反移所述元素的位置,使得第一阵列再次居中;以及
将所述第一阵列转换成相位复矢量形式。
20.如权利要求3所述的方法,进一步包括:
通过用0代替被忽略的数据,以行定序地读取经验导出的波前数据;以及
将所述经验导出的波前数据居中。
21.如权利要求20所述的方法,进一步包括:
获得包括所述经验导出的波前数据的圆;
将所述圆在正方形阵列内嵌入和居中,使得所述圆具有由所述阵列的行和列的相等数量表示的直径,该数量被表示为2以所述直径的对数为指数的幂加1的和。
22.如权利要求19所述的方法,进一步包括:
将经验导出的波前信息取幂,从而产生相位复矢量形式的复数阵列;
将所述经过取幂的波前嵌入具有一中心的较大阵列中,使得如果所述波前阵列的线性尺寸由对数直径p给出,则所述较大阵列的对应线性尺寸由对数直径q给出,其中q至少为p+3;
移动所述较大阵列的位置以将所述中心移到左下象限;
在所述较大阵列上执行傅立叶逆变换以获得变换阵列;
反移所述变换阵列以将所述复数从所述左下象限移回到所述中心;
正则化经过反移的阵列的量值;
选择所述正则化的阵列的幅度值并建立相位映射;
使用所述相位映射计算点扩散函数阵列;以及
将所述点扩散函数阵列从象素维度定标到实数维度。
23.如权利要求22所述的方法,其中所述复数阵列进一步包括与对应幅度值相同的相位值。
24.如权利要求22所述的方法,包含将幅度值赋值为1。
25.如权利要求22所述的方法,其中所述较大阵列包含通过将等于1的值与2以p为指数的幂相加来计算行和列的数量。
26.一种对结合相位映射的光刻掩模图案执行基于模型的光学邻近校正的方法,包括:
将实数维的点扩散函数阵列并入一组卷积核内;以及
使用该组卷积核计算具有象差的空间象。
27.一种机器可读的程序存储设备,其有形地体现了可由该机器运行以执行基于模型的光学邻近校正的方法步骤的指令程序,所述方法步骤包括:
在具有多个阵列元素和一个赋值直径的第一二维复阵列上嵌入波前信息;
由所述波前信息生成相位映射;
由所述相位映射计算点扩散函数;以及
使用所述点扩散函数执行光学邻近校正计算。
28.如权利要求27所述的程序存储设备,其中嵌入波前信息的所述步骤包括嵌入来自随机产生数据的模拟波前信息。
29.如权利要求27所述的程序存储设备,其中嵌入波前信息的所述步骤包括嵌入经验导出的波前数据。
30.如权利要求28所述的程序存储设备,包括:
形成包括多个具有幅度和相位的复数的所述第一二维复阵列;
获得与所述第一二维复阵列对应的复共轭阵列;
使用所述复共轭阵列将所述第一二维复阵列排列成对称复阵列;
在所述对称复阵列上执行解析滤波函数;
将幂律函数应用到所述对称复阵列;
通过对所述对称复阵列执行傅立叶变换来计算波前,以产生变换阵列;
获得其直径等于所述第一阵列的赋值直径的所述变换阵列的圆形核心,并将其转换到所述直径之外的0坐标;以及
将所述变换阵列的幅度正则化。
CNB2004100860907A 2003-10-27 2004-10-21 执行基于模型的光学邻近校正的方法和系统 Expired - Fee Related CN100483334C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/694,465 US7343271B2 (en) 2003-10-27 2003-10-27 Incorporation of a phase map into fast model-based optical proximity correction simulation kernels to account for near and mid-range flare
US10/694,465 2003-10-27

Publications (2)

Publication Number Publication Date
CN1629730A true CN1629730A (zh) 2005-06-22
CN100483334C CN100483334C (zh) 2009-04-29

Family

ID=34522607

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2004100860907A Expired - Fee Related CN100483334C (zh) 2003-10-27 2004-10-21 执行基于模型的光学邻近校正的方法和系统

Country Status (3)

Country Link
US (1) US7343271B2 (zh)
JP (1) JP4443378B2 (zh)
CN (1) CN100483334C (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101295325B (zh) * 2007-04-23 2011-09-21 台湾积体电路制造股份有限公司 为掩模设计执行数据准备的系统和方法
CN101614875B (zh) * 2008-06-25 2011-10-12 财团法人工业技术研究院 数字光学成像的设计方法与系统
CN102323723A (zh) * 2011-09-09 2012-01-18 北京理工大学 基于Abbe矢量成像模型的光学邻近效应校正的优化方法
CN117710270A (zh) * 2024-02-04 2024-03-15 全智芯(上海)技术有限公司 用于自由尺度光学邻近校正的方法、电子设备及存储介质
CN117710270B (zh) * 2024-02-04 2024-05-03 全智芯(上海)技术有限公司 用于自由尺度光学邻近校正的方法、电子设备及存储介质

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003038518A1 (en) 2001-10-30 2003-05-08 Pixelligent Technologies Llc Advanced exposure techniques for programmable lithography
US7234130B2 (en) * 2004-02-25 2007-06-19 James Word Long range corrections in integrated circuit layout designs
WO2005098686A2 (en) * 2004-04-02 2005-10-20 Clear Shape Technologies, Inc. Modeling resolution enhancement processes in integrated circuit fabrication
DE102005042496A1 (de) * 2005-09-05 2007-03-08 Carl Zeiss Sms Gmbh Verfahren zur Korrektur der Apodisierung in mikroskopischen Abbildungssystemen
US7525640B2 (en) * 2006-11-07 2009-04-28 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
NL1036189A1 (nl) 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
JP2009204823A (ja) * 2008-02-27 2009-09-10 Toshiba Corp シミュレーション方法及びシミュレーション用のプログラム
US8230369B2 (en) 2008-02-27 2012-07-24 Kabushiki Kaisha Toshiba Simulation method and simulation program
JP5040803B2 (ja) * 2008-05-15 2012-10-03 富士通セミコンダクター株式会社 プログラム及び記録媒体
US7966582B2 (en) * 2008-05-23 2011-06-21 Synopsys, Inc. Method and apparatus for modeling long-range EUVL flare
WO2010002379A1 (en) * 2008-06-30 2010-01-07 Alves James F Digital camera control system
US8161422B2 (en) * 2009-01-06 2012-04-17 International Business Machines Corporation Fast and accurate method to simulate intermediate range flare effects
US8443308B2 (en) * 2011-05-02 2013-05-14 Synopsys Inc. EUV lithography flare calculation and compensation
WO2018228820A1 (en) * 2017-06-14 2018-12-20 Asml Netherlands B.V. Lithographic apparatus and method
CN114556210A (zh) * 2019-11-04 2022-05-27 美商新思科技有限公司 在校正光刻掩模中使用掩模制造模型

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5182718A (en) * 1989-04-04 1993-01-26 Matsushita Electric Industrial Co., Ltd. Method and apparatus for writing a pattern on a semiconductor sample based on a resist pattern corrected for proximity effects resulting from direct exposure of the sample by a charged-particle beam or light
JP2715895B2 (ja) * 1994-01-31 1998-02-18 日本電気株式会社 光強度分布シミュレーション方法
US5647027A (en) * 1994-10-28 1997-07-08 Lucent Technologies Inc. Method of image enhancement using convolution kernels
US5663893A (en) * 1995-05-03 1997-09-02 Microunity Systems Engineering, Inc. Method for generating proximity correction features for a lithographic mask pattern
US5680588A (en) * 1995-06-06 1997-10-21 International Business Machines Corporation Method and system for optimizing illumination in an optical photolithography projection imaging system
US5723233A (en) * 1996-02-27 1998-03-03 Lsi Logic Corporation Optical proximity correction method and apparatus
US6233059B1 (en) * 1997-02-19 2001-05-15 Canon Kabushiki Kaisha Scanner device and control method thereof, and image input system
US6078738A (en) * 1997-05-08 2000-06-20 Lsi Logic Corporation Comparing aerial image to SEM of photoresist or substrate pattern for masking process characterization
US6081658A (en) * 1997-12-31 2000-06-27 Avant! Corporation Proximity correction system for wafer lithography
JP3080072B2 (ja) * 1998-06-15 2000-08-21 日本電気株式会社 光強度分布解析方法
US6223139B1 (en) * 1998-09-15 2001-04-24 International Business Machines Corporation Kernel-based fast aerial image computation for a large scale design of integrated circuit patterns
US6100978A (en) 1998-10-21 2000-08-08 Naulleau; Patrick P. Dual-domain point diffraction interferometer
US6466304B1 (en) 1998-10-22 2002-10-15 Asm Lithography B.V. Illumination device for projection system and method for fabricating
US6374396B1 (en) * 1998-12-04 2002-04-16 Micron Technology, Inc. Correction of field effects in photolithography
US6263299B1 (en) * 1999-01-19 2001-07-17 Lsi Logic Corporation Geometric aerial image simulation
US6127071A (en) * 1999-06-22 2000-10-03 International Business Machines Corporation Serif mask design for correcting severe corner rounding and line end shortening in lithography
US6487696B1 (en) * 2000-03-03 2002-11-26 Voyan Technology Compensator design optimization through efficient utilization of subcompensators
US6303253B1 (en) * 2000-03-16 2001-10-16 International Business Machines Corporation Hierarchy and domain-balancing method and algorithm for serif mask design in microlithography
US6460997B1 (en) * 2000-05-08 2002-10-08 Alcon Universal Ltd. Apparatus and method for objective measurements of optical systems using wavefront analysis
US6425113B1 (en) * 2000-06-13 2002-07-23 Leigh C. Anderson Integrated verification and manufacturability tool
TW552561B (en) 2000-09-12 2003-09-11 Asml Masktools Bv Method and apparatus for fast aerial image simulation
US6453457B1 (en) * 2000-09-29 2002-09-17 Numerical Technologies, Inc. Selection of evaluation point locations based on proximity effects model amplitudes for correcting proximity effects in a fabrication layout
TWI220999B (en) 2001-02-13 2004-09-11 Nikon Corp Measuring method of image formation characteristic, exposure method, exposure apparatus and its adjustment method, manufacture method of device, and recording medium
US7030997B2 (en) * 2001-09-11 2006-04-18 The Regents Of The University Of California Characterizing aberrations in an imaging lens and applications to visual testing and integrated circuit mask analysis

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101295325B (zh) * 2007-04-23 2011-09-21 台湾积体电路制造股份有限公司 为掩模设计执行数据准备的系统和方法
CN101614875B (zh) * 2008-06-25 2011-10-12 财团法人工业技术研究院 数字光学成像的设计方法与系统
CN102122071B (zh) * 2008-06-25 2013-04-17 财团法人工业技术研究院 数字光学成像的设计方法与系统
CN102323723A (zh) * 2011-09-09 2012-01-18 北京理工大学 基于Abbe矢量成像模型的光学邻近效应校正的优化方法
CN117710270A (zh) * 2024-02-04 2024-03-15 全智芯(上海)技术有限公司 用于自由尺度光学邻近校正的方法、电子设备及存储介质
CN117710270B (zh) * 2024-02-04 2024-05-03 全智芯(上海)技术有限公司 用于自由尺度光学邻近校正的方法、电子设备及存储介质

Also Published As

Publication number Publication date
CN100483334C (zh) 2009-04-29
JP2005128558A (ja) 2005-05-19
US7343271B2 (en) 2008-03-11
US20050091013A1 (en) 2005-04-28
JP4443378B2 (ja) 2010-03-31

Similar Documents

Publication Publication Date Title
US8479125B2 (en) Lithography modeling and applications
US8732625B2 (en) Methods for performing model-based lithography guided layout design
CN1629730A (zh) 执行基于模型的光学邻近校正的方法
JP3992688B2 (ja) コンタクト・ホール・マスクの光学的近接補正設計の方法
US7882480B2 (en) System and method for model-based sub-resolution assist feature generation
JP3636438B2 (ja) 高速空中像シミュレーションのための方法および装置
JP4806020B2 (ja) リソグラフィプロセスのフォーカス露光モデルを作成するための方法、公称条件で使用するためのリソグラフィプロセスの単一のモデルを作成するための方法、およびコンピュータ読取可能媒体
US7788628B1 (en) Computational efficiency in photolithographic process simulation
KR101711699B1 (ko) 마스크 패턴 작성 방법, 기록 매체 및 정보 처리 장치
US9779186B2 (en) Methods for performing model-based lithography guided layout design
JP2009510526A (ja) モデルを基にしたsrafの挿入
WO2015023610A1 (en) An edge-based full chip mask topography modeling
US10691015B2 (en) Integrated mask-aware lithography modeling to support off-axis illumination and multi-tone masks
JP2013535817A (ja) 集積回路レイアウト内のリソグラフィック結合パターンの迅速評価方法
Mülders et al. Simultaneous source-mask optimization: a numerical combining method
US9940694B2 (en) Resolution enhancement techniques based on holographic imaging technology
US20120320183A1 (en) Method For Simulating An Aerial Image
Melvin III et al. Three dimensional mask effects in OPC process model development from first principles simulation
Pei et al. Compatibility of optimized source over design changes in the foundry environment
Koukharenko et al. An LCOS IC layout verification method that uses a computational model for lithography manufacturing
US8775981B1 (en) Correcting for overexposure due to overlapping exposures in lithography
JP2012063431A (ja) 補助パターンの位置決定方法、フォトマスクの製造方法及び半導体装置の製造方法
Kodera et al. Optimal setting strategy for kernel-based OPC simulation engines
Chiang et al. Abbe-SVD: compact Abbe’s kernel generation for microlithography aerial image simulation using singular-value decomposition method
Evanschitzky et al. Image simulation of projection systems in photolithography

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090429

Termination date: 20161021

CF01 Termination of patent right due to non-payment of annual fee