CN1649107A - 降低半导体器件中有效介电常数的器件和方法 - Google Patents

降低半导体器件中有效介电常数的器件和方法 Download PDF

Info

Publication number
CN1649107A
CN1649107A CNA200510004272XA CN200510004272A CN1649107A CN 1649107 A CN1649107 A CN 1649107A CN A200510004272X A CNA200510004272X A CN A200510004272XA CN 200510004272 A CN200510004272 A CN 200510004272A CN 1649107 A CN1649107 A CN 1649107A
Authority
CN
China
Prior art keywords
sub
lithographic
insulating barrier
interconnection
mask
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA200510004272XA
Other languages
English (en)
Other versions
CN100428422C (zh
Inventor
戴维·C·埃德尔斯坦
马修·E·科尔伯恩
艾德华·C·考尼三世
蒂莫西·J·达尔顿
约翰·A·菲茨西蒙斯
杰弗里·P·加姆比诺
黄遏明
迈克尔·W·莱恩
文森特·A·迈克加海伊
李·M·尼科尔森
萨亚纳拉亚纳·V·尼塔
萨姆巴斯·普鲁肖塔曼
苏加萨·桑卡兰
托马斯·M·肖
安德鲁·H·西蒙
安托尼·K·斯塔姆伯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Core Usa Second LLC
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN1649107A publication Critical patent/CN1649107A/zh
Application granted granted Critical
Publication of CN100428422C publication Critical patent/CN100428422C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/942Masking
    • Y10S438/947Subphotolithographic processing

Abstract

降低半导体器件中有效介电常数的器件和方法,其中,用于制造结构的方法包括为具有绝缘层的结构提供至少一个互连,并在绝缘层上形成亚光刻模板掩模。使用选择刻蚀步骤,通过亚光刻模板掩模刻蚀绝缘层,以在至少一个互连附近形成亚光刻特征。也可以使用上层光刻阻挡掩模。在另一方面,该方法包括在绝缘层上的盖层中形成亚光刻尺寸的修剪部分。该半导体结构包括具有至少一个互连特征的绝缘层和形成在绝缘层中的至少一个柱体。还提供了形成在绝缘层的顶部分上并与至少一个柱体相通的多个亚光刻特征。该多个亚光刻特征具有小于至少一个柱体的截面或直径。可以防止在划片线路和通路上或其附近形成缝隙。

Description

降低半导体器件中有效介电常数的器件和方法
技术领域
本发明一般涉及一种半导体器件及其制造方法,更具体地,涉及一种半导体器件以及在电介质材料内制造亚光刻特征(sublithographic features)以降低这样材料的有效介电常数的方法。
背景技术
为了制造微电子半导体器件,例如集成电路(IC),在硅晶片上选择地沉积许多不同的金属和绝缘层。例如,绝缘层可以是二氧化硅、氧氮化硅、氟硅玻璃(FSG)等。这些绝缘层沉积在金属层之间,即,层间电介质(ILD)层,可以作为层之间的电绝缘或用于其它已知功能。通常由已知的方法来沉积这些层,例如通过离子增强化学气相沉积(PECVD)、化学气相沉积(CVD)或其它工艺来沉积。
金属层由通过刻蚀在层间绝缘层中通路的金属化而互连。此外,在电介质(绝缘)层内分别地提供互连。为了完成互连,堆叠的金属和绝缘层经过光刻处理,以便提供与预定的IC设计一致的图形。作为示例,可以由光反应聚合物材料的光刻胶层覆盖结构的顶层,用于经过掩模来图形化。然后使用可见光或紫外光的光刻工艺通过掩模施加在光刻胶层上,以按照掩模图形曝光光刻胶层。可以在晶片衬底的顶部提供抗反射(ARC)层,以使光刻胶层反射的光最小化,用于更一致地处理。可通过各向异性或各向同性刻蚀以及湿法或干法刻蚀来执行刻蚀,这取决于材料的物理和化学特性。不考虑制造工艺,为了使超大规模集成电路(VLSI)中器件元件的集成度最大化,必须增加元件的密度。
尽管由于二氧化硅材料的热稳定性和机械强度而使用其作为绝缘材料,但是近年来已经发现通过使用较低介电常数的材料可以获得更好的器件性能。通过使用较低介电常数的绝缘材料,能够降低结构的电容,从而增加器件速度。但是,使用例如SiLK(Midland,Ml的Dow化学公司制造)的有机低k电介质材料倾向于具有比例如二氧化硅的传统电介质材料更低的机械强度。在一些应用中,已经发现在器件中与其它材料组合的下面的材料具有某一有效介电常数,例如:(i)未掺杂的硅玻璃(USG)的K为4.1,Keff大约为4.3;(ii)USG和氟硅玻璃(FSG)(K为3.6)双层的Keff大约为3.8;(iii)有机硅玻璃(OSG)的K为2.9,Keff大约为3.0;(iv)多孔OSG的K为2.2,多孔OSG和OSG双层的Keff大约为2.4。
通过构建具有低k电介质或混合低k电介质堆叠的器件,降低了布线电容耦合的大层内线至线型成分,因此使低k材料的正效益最大化,同时提高了最终完成器件的整体坚固性和可靠性。混合氧化物/低k电介质堆叠结构比“全部低k”电介质叠层更坚固,已知“全部低k”电介质叠层相对更容易受到由高CTE(热膨胀系数)的有机和半有机低k电介质引起的热循环压力导致的通路电阻劣化或通路分层剥离的影响。然而,电介质的整体强度在较低的介电常数时明显降低。
虽然如此,即使采用包括例如混合氧化物/低k电介质堆叠结构的较低介电常数材料,通过在互连与通路之间的电介质材料中形成中空的沟渠降低多层结构的有效K(Keff)或电介质材料的K,仍有可能进一步提高器件的电性质。沟渠是真空填充的并且介电常数约为1。通过使用这样的沟渠,可使用较高介电常数的电介质材料本身增加结构的整体强度,而不会降低电性质。
在已知的系统中,已经使用亚分辨率光刻工艺来产生这样的沟渠。这通常包括新的制造工艺和成套工具,由此增加了半导体器件的整体制造成本。此外,在亚分辨率光刻工艺中,必须在空地方内刻蚀宽的沟槽,其不能够通过ILD PECVD沉积来修剪。因此,尽管沟渠产生了低的线-线电容,但对于宽线仍然保持高的线-线电容。当然,这影响器件的整体电性质。此外,在靠近高层面的通路附近能够出现气隙,其产生了在这些区域的电镀液或金属填充的危险。最后,在已知的工艺中,也需要提供各向同性刻蚀,其可以刻蚀互连的下面使互连失去支撑或浮置,因此降低了器件的整体结构性能和电性能。
本发明还涉及这些和其它问题的解决。
发明内容
在本发明的第一方面中,一种用于制造结构的方法包括为具有绝缘层的结构提供至少一个互连,并在绝缘层上形成亚光刻模板掩模(sub lithographic template mask)。使用选择刻蚀步骤,通过亚光刻模板掩模刻蚀绝缘层,以形成跨过至少一个互连侧壁的亚光刻特征。
在本发明的另一个方面,该方法包括为具有绝缘层的结构提供多个互连,并在绝缘层上形成阻挡结构。该方法还包括在具有亚光刻特征的阻挡结构上形成亚光刻模板掩模,并通过亚光刻模板掩模选择地刻蚀阻挡结构和绝缘层,在绝缘层中形成亚光刻特征。
在本发明的又一个方面,半导体结构包括绝缘层,其具有至少一个形成在绝缘层中的缝隙,缝隙的跨度超过互连的最小间隔。
附图说明
图1表示使用本发明的最初结构;
图2表示按照本发明的处理步骤;
图3表示按照本发明的处理步骤;
图4表示按照本发明的处理步骤;
图5表示按照本发明的处理步骤;
图6表示按照本发明的处理步骤(和形成的结构);
图7是按照本发明的形成的结构的顶视图;
图8是按照本发明形成的多层结构的侧面剖视图;
图9表示按照本发明的处理步骤;
图10表示按照本发明的处理步骤;
图11表示按照本发明的处理步骤;
图12表示按照本发明的处理步骤;
图13表示按照本发明的处理步骤;
图14表示按照本发明的处理步骤(和形成的结构)。
具体实施方式
本发明涉及一种半导体器件及其制造方法,用于在电介质(绝缘体)材料中提供沟渠(或孔隙)以提高整体的器件性能。本发明的方法不需要新的制造工艺或成套工具,也不在最终的结构中引入新的材料,同时避免了亚分辨率光刻工艺的许多不足。此外,本发明的方法容易适用于任何电介质材料,无论是混合结构还是具有高介电常数的材料。一方面,本发明防止了浮置互连,同时降低了有效介电常数Keff,可以保持互连的低的层间垂直电容。使用本发明的方法也可以维持整体的器件强度。
图1给出了半导体器件中使用的传统制造的结构。通常由参考数字100表示此结构,出于举例说明目的而示出单层面(single level)结构,即,单个布线层;但是,本领域技术人员应当容易理解此处所示和说明的结构可以几个不同层的是多层面结构。此处所述的制造方法同样适用于这样的多层结构。
图1的结构100包括任何传统材料的衬底110,例如是硅。衬底可以是构建到布线层的集成电路。使用任何已知的方法在衬底110上沉积绝缘层120,例如使用等离子增强化学气相沉积(PECVD)、化学气相沉积(CVD)或其它工艺。例如,绝缘层120可以是(i)未掺杂的硅玻璃(USG),(ii)USG和氟硅玻璃(FSG),(iii)有机硅玻璃(OSG),(iv)多孔OSG和OSG,(v)这些材料的任何组合或其它已知的电介质材料。在一种实施方式中,绝缘层120优选的是OSG或者分层结构的OSG和多孔OSG。在绝缘层120中形成一个或多个互连130。在绝缘层120上沉积如此处所述可以是SiC、SiN或其它已知材料的扩散阻挡层135,以保护互连130。扩散阻挡层135可以用作随后工艺中的刻蚀掩模。扩散阻挡层135的厚度可以是250埃至500埃之间,或者是取决于应用的其它厚度。
图2表示本发明的第一步骤。在此步骤中,在扩散阻挡层135上沉积或形成部分遮光的图形化的抗蚀剂140(上光刻掩模)。在一种实施方式中,部分遮光的图形化的抗蚀剂140厚度是2000埃至1微米,并且是以任何传统的方式沉积的。部分遮光的图形化的抗蚀剂140可以是任何传统的光刻胶材料。部分遮光的图形化的抗蚀剂140包括大于最小分辨率特征的孔或特征;即,在一种实施方式中,部分遮光的图形化的抗蚀剂140的特征大于互连130之间的间隔。
图3表示本发明的第二步骤。在图3中,在部分遮光的图形化的抗蚀剂140以及部分扩散阻挡层135上形成嵌段共聚物纳米模板150。嵌段共聚物纳米模板150是特征小于最小分辨率特征的薄层。换言之,在一种实施方式中,嵌段共聚物纳米模板150的特征小于互连130之间的间隔。嵌段共聚物纳米模板150可以是将其本身自组装成基本一致形状和间隔的孔或特征的材料。例如,嵌段共聚物纳米模板150可以是自组装的单层模板的多孔或渗透膜。嵌段共聚物纳米模板150可以是电子束固化、uv固化或热固化的。在实施中还应当意识到,代替地,部分遮光的图形化的抗蚀剂140可形成在嵌段共聚物纳米模板150上。
在一种实施方式中,嵌段共聚物纳米模板150的孔的直径大约为20纳米,彼此间隔大约20纳米。在另一种实施方式中,特征的间隔和直径的范围可以是,例如5纳米至100纳米。在一种实施方式中,嵌段共聚物纳米模板150的厚度大约是20纳米,并且由具有孔网的有机聚合物矩阵构成。但是应当理解,嵌段共聚物纳米模板150(和部分遮光的抗蚀剂)的厚度可以根据绝缘层的厚度、所需的特征分辨率和其它因素而改变,本领域技术人员可通过此处的说明而确定所有的这些因素。
图4给出了本发明的刻蚀步骤。既然在该结构上形成了嵌段共聚物纳米模板150和双嵌段图形化的抗蚀剂140,则在一种实施方式中,使用RIE的刻蚀用于在互连130之间形成沟渠160或纳米柱体。在此步骤中,当在嵌段共聚物纳米模板150的孔之间刻蚀绝缘体150时,可以有意腐蚀绝缘层120以便在相邻的互连之间形成一个或多个纳米柱体。在一些实施方式中,可以将绝缘层腐蚀到衬底或下面一层水平面。在此步骤中,由于没有刻蚀工艺是无限选择性的,所以嵌段共聚物纳米模板150也可以开始腐蚀,但是嵌段共聚物纳米模板150的特征被转移到扩散阻挡层135,其随后作为具有该转移特征的掩模。也可以形成互连下面的底切。
如图4所示,小孔135a,即大约等于沟渠160,保持在绝缘材料120的表面,基本上对应于嵌段共聚物纳米模板150的特征尺寸。例如,孔135a的直径可以是20埃至200埃数量级。此外,在刻蚀期间,可以从互连130的侧壁刻蚀绝缘材料120a,但是在另外的沉积步骤中再沉积。在一种实施方式中,侧壁材料120a的厚度可以是5埃和200埃之间,靠近嵌段共聚物纳米模板150或扩散阻挡层135的侧壁部分较厚。本领域技术人员应当理解,例如,可以调节掩模130和150以及刻蚀工艺来控制图形化,由此排除通路岛等附近的缝隙。此外,通过调节刻蚀工艺,沟渠160可以部分或完全地延伸通过绝缘层120,或者可以完全或基本完全地腐蚀互连侧壁附近的绝缘层。在后面的情况下,在形成上部互连层的随后步骤中可提供侧壁附近的绝缘材料的沉积。
RIE是各向异性刻蚀,其首先直立向下刻蚀,以便刻蚀绝缘物形成沟渠160。RIE刻蚀之后可进行湿法清洁工艺,以去除刻蚀工艺产生的任何聚合物残余物。这种清洁的化学物质可以含有腐蚀剂,以便继续绝缘层的各向同性刻蚀,形成互连下面的底切(图5)。使用稀氢氟酸(DHF)刻蚀包括USG或FSG的绝缘层相对较慢。例如,在H2O∶HF为200∶1时,刻蚀速率是10埃至20埃每分钟。
另一方面,OSG在DHF中具有非常低的化学刻蚀速率,几乎是不能测量的。在OSG实施情况中,通过氧化或“损坏”暴露的OSG的第一皮肤层,使用具有等离子O2的RIE提供更彻底的刻蚀能力。然后,损坏的层在DHF中将刻蚀非常快。但是,当使用O2时,有可能损坏OSG绝缘层或扩散层。可通过对损坏的层提供其它的刻蚀来校正这种损坏。
图5表示各向同性刻蚀步骤,用于将纳米柱体放大成单个较大的柱体160a,其大于嵌段共聚物纳米模板150的最初的孔,除此之外还为形成的沟渠提供底切。在此步骤中,通过增加例如O2来改变RIE。在此步骤中,各向同性刻蚀形成底切160b,但是不应当刻蚀掉互连130下面的整个区域。此外,能够调节刻蚀以提供更极端的底切,这取决于整个器件的预期性能;但是,最好不要在互连130下的整个区域执行底切。在一种实施方式中,底切将降低宽线的垂直电容。
图6表示本发明的其它刻蚀步骤。例如,在底切形成之后,刻蚀或剥除嵌段共聚物纳米模板150和部分遮光的图形化的抗蚀剂140,留下小孔135a。在刻蚀步骤期间可以已经整体或部分地刻蚀这些掩模,因此留下扩散阻挡层135作为掩模。也可以使用溶剂、DHF或其它酸执行湿法刻蚀工艺,以刻蚀去除任何先前损坏的电介质材料。在一种实施方式中DHF的浓度约为1000∶1至10∶1的H2O∶HF。在本发明的一个方面,通过加宽沟渠160,可以在沟渠内提供具有不同介电常数和其它特性的回填材料,其它特性例如是较高的延展性、较高的断裂韧性等。
仍然参照图6,然后使用任何传统的沉积方法,例如PECVD方法,在由此形成的结构上沉积第二绝缘层170。第二绝缘层170可以包括盖,其在少量沉积之后将覆盖互连130(例如,铜线)和扩散阻挡层135,并形成修剪区域135,除此之外还密封沟渠。在一些实施例中,盖层将使形貌最小化。作为亚光刻特征,修剪部分135a的范围可以是例如20埃至200埃。修剪可以使相邻层之间的任何层对层电容问题最小化。
在绝缘材料的最初沉积期间,小尺寸的孔135a基本上消除了有效厚度的材料沉积在柱体160内。第二层绝缘层170的材料可以是例如(i)未掺杂的硅玻璃(USG),(ii)USG和氟硅玻璃(FSG),(iii)有机硅玻璃(OSG),(iv)多孔OSG和OSG,(v)这些材料或其它任何已知材料的任意组合。在一种实施方式中,绝缘层170最好是OSG或分层结构的OSG和多孔OSG,OSG作为密封柱体的盖。
图7给出了按照本发明一个方面的所形成结构的顶视图。在此图中,可以使用部分遮光的图形化的抗蚀剂140形成部分遮光的抗蚀剂图形175。部分遮光的抗蚀剂图形175可用于在形成沟渠之外的位置为形成的结构提供额外的机械加固。通过一个示例,可在划片线路或通路上形成部分遮光的抗蚀剂图形175以提供额外的强度,并避免切割操作附近的小孔。应当意识到,划片线路中的沟渠由于易碎材料的脱落可导致突变失效。部分遮光的抗蚀剂图形175也可通过同时的完全切断而实现电介质加固,并避免或防止通路区附近形成缝隙。
应当理解,对于更高层的绝缘层可以重复如上所述的本发明的步骤和结构。因此,如图7所示,可使用本发明的方法形成几个具有通路、互连和沟渠的绝缘层。还应当理解,通过提供沟渠能够降低绝缘材料的有效介电常数,而不会明显影响整个器件的集成度、坚固度和强度。事实上,本发明的方法能够使用Keff为2.7或更大的材料获得小于2.0的Keff。此外,通过使用本发明的方法,能够在绝缘层中避免使用多孔材料,因此增加了器件的机械强度和热容量,即,允许热量向下传递到衬底。也可通过此处所述的其它方法形成该结构。
图9至14给出了本发明的另一个实施例。图9表示具有两个绝缘层200和210的结构,绝缘层可以是上述的任何类型。例如,绝缘层210可以是SiO2、FSG、SiCOH、SiLK或其它材料。绝缘层200包括互连220,绝缘层210包括通路230和几个互连240。在绝缘层210和互连上沉积电介质盖(扩散层)250,例如SiN、SiC、SiCOH等。在一种实施方式中,盖250的厚度范围为5纳米至50纳米。如果互连(例如,铜线)被盖住,则可提供SiO2盖。多层的这些材料或任何组合也可用于本发明。应当理解,该相同或相似的特征适用于此处所述的其它实施例。
参照图10至14,在顶盖250上提供SiO2覆盖沉积层260之后,提供5纳米至50纳米的Au、Ag、In、Sn或Ga的沉积层270。应当理解,部分遮光的图形化的抗蚀剂可沉积在沉积层260与270之间,或者沉积在沉积层270之上。如先前实施例中一样,部分遮光的图形化的抗蚀剂应当是上光刻掩模,用于防止在大面积的器件上形成缝隙。能够容易溶解在酸、酸式盐或碱性溶液中的金属,例如Sn或In可用于本发明,以便为后面的阶段提供更容易的去除;但是,其它的材料也可预期用于本发明的这个方面。处理层270,例如退火,以使其团聚(即,形成珠状)用于形成1纳米至50纳米的亚光刻特征。以此方式,在层270形成纳米岛270a,其用作下一步处理步骤的掩模。层270厚度范围为1纳米至50纳米,在一种实施方式中,厚度范围为5纳米至20纳米。
图11中,在层260中刻蚀了许多孔。可以用传统方式的RIE执行这种刻蚀。使用湿法或干法刻蚀剥除金属岛270a,使用RIE继续刻蚀进入层250。在金属岛270a的去除期间,可使用下面的硬掩模来保护下面的结构,例如使用盖250。RIE刻蚀形成沟渠或孔250a(图12)。继续刻蚀进入SiO2层210,形成1纳米至50纳米范围内的孔或纳米沟渠210a,其尺寸基本上与层270的亚光刻特征相同。在一种实施方式中,RIE刻蚀是各向异性刻蚀。
在绝缘层210上沉积例如SiO2的电介质盖层280以密封沟渠250a(图14),电介质盖层280能够使用PECVD或任何已知的方法来沉积。在本发明一个方面,电介质盖层280厚度范围可以是5纳米至50纳米(当然,根据此处所使用的其它材料,本发明也可构思使用其它的厚度)。例如,电介质盖280可以是其它材料,例如SiC、SiCOH或SiN。在一个实施例中,可在盖电介质层密封之前使用硬的电介质材料填充纳米沟渠。可在盖电介质层280中形成修剪部分。
在本发明的一些方面,可使用电子束、x射线或EUV光刻形成抗蚀剂中任意的图形化的孔。在此情况下,抗蚀剂掩蔽留下电介质的区域,并在电介质中刻蚀形成垂直的孔或柱体。如果电介质是有机材料,则可在抗蚀剂下面使用例如氮化物的硬掩模。
作为另一个可选的方面,可使用在具有致孔剂(porogen)的2相聚合物掩模中随机孔图形来形成孔。为了制造掩模,施加聚合物,然后使用高温固化或溶剂去除致孔剂,如本领域所公知的那样。这将形成用于进一步处理的亚光刻孔。在这个或其它的处理中不需要光学的光刻曝光或光掩模。然后以上述方式刻蚀垂直的孔或纳米柱体。
或者,可使用具有细金属颗粒的旋转涂覆膜,例如金属溶胶,形成所需的孔,如层270所代表的一样。在此处理中,沉积了来自溶胶的单层细微金属颗粒。这可通过使用表面活性剂预处理层260来执行,活性剂在表面形成单层,并将溶胶颗粒吸引到表面形成一层溶胶颗粒。即,该层将被燃烧以便在表面上留下能够用作掩模的金属颗粒。例如嵌段共聚物的相分离旋涂溶液还能够用作掩模。此外,在此实施例中,选择掩模可用于选择地为芯片的关键区域增加韧性,如参照图7所述。
尽管已经根据实施例说明了本发明,但是本领域技术人员已经当意识到,能够在后附权利要求的实质和范围内对本发明进行修改。

Claims (57)

1.一种制造结构的方法,包括以下步骤:
为具有绝缘层的结构提供至少一个互连;
在绝缘层上形成亚光刻模板掩模;以及
通过亚光刻模板掩模选择地刻蚀绝缘层,以形成跨过至少一个互连的侧壁的亚光刻特征。
2.如权利要求1的方法,其中亚光刻特征在绝缘层中是基本上垂直的柱体。
3.如权利要求2的方法,其中亚光刻特征还包括多个孔,其形成在亚光刻模板掩模下的盖层中,其直径或横截面小于所述至少一个互连的直径或横截面并基本上等于绝缘层中基本垂直的柱体。
4.如权利要求1的方法,其中刻蚀步骤是各向异性刻蚀,用于形成多个定义为纳米柱体的亚光刻特征。
5.如权利要求4的方法,其中刻蚀步骤包括各向同性刻蚀,以便将至少相邻的柱体混合在一起,并在所述至少一个互连下面提供底切。
6.如权利要求1的方法,其中:
亚光刻特征是绝缘层中基本上垂直的柱体;
亚光刻特征还包括多个孔,孔的直径小于所述至少一个互连的直径并且基本上等于绝缘层中基本垂直的柱体,并且孔的顶部是锥形的。
7.如权利要求1的方法,其中还包括在形成步骤之前沉积盖层,并修剪盖层的顶部以形成具有亚光刻直径的修剪的结构的步骤。
8.如权利要求7的方法,还包括在该部分上沉积绝缘层以形成修剪的结构的步骤。
9.如权利要求8的方法,其中沉积步骤在所述至少一个互连的侧壁上形成绝缘材料,其在刻蚀步骤期间被刻蚀去除。
10.如权利要求1的方法,其中亚光刻模板掩模是形成在扩散层上的嵌段共聚物纳米模板,扩散层用作掩模,该掩模具有从嵌段共聚物纳米模板转移的特征。
11.如权利要求10的方法,其中嵌段共聚物纳米模板具有小于相邻互连之间间隔的特征。
12.如权利要求10的方法,其中嵌段共聚物纳米模板是自身可自装配成基本一致形状和间隔的孔或特征的材料。
13.如权利要求10的方法,其中嵌段共聚物纳米模板(150)的特征在从5纳米以下至100纳米的范围内。
14.如权利要求1的方法,其中嵌段共聚物纳米模板的形成是(i)部分在绝缘层上的遮光的抗蚀剂之上和(ii)在部分遮光的抗蚀剂之下之一,部分遮光的抗蚀剂包括大于相邻互连之间间隔的特征。
15.如权利要求14的方法,还包括在绝缘层中形成亚光刻特征之后,去除嵌段共聚物纳米模板和部分遮光的抗蚀剂的步骤。
16.如权利要求15的方法,还包括在绝缘层上提供盖层的步骤。
17.如权利要求1的方法,其中亚光刻模板掩模是被处理以便团聚的金属沉积层。
18.如权利要求17的方法,其中金属沉积层包括Au、Ag、In、Sn和Ga中的一种材料。
19.如权利要求17的方法,其中通过退火形成团聚,并且团聚产生了1纳米至50纳米范围内的亚光刻特征。
20.如权利要求19的方法,其中退火产生在刻蚀步骤中用作掩模的纳米岛。
21.如权利要求17的方法,其中在盖层上沉积金属沉积层。
22.如权利要求21的方法,其中盖层是由SiN、SiC和SiCOH中一种材料形成的。
23.如权利要求21的方法,还包括以下步骤:
通过形成在金属沉积层中的亚光刻特征刻蚀盖层,以形成对应于金属沉积层中这些特征的孔;
去除金属沉积层;和
使用盖层作为掩模刻蚀绝缘层以形成亚光刻特征。
24.如权利要求23的方法,其中亚光刻特征是基本上垂直的孔。
25.如权利要求24的方法,还包括将所述至少一个互连之间的相邻垂直孔合并在一起。
26.如权利要求23的方法,其中使用不同于绝缘层的第二材料填充亚光刻特征。
27.如权利要求23的方法,还包括在亚光刻特征上提供密封盖的步骤。
28.如权利要求1的方法,其中使用不同于绝缘层的第二材料填充亚光刻特征。
29.如权利要求27的方法,其中密封盖选自SiN或SiC中的一种材料,厚度范围是5纳米至50纳米。
30.如权利要求27的方法,还包括在具有不同特性的密封盖上沉积绝缘材料的步骤。
31.如权利要求1的方法,其中使用电子束、x射线或EUV光刻从抗蚀剂中随机的孔图形形成亚光刻模板掩模。
32.如权利要求1的方法,其中亚光刻模板掩模是使用致孔剂在2相聚合物掩模中形成的随机的孔图形。
33.如权利要求1的方法,还包括在亚光刻模板掩模下面形成双嵌段图形。
34.如权利要求1的方法,还包括在亚光刻模板掩模上面或下面提供上光刻掩模。
35.如权利要求34的方法,其中上光刻掩模防止在尺寸大于最小互连间隔的至少一个区域上形成缝隙。
36.一种制造结构的方法,包括以下步骤:
为具有绝缘层的结构提供多个互连;
在绝缘层上形成绝缘扩散阻挡层;
在绝缘扩散阻挡层上形成阻挡结构;
在具有亚光刻特征的绝缘扩散阻挡层上形成亚光刻模板掩模;
通过亚光刻模板掩模选择地刻蚀绝缘扩散阻挡层和绝缘层,从而在绝缘层中形成亚光刻特征。
37.如权利要求36的方法,其中阻挡结构形成在亚光刻模板掩模之下和上面其中之一。
38.如权利要求36的方法,其中亚光刻特征包括多个孔,其直径小于所述多互连中每一个的直径并小于在绝缘层中形成的垂直的柱体。
39.如权利要求36的方法,其中刻蚀步骤包括各向异性刻蚀和各向同性刻蚀,以便溶解亚光刻特之间的间隔,并在多个互连的至少一个下面提供底切。
40.如权利要求36的方法,其中:
亚光刻特征是绝缘层中基本上垂直的柱体;
亚光刻特征还包括绝缘扩散阻挡层中的多个孔,孔的直径或截面大约等于绝缘层中基本垂直的柱体的直径或截面。
41.如权利要求40的方法,还包括采用盖层修剪具有的孔的顶部的步骤。
42.如权利要求36的方法,其中:
亚光刻模板掩模包括具有从5纳米以下至100纳米范围内特征的嵌段共聚物纳米模板;和
阻挡结构是双嵌段掩模。
43.如权利要求36的方法,其中亚光刻模板掩模是被处理成产生团聚的金属沉积层,团聚产生在刻蚀步骤中用作掩模的纳米尺度的岛。
44.如权利要求36的方法,其中使用不同于绝缘层的第二材料填充亚光刻特征。
45.如权利要求36的方法,还包括以下步骤:
在绝缘层中的亚光刻特征上沉积密封盖以便形成修剪;和
在密封盖层上沉积绝缘材料。
46.如权利要求36的方法,其中亚光刻模板掩模由(i)使用电子束、x射线或EUV光刻在抗蚀剂中产生随机的孔图形,和(ii)使用致孔剂在2相聚合物掩模中产生随机的孔图形之一形成。
47.如权利要求36的方法,其中刻蚀步骤从多个互连的侧壁去除绝缘材料,并且稍后在其上再沉积绝缘材料。
48.如权利要求36的方法,还包括在亚光刻特征上沉积绝缘材料,以形成修剪部分,并在多个互连中的一些的侧壁上提供绝缘材料。
49.一种半导体结构,包括:
具有至少一个互连特征的绝缘层;和
形成在绝缘层中跨度大于互连的最小间隔的至少一个缝隙。
50.如权利要求49的结构,还包括多个形成在绝缘层顶部并与所述至少一个缝隙相通的亚光刻特征,多个亚光刻特征的直径小于所述至少一个缝隙的任一个的直径。
51.如权利要求50的结构,其中亚光刻特征被修剪成与所述至少一个缝隙相通的部分。
52.如权利要求51的结构,还包括形成在绝缘层上的密封层,用于修剪所述至少一个缝隙中的每一个。
53.如权利要求52的结构,其中修剪部分形成在沉积在绝缘层上的扩散阻挡层中。
54.如权利要求51的结构,其中所述至少一个缝隙包括在邻近所述至少一个互连特征的侧壁上的绝缘材料。
55.如权利要求54的结构,其中所述至少一个缝隙和多个亚光刻特征的各自的截面小于所述至少一个互连的截面。
56.如权利要求49的结构,其中在划片线路中没有缝隙。
57.如权利要求49的结构,其中在通路中没有缝隙。
CNB200510004272XA 2004-01-30 2005-01-04 降低半导体器件中有效介电常数的器件和方法 Expired - Fee Related CN100428422C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/707,996 US7405147B2 (en) 2004-01-30 2004-01-30 Device and methodology for reducing effective dielectric constant in semiconductor devices
US10/707,996 2004-01-30

Publications (2)

Publication Number Publication Date
CN1649107A true CN1649107A (zh) 2005-08-03
CN100428422C CN100428422C (zh) 2008-10-22

Family

ID=34807364

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB200510004272XA Expired - Fee Related CN100428422C (zh) 2004-01-30 2005-01-04 降低半导体器件中有效介电常数的器件和方法

Country Status (4)

Country Link
US (5) US7405147B2 (zh)
JP (1) JP4378297B2 (zh)
CN (1) CN100428422C (zh)
TW (1) TWI355710B (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101611349B (zh) * 2007-01-26 2012-03-07 国际商业机器公司 使用自组装聚合物进行亚光刻互连构图的方法
CN102751237A (zh) * 2012-07-03 2012-10-24 上海华力微电子有限公司 金属互连结构的制作方法
CN103094191A (zh) * 2011-11-01 2013-05-08 中芯国际集成电路制造(上海)有限公司 降低层间介质层介电常数的方法
CN103165522A (zh) * 2011-12-15 2013-06-19 中芯国际集成电路制造(上海)有限公司 半导体结构及半导体结构的形成方法

Families Citing this family (78)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7405147B2 (en) * 2004-01-30 2008-07-29 International Business Machines Corporation Device and methodology for reducing effective dielectric constant in semiconductor devices
US7692771B2 (en) * 2005-05-27 2010-04-06 Asml Netherlands B.V. Imprint lithography
US7708924B2 (en) * 2005-07-21 2010-05-04 Asml Netherlands B.V. Imprint lithography
US7629225B2 (en) * 2005-06-13 2009-12-08 Infineon Technologies Ag Methods of manufacturing semiconductor devices and structures thereof
US7432189B2 (en) * 2005-11-30 2008-10-07 Lam Research Corporation Device with self aligned gaps for capacitance reduction
US7485581B2 (en) * 2005-11-30 2009-02-03 Lam Research Corporation Device with gaps for capacitance reduction
US7396757B2 (en) * 2006-07-11 2008-07-08 International Business Machines Corporation Interconnect structure with dielectric air gaps
US7544608B2 (en) * 2006-07-19 2009-06-09 International Business Machines Corporation Porous and dense hybrid interconnect structure and method of manufacture
US20080026541A1 (en) * 2006-07-26 2008-01-31 International Business Machines Corporation Air-gap interconnect structures with selective cap
US7863150B2 (en) * 2006-09-11 2011-01-04 International Business Machines Corporation Method to generate airgaps with a template first scheme and a self aligned blockout mask
US7592247B2 (en) * 2006-10-04 2009-09-22 International Business Machines Corporation Sub-lithographic local interconnects, and methods for forming same
US7560222B2 (en) * 2006-10-31 2009-07-14 International Business Machines Corporation Si-containing polymers for nano-pattern device fabrication
US7544578B2 (en) * 2007-01-03 2009-06-09 International Business Machines Corporation Structure and method for stochastic integrated circuit personalization
US7871923B2 (en) * 2007-01-26 2011-01-18 Taiwan Semiconductor Maufacturing Company, Ltd. Self-aligned air-gap in interconnect structures
US8083953B2 (en) 2007-03-06 2011-12-27 Micron Technology, Inc. Registered structure formation via the application of directed thermal energy to diblock copolymer films
US20080217730A1 (en) * 2007-03-07 2008-09-11 Toshiharu Furukawa Methods of forming gas dielectric and related structure
US8557128B2 (en) 2007-03-22 2013-10-15 Micron Technology, Inc. Sub-10 nm line features via rapid graphoepitaxial self-assembly of amphiphilic monolayers
FR2907598A1 (fr) * 2007-03-27 2008-04-25 Commissariat Energie Atomique Procede de fabrication d'un circuit integre comprenant des cavites d'air
US8173537B1 (en) 2007-03-29 2012-05-08 Novellus Systems, Inc. Methods for reducing UV and dielectric diffusion barrier interaction
US7959975B2 (en) 2007-04-18 2011-06-14 Micron Technology, Inc. Methods of patterning a substrate
US8097175B2 (en) 2008-10-28 2012-01-17 Micron Technology, Inc. Method for selectively permeating a self-assembled block copolymer, method for forming metal oxide structures, method for forming a metal oxide pattern, and method for patterning a semiconductor structure
US8294139B2 (en) 2007-06-21 2012-10-23 Micron Technology, Inc. Multilayer antireflection coatings, structures and devices including the same and methods of making the same
US8372295B2 (en) 2007-04-20 2013-02-12 Micron Technology, Inc. Extensions of self-assembled structures to increased dimensions via a “bootstrap” self-templating method
JP2008294335A (ja) * 2007-05-28 2008-12-04 Panasonic Corp 半導体装置の製造方法
US8404124B2 (en) 2007-06-12 2013-03-26 Micron Technology, Inc. Alternating self-assembling morphologies of diblock copolymers controlled by variations in surfaces
JP2008311585A (ja) * 2007-06-18 2008-12-25 Elpida Memory Inc 配線構造及び半導体装置、並びにそれらの製造方法
US8080615B2 (en) 2007-06-19 2011-12-20 Micron Technology, Inc. Crosslinkable graft polymer non-preferentially wetted by polystyrene and polyethylene oxide
US20090001045A1 (en) * 2007-06-27 2009-01-01 International Business Machines Corporation Methods of patterning self-assembly nano-structure and forming porous dielectric
US7737049B2 (en) * 2007-07-31 2010-06-15 Qimonda Ag Method for forming a structure on a substrate and device
US20090093100A1 (en) * 2007-10-09 2009-04-09 Li-Qun Xia Method for forming an air gap in multilevel interconnect structure
JP2009094378A (ja) * 2007-10-11 2009-04-30 Panasonic Corp 半導体装置及びその製造方法
US20090117360A1 (en) * 2007-11-01 2009-05-07 International Business Machines Corporation Self-assembled material pattern transfer contrast enhancement
US7884477B2 (en) * 2007-12-03 2011-02-08 International Business Machines Corporation Air gap structure having protective metal silicide pads on a metal feature
US8083958B2 (en) * 2007-12-05 2011-12-27 International Business Machines Corporation Patterning method using a combination of photolithography and copolymer self-assemblying lithography techniques
US8999492B2 (en) 2008-02-05 2015-04-07 Micron Technology, Inc. Method to produce nanometer-sized features with directed assembly of block copolymers
US8101261B2 (en) 2008-02-13 2012-01-24 Micron Technology, Inc. One-dimensional arrays of block copolymer cylinders and applications thereof
JP2009194286A (ja) * 2008-02-18 2009-08-27 Panasonic Corp 半導体装置及びその製造方法
US8425982B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Methods of improving long range order in self-assembly of block copolymer films with ionic liquids
US8426313B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference
US8124522B1 (en) * 2008-04-11 2012-02-28 Novellus Systems, Inc. Reducing UV and dielectric diffusion barrier interaction through the modulation of optical properties
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
TWI407491B (zh) * 2008-05-09 2013-09-01 Advanced Optoelectronic Tech 分離半導體及其基板之方法
US8227336B2 (en) * 2009-01-20 2012-07-24 International Business Machines Corporation Structure with self aligned resist layer on an interconnect surface and method of making same
JP5173863B2 (ja) * 2009-01-20 2013-04-03 パナソニック株式会社 半導体装置およびその製造方法
DE102009010845B4 (de) * 2009-02-27 2016-10-13 Advanced Micro Devices, Inc. Verfahren zur Herstellung eines Mikrostrukturbauelements mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten und wieder aufgefüllten Luftspaltausschließungszonen
US8398868B2 (en) * 2009-05-19 2013-03-19 International Business Machines Corporation Directed self-assembly of block copolymers using segmented prepatterns
US8114306B2 (en) * 2009-05-22 2012-02-14 International Business Machines Corporation Method of forming sub-lithographic features using directed self-assembly of polymers
US7939446B1 (en) * 2009-11-11 2011-05-10 International Business Machines Corporation Process for reversing tone of patterns on integerated circuit and structural process for nanoscale fabrication
US8247332B2 (en) 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
US8399350B2 (en) * 2010-02-05 2013-03-19 International Business Machines Corporation Formation of air gap with protection of metal lines
US8456009B2 (en) * 2010-02-18 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having an air-gap region and a method of manufacturing the same
US8502329B2 (en) * 2011-09-01 2013-08-06 Solid State System Co., Ltd. Micro-electro-mechanical systems (MEMS) device and method for fabricating the same
US8900963B2 (en) 2011-11-02 2014-12-02 Micron Technology, Inc. Methods of forming semiconductor device structures, and related structures
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9105634B2 (en) * 2012-06-29 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Voids in interconnect structures and methods for forming the same
US8715917B2 (en) 2012-10-04 2014-05-06 International Business Machines Corporation Simultaneous photoresist development and neutral polymer layer formation
US9087699B2 (en) 2012-10-05 2015-07-21 Micron Technology, Inc. Methods of forming an array of openings in a substrate, and related methods of forming a semiconductor device structure
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
US8921167B2 (en) 2013-01-02 2014-12-30 International Business Machines Corporation Modified via bottom for BEOL via efuse
US8993404B2 (en) 2013-01-23 2015-03-31 Intel Corporation Metal-insulator-metal capacitor formation techniques
CN104022069B (zh) * 2013-02-28 2017-09-22 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US8859433B2 (en) * 2013-03-11 2014-10-14 International Business Machines Corporation DSA grapho-epitaxy process with etch stop material
US9229328B2 (en) 2013-05-02 2016-01-05 Micron Technology, Inc. Methods of forming semiconductor device structures, and related semiconductor device structures
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US8901621B1 (en) 2013-06-18 2014-12-02 International Business Machines Corporation Nanochannel process and structure for bio-detection
US9177795B2 (en) 2013-09-27 2015-11-03 Micron Technology, Inc. Methods of forming nanostructures including metal oxides
FR3021321B1 (fr) * 2014-05-26 2016-06-03 Commissariat Energie Atomique Procede de realisation de motifs par auto-assemblage de copolymeres a blocs
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US10068844B2 (en) * 2015-09-30 2018-09-04 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out structure and method of forming
US9449871B1 (en) 2015-11-18 2016-09-20 International Business Machines Corporation Hybrid airgap structure with oxide liner
WO2017105447A1 (en) * 2015-12-16 2017-06-22 Intel Corporation Methods and apparatuses to provide ordered porosity
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
KR102594413B1 (ko) * 2018-03-30 2023-10-27 삼성전자주식회사 반도체 장치
US11355430B2 (en) * 2019-12-18 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Capping layer overlying dielectric structure to increase reliability

Family Cites Families (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4650544A (en) * 1985-04-19 1987-03-17 Advanced Micro Devices, Inc. Shallow groove capacitor fabrication method
US5204280A (en) * 1992-04-09 1993-04-20 International Business Machines Corporation Process for fabricating multiple pillars inside a dram trench for increased capacitor surface
US5538592A (en) * 1994-07-22 1996-07-23 International Business Machines Corporation Non-random sub-lithography vertical stack capacitor
US5869880A (en) * 1995-12-29 1999-02-09 International Business Machines Corporation Structure and fabrication method for stackable, air-gap-containing low epsilon dielectric layers
US5759913A (en) * 1996-06-05 1998-06-02 Advanced Micro Devices, Inc. Method of formation of an air gap within a semiconductor dielectric by solvent desorption
US5695810A (en) 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
JP3623061B2 (ja) * 1996-12-12 2005-02-23 株式会社ルネサステクノロジ 半導体装置及びその製造方法
JP2957543B1 (ja) * 1998-04-13 1999-10-04 九州日本電気株式会社 半導体装置およびその製造方法
US6346584B1 (en) * 1999-09-09 2002-02-12 Univation Technologies, Llc Catalyst modifiers and their use in the polymerization of olefins(s)
US6214496B1 (en) 1999-03-29 2001-04-10 Infineon Technologies North America Corp. Method for reducing corner rounding in mask fabrication utilizing elliptical energy beam
JP3461761B2 (ja) 1999-08-16 2003-10-27 松下電器産業株式会社 半導体装置の製造方法
JP3314762B2 (ja) 1999-08-19 2002-08-12 日本電気株式会社 電子線露光用マスク及びこれを用いる電子線露光方法と電子線露光装置並びにデバイスの製造方法
US6498399B2 (en) * 1999-09-08 2002-12-24 Alliedsignal Inc. Low dielectric-constant dielectric for etchstop in dual damascene backend of integrated circuits
US6153935A (en) 1999-09-30 2000-11-28 International Business Machines Corporation Dual etch stop/diffusion barrier for damascene interconnects
JP3979791B2 (ja) 2000-03-08 2007-09-19 株式会社ルネサステクノロジ 半導体装置およびその製造方法
US6265321B1 (en) 2000-04-17 2001-07-24 Chartered Semiconductor Manufacturing Ltd. Air bridge process for forming air gaps
US6495479B1 (en) 2000-05-05 2002-12-17 Honeywell International, Inc. Simplified method to produce nanoporous silicon-based films
US6342445B1 (en) * 2000-05-15 2002-01-29 Micron Technology, Inc. Method for fabricating an SrRuO3 film
US6509623B2 (en) * 2000-06-15 2003-01-21 Newport Fab, Llc Microelectronic air-gap structures and methods of forming the same
US6387818B1 (en) 2000-07-21 2002-05-14 Advanced Micro Devices, Inc. Method of porous dielectric formation with anodic template
US6346484B1 (en) 2000-08-31 2002-02-12 International Business Machines Corporation Method for selective extraction of sacrificial place-holding material used in fabrication of air gap-containing interconnect structures
US6358813B1 (en) * 2000-11-15 2002-03-19 International Business Machines Corporation Method for increasing the capacitance of a semiconductor capacitors
US6646302B2 (en) 2000-11-21 2003-11-11 Cornell Research Foundation, Inc. Embedded metal nanocrystals
JP4252237B2 (ja) 2000-12-06 2009-04-08 株式会社アルバック イオン注入装置およびイオン注入方法
US6451712B1 (en) 2000-12-18 2002-09-17 International Business Machines Corporation Method for forming a porous dielectric material layer in a semiconductor device and device formed
US6376366B1 (en) * 2001-05-21 2002-04-23 Taiwan Semiconductor Manufacturing Company Partial hard mask open process for hard mask dual damascene etch
TW544806B (en) * 2001-05-30 2003-08-01 Asahi Glass Co Ltd Low dielectric constant insulating film, method of forming it, and electric circuit using it
JP4953523B2 (ja) * 2001-06-29 2012-06-13 ローム株式会社 半導体装置およびその製造方法
US20030073302A1 (en) 2001-10-12 2003-04-17 Reflectivity, Inc., A California Corporation Methods for formation of air gap interconnects
JP2003258095A (ja) * 2002-03-06 2003-09-12 Sony Corp 基台上の絶縁膜およびその製造方法
JP2003347401A (ja) 2002-05-30 2003-12-05 Mitsubishi Electric Corp 多層配線構造を有する半導体装置およびその製造方法
US6838354B2 (en) 2002-12-20 2005-01-04 Freescale Semiconductor, Inc. Method for forming a passivation layer for air gap formation
US7405147B2 (en) * 2004-01-30 2008-07-29 International Business Machines Corporation Device and methodology for reducing effective dielectric constant in semiconductor devices
US7087532B2 (en) * 2004-09-30 2006-08-08 International Business Machines Corporation Formation of controlled sublithographic structures
US7670895B2 (en) * 2006-04-24 2010-03-02 Freescale Semiconductor, Inc Process of forming an electronic device including a semiconductor layer and another layer adjacent to an opening within the semiconductor layer
US7528078B2 (en) * 2006-05-12 2009-05-05 Freescale Semiconductor, Inc. Process of forming electronic device including a densified nitride layer adjacent to an opening within a semiconductor layer
US20080026541A1 (en) * 2006-07-26 2008-01-31 International Business Machines Corporation Air-gap interconnect structures with selective cap
US7863150B2 (en) * 2006-09-11 2011-01-04 International Business Machines Corporation Method to generate airgaps with a template first scheme and a self aligned blockout mask
US7553760B2 (en) * 2006-10-19 2009-06-30 International Business Machines Corporation Sub-lithographic nano interconnect structures, and method for forming same
US7560222B2 (en) * 2006-10-31 2009-07-14 International Business Machines Corporation Si-containing polymers for nano-pattern device fabrication
TW200834968A (en) * 2007-02-13 2008-08-16 Harvatek Corp Method of making light-emitting diode structure with high heat dissipation effect and structure made thereby
US7741721B2 (en) * 2007-07-31 2010-06-22 International Business Machines Corporation Electrical fuses and resistors having sublithographic dimensions
US7879728B2 (en) * 2008-01-23 2011-02-01 International Business Machines Corporation Sub-lithographic printing method
US7943480B2 (en) * 2008-02-12 2011-05-17 International Business Machines Corporation Sub-lithographic dimensioned air gap formation and related structure
US8227336B2 (en) * 2009-01-20 2012-07-24 International Business Machines Corporation Structure with self aligned resist layer on an interconnect surface and method of making same
US8247904B2 (en) * 2009-08-13 2012-08-21 International Business Machines Corporation Interconnection between sublithographic-pitched structures and lithographic-pitched structures
US8120179B2 (en) * 2009-11-10 2012-02-21 International Business Machines Corporation Air gap interconnect structures and methods for forming the same

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101611349B (zh) * 2007-01-26 2012-03-07 国际商业机器公司 使用自组装聚合物进行亚光刻互连构图的方法
CN103094191A (zh) * 2011-11-01 2013-05-08 中芯国际集成电路制造(上海)有限公司 降低层间介质层介电常数的方法
CN103094191B (zh) * 2011-11-01 2015-04-15 中芯国际集成电路制造(上海)有限公司 降低层间介质层介电常数的方法
CN103165522A (zh) * 2011-12-15 2013-06-19 中芯国际集成电路制造(上海)有限公司 半导体结构及半导体结构的形成方法
CN103165522B (zh) * 2011-12-15 2015-01-21 中芯国际集成电路制造(上海)有限公司 半导体结构及半导体结构的形成方法
CN102751237A (zh) * 2012-07-03 2012-10-24 上海华力微电子有限公司 金属互连结构的制作方法

Also Published As

Publication number Publication date
US7892940B2 (en) 2011-02-22
TWI355710B (en) 2012-01-01
US20080038915A1 (en) 2008-02-14
US8129286B2 (en) 2012-03-06
US7405147B2 (en) 2008-07-29
US20080038923A1 (en) 2008-02-14
JP2005217420A (ja) 2005-08-11
US20050167838A1 (en) 2005-08-04
US20110111590A1 (en) 2011-05-12
US7592685B2 (en) 2009-09-22
US8343868B2 (en) 2013-01-01
US20080254630A1 (en) 2008-10-16
TW200529358A (en) 2005-09-01
CN100428422C (zh) 2008-10-22
JP4378297B2 (ja) 2009-12-02

Similar Documents

Publication Publication Date Title
CN100428422C (zh) 降低半导体器件中有效介电常数的器件和方法
US20080026541A1 (en) Air-gap interconnect structures with selective cap
US7863150B2 (en) Method to generate airgaps with a template first scheme and a self aligned blockout mask
CN85104650A (zh) 金属-氧化物-半导体后部工艺
CN1449578A (zh) 在有机硅酸盐玻璃中腐蚀双波纹结构的方法
CN104136994A (zh) 双硬掩模光刻工艺
US9865473B1 (en) Methods of forming semiconductor devices using semi-bidirectional patterning and islands
US7022582B2 (en) Microelectronic process and structure
CN1809916A (zh) 具有有机抗反射涂层(arc)的半导体器件及其制造方法
CN1253311A (zh) 使用阴影心轴和偏轴曝光印制亚光刻图像
CN1469452A (zh) 在金属间介电层构成图形的方法
CN100561706C (zh) 双镶嵌结构的形成方法
US7531444B2 (en) Method to create air gaps using non-plasma processes to damage ILD materials
CN1263103C (zh) 使用低介电常数膜的半导体装置的制造方法及晶片构造体
CN101055421A (zh) 双镶嵌结构的形成方法
TWI220774B (en) Method for patterning low dielectric constant film and method for manufacturing dual damascene structure
CN1661799A (zh) 半导体器件
US20070128553A1 (en) Method for forming feature definitions
CN1447413A (zh) 使用双波纹技术制造半导体器件的方法
US6703304B1 (en) Dual damascene process using self-assembled monolayer and spacers
US20060183062A1 (en) Method to create region specific exposure in a layer
JP2003133412A (ja) 銅に対する誘電体層の接着改良方法
US6534399B1 (en) Dual damascene process using self-assembled monolayer
JPH1167909A (ja) 半導体装置の製造方法
CN1448995A (zh) 在具有金属图案的半导体基底形成堆叠式介电层的方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20171130

Address after: Grand Cayman, Cayman Islands

Patentee after: GLOBALFOUNDRIES INC.

Address before: American New York

Patentee before: Core USA second LLC

Effective date of registration: 20171130

Address after: American New York

Patentee after: Core USA second LLC

Address before: American New York

Patentee before: International Business Machines Corp.

CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20081022

Termination date: 20190104