CN1653586A - 用于圆片制造设备预简化的设施连接斗 - Google Patents

用于圆片制造设备预简化的设施连接斗 Download PDF

Info

Publication number
CN1653586A
CN1653586A CN02813076.6A CN02813076A CN1653586A CN 1653586 A CN1653586 A CN 1653586A CN 02813076 A CN02813076 A CN 02813076A CN 1653586 A CN1653586 A CN 1653586A
Authority
CN
China
Prior art keywords
facility
fluid
locator
fluid seal
bucket
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN02813076.6A
Other languages
English (en)
Inventor
R·V·肖尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1653586A publication Critical patent/CN1653586A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23QDETAILS, COMPONENTS, OR ACCESSORIES FOR MACHINE TOOLS, e.g. ARRANGEMENTS FOR COPYING OR CONTROLLING; MACHINE TOOLS IN GENERAL CHARACTERISED BY THE CONSTRUCTION OF PARTICULAR DETAILS OR COMPONENTS; COMBINATIONS OR ASSOCIATIONS OF METAL-WORKING MACHINES, NOT DIRECTED TO A PARTICULAR RESULT
    • B23Q1/00Members which are comprised in the general build-up of a form of machine, particularly relatively large fixed members
    • B23Q1/01Frames, beds, pillars or like members; Arrangement of ways
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Machine Tool Units (AREA)
  • Conveying And Assembling Of Building Elements In Situ (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Automatic Assembly (AREA)

Abstract

提供一种与支撑装置一起用于支撑制造设备的设施连接定位器。该设施连接定位器包括:流体密封斗,该斗具有底表面、从底表面向上延伸的多个侧壁和适合于将流体密封斗安装到支撑装置以便于流体密封斗相对于支撑装置在x、y和z轴中具有固定位置的安装机构。该设施连接定位器还包括流体连接口,并可以包括由流体密封冒口环绕的真空连接口。真空管道连接可以穿过真空连接口延伸并可以具有离该连接的顶表面以预定距离设置的定位凸缘,以便将该定位凸缘到该冒口的耦接来相对于该冒口的顶部固定真空管道连接的高度。

Description

用于圆片制造设备预简化的设施连接斗
本申请是2000年11月3日申请的序列号为No.09/706,435的美国专利申请的系列申请,在此结合其全部公开内容以作参考。
技术领域
本发明一般涉及制造设备,特别涉及在制造环境中简化圆片制造设备的安装和使用的方法和装置。
背景技术
为了安装制造设备,特别是在制造位置安装圆片制造设备,有必要提供一种分立的结构以支撑沉重的工具并将其重量转移到下面的地板(例如浇注混凝土密肋网格(waffle-grid)地板)。这种设备支撑结构与建筑支撑结构是分立的,且通常包括多个制造的支柱,每个支柱从下面密肋网格地板的一个实心部分向上延伸,从而接合设备下面的安装支座。支柱可以是钢制千斤顶或浇注混凝土支柱,一般是为安装而定制的,这就必须要求额外的时间和费用。尤其对于不规则形状的系统,因其某个给定的安装支座可能未能与下面地板的实心部分对准,还可能需要固定在支柱上的横梁部件来支撑设备的重量。另外,如果为靠近设备而需要架高地板,则现有技术的支撑方法需要安装另一组支撑结构以支撑架高地板。
半导体设备制造协会(以下称为“SEMI”)已经提议以一种标准的支撑结构用于所有的半导体制造地点。所提议的结构是一种具有矩形底部的独立矩形支架,该矩形底部带有多个支柱,这些支柱设置得可将被悬起的设备的重量均匀地传送到下面的地板结构。SEMI支架的支柱从底层密肋网格地板的空隙或制造平面的地板(或“架高地板”)下面的其它设施平面向上延伸,以在制造平面上支撑矩形该底部。SEMI支架的矩形底部包括多个联接点,用于将设施(例如真空预抽管道、供气管道、导线管、排气管道等)从下面的设施平面带到设备平面,另外包括地板支撑凸缘,其附着于矩形底部周边,用于支撑架高地板以便操作人员接近制造设备。
在用于安装制造设备的SEMI支架中,已经提议的是:利用在上述矩形底部外边的参考点,在矩形支架上对准制造设备,从而使操作者可以从架高地板上的位置接近机器。制造设备则由固定到矩形底部的横梁和悬臂支撑。如果必要,在SEMI支架上安装设备时还可要求附加定制的支柱。使用SEMI支架的优点包括这样一个事实:支架可以具有标准尺寸,因此为建筑师和建筑人员提供了一种参考尺寸。另外在标准支架具有预定设施连接位置的情况下,可以为提供诸如真空管道这类设施而给制造场地预先设置管路。
SEMI所提议的支架的缺点包括为确保不规则形状(即既非矩形也不与支架框架成比例的形状)设备的适当支撑需要定制,以及将设施从矩形底部上预装管路的位置带到制造设备上的实际设施联接点需要改装。特别是当处理气流管道和抽空管道时,任意附加的管道长度和/或管道中的弯曲都可以对流经管道的流体具有严重影响,且因此会影响到设备和由该设备进行的加工。
因此,需要有改进的设备和方法,以便为制造设备的安装提供设施的预装管路。
发明内容
提供一种设施连接定位器,其与支撑装置一起用于支撑制造设备。该设施连接定位器包括流体密封斗以及安装机构,其中该流体密封斗具有底表面和多个从底表面向上延伸的侧壁,该安装机构适用于将该流体密封斗安装到用于支撑制造设备的支撑装置,使得该流体密封斗在x轴、y轴和z轴中相对于支撑装置具有固定的位置。该设施连接定位器还包括至少一个形成于流体密封斗中的流体连接口。
该设施连接定位器还可包括真空连接口和环绕真空连接口的流体密封的冒口(riser)。真空管道连接可以穿过真空连接口延伸,且可以具有顶表面以及定位凸缘,该定位凸缘设置在离顶表面预定距离的位置,从而定位凸缘与流体密封冒口的接合使得真空管道连接的顶表面的高度固定在一相对于流体密封冒口的顶部的预定高度上。
通过下面优选实施例、所附权利要求书和附图的详细描述,本发明的其它特征和优点将更全面地显而易见。
附图说明
图1是根据现有技术在制造位置安装的制造设备的示意性透视图;
图2是在制造位置安装的SEMI所提议的矩形承载支架的示意性透视图;
图3是SEMI所提议的矩形承载支架的示意性透视图,在该承载支架上安装有制造设备;
图4是根据本发明的承载支架的一个实施例的示意性透视图;
图5是在制造位置安装且具有与其连接的制造设备主机架的图4的承载支架的示意性透视图;
图6是在制造位置安装的本发明的承载支架的一个备选实施例的示意性透视图;
图7是在制造位置安装的图6的承载支架的示意性透视图,该承载支架上安装有制造设备;
图8是在架高地板的水平面下安装的本发明的承载支架的示意性透视图;
图9是图8的实施例的侧视图,其中安装有制造设备;
图10是在本发明的设施连接定位器处的鹅颈弯接器的侧视图;
图11是从制造设备支撑装置上所看到的等角图(isometric view),其展示出与该支撑装置接合的改进的设施连接定位器;
图12是从上面看到的图11的设施连接定位器的分解特写等角图;
图13是从略微靠下处看到的图11的设施连接管道的等角侧视图;
图14是一侧视图,其展示出以固定关系接合到设施连接口的图13的设施连接管道;
图15A是第一流体管道连接器的底面等角图;
图15B是第二流体管道连接器的底面等角图;
图16是从上面看到的图11的设施连接定位器的分解特写等角图。
图17是从上面看到的图11的设施连接定位器的特写等角图,其以更好的角度展示出环绕其设施连接板的冒口。
图18是从制造设备支撑装置的上面看到的等角图,其展示出与该制造设备支撑装置接合并具有图11中未示的附加特征的改进的设施连接定位器。
具体实施方式
本发明改进了设施连接定位器(其在图4中以150作为参考标记并以该参考标记作相关描述)。改进的设施连接与过去应用的设施连接类似,用于使每一设备的设施连接件相邻接。改进的设施连接可以额外起到盛油盘的作用以捕获可能从流体设施管道泄漏的液体,和/或可以包括帮助设备设施连接件相对于设备承载支架而准确定位的特征,其中所述改进的设施连接与该设备承载支架相接合。参考图11~15详细描述改进的设施连接,该改进的设施连接可以与任何能使设备设施在设备安装之前定位的支架或方法一起使用;参考图1~10描述的特定支架就是这样一种用于定位设备设施的装置和方法。
图1是根据现有技术在制造位置安装的制造设备的示意性透视图。如该图所示,制造设备111,图中展示为包括两个晶片传输室(loadlock)113和115、主机架117以及一个或多个处理室119(仅示出一个)的半导体处理设备,其由多个支柱121支撑,该支柱从制造设备111的底部上的安装支座向下延伸至基座安装定位垫123,基座安装定位垫123设置于下面的密肋网格地板125上。为确保制造设备是水平的,通常每一个支柱121均是为安装而定制的,且支柱还要连接到密肋网格下层地板的间隙。一般制造设备111的供应商会提供具有可调高度的安装支座(未示出)。在此情况下在制造设备111的最终安装阶段,当将每一个安装支座连接到支柱121时,可以通过调节每一安装支座的高度进行制造设备111的最终调平。不过制造位置会有要被拉到制造平面的设施管道127,因此在物理安装(即制造设备111在支柱121上的锚定及其调平)完成之后,需要进一步地设置管路以将设施管道127连接到制造设备111。如上面所指出的,图1所示现有技术安装的缺点包括用于提供定制支柱121的额外时间和花费以及难以预先规划或预先简化制造定位,这是因为这一事实:支柱121的定位会干扰预先设置的设施管道127。
图2是在制造位置安装的SEMI所提议的矩形承载支架130的示意性透视图。SEMI承载支架130对图1定制的支柱121提供了另一种选择方案。SEMI承载支架130包括被支撑于多个SEMI承载支架柱131上的矩形支架框135,每一个SEMI承载支架柱131对准或接近密肋网格地板125的一个间隙。SEMI支架框135包括多个用于安置预简化位置的SEMI设施连接位置137,在图3的制造设备111安装之前,可以将设施管道127预先设置到该预简化位置。SEMI支架框135还适合于支撑架高地板139。
图3是SEMI所提议的承载支架130从顶部看去的示意性透视图,其中承载支架130上安装有制造设备111。以相同的参考标记表示以上已参考的部件,图3展示了具有矩形SEMI支架框135的SEMI承载支架130,矩形支架框135设置在多个SEMI支架支柱131上,SEMI支架支柱131向下延伸直至密肋网格地板125的基座安装位置。沿SEMI支架框135设置SEMI设施连接位置137作为用于设施管道127连接的预简化位置。当制造设备111安装在SEMI承载支架130上时,横梁部件138通常必须固定到SEMI支架框135以支撑制造设备111,且将制造设备111的重量传送并分布到SEMI承载支架130。假定在制造设备111具有独特形状的情况下,将制造设备111安装到SEMI承载支架130上要求支撑件和设施连接件二者均定制。SEMI承载支架130通过连接并对准SEMI支架框135的顶边,确实为制造设备111的安装提供了对准和调平的参考点,并提供了预先设置管路的参考点(即设施连接位置137)。但是,制造设备111的安装需要进行横梁部件138和/或悬臂(未示出)的定制的支撑件制造,以及定制的改装,以便将设施管道127从设施连接位置137连接到制造设备111上的实际设施联接点(未示出)。如上文所指出的,对特定设施管道的改动可能有害地影响到穿过这些管道的流动,从而对制造加工和制造设备111均有潜在损害。
图4是本发明的承载支架140从顶部看去的示意性透视图。本发明的承载支架140包括支撑架145,支撑架145具有多个从该支撑架向下延伸的支柱141。支撑架145具有基本上复制了制造设备111的主机架117的底部轮廓的框架轮廓,其中主机架117的“底部轮廓”是由主机架117本身的下框架限定的。一方面,支撑架145可以是整体的,以便提供源自于“无缝(seamless)”框架的强化的支撑完整性。支撑架145包括托座147以配合制造设备的承载安装支座(如果有的话)。支柱141可调节且包括外支柱部分144和内支柱部分142,外支柱部分144固定安装到(例如用螺栓连接或焊接)支撑架145上,内支柱部分142可滑动地安装在外支柱部分144中,使得支柱141的长度可以调节,且一旦最优化,即通过将内支柱部分142用螺栓连接或焊接到第一外支柱部分144来适当锁定支柱141的长度。支柱141设置在基座安装位置垫143上,该基座安装位置垫可以在安装之前固定(例如可移动地用螺栓连接或是焊接)到支柱142上或可以设置在安装地点。另外固定到支柱141上的是可选择的地震拉条(seismic brace)149。每一地震拉条149的第一端固定安装到支柱141上,如图所示(或可选择直接固定到密肋网格地板上),而地震拉条149的第二端被构造成在安装制造设备111时固定到制造设备111上。
本发明的承载支架140包括至少一个设施连接定位器150,该连接定位器固定安装到支撑架145上并确定了设施连接位置,图中代表性地显示出四个设施连接位置151~154,这些连接位置准确地匹配制造设备111上的设施联接点。在支撑架145的周边设置可选择的外部凸缘(未示出)以及可选择的内部凸缘(未示出)以支撑架高地板(如图5中所示)。
图5是图4的本发明承载支架140的示意性透视图,该承载支架在制造位置安装且具有与其连接的制造设备主机架117。本发明的承载支架140包括支撑架145和多个支柱141,其中支撑架145具有基本上复制了(且优选为严格复制)主机架117的底部轮廓的框架轮廓,而每一支柱141延伸到位于密肋网格地板125上的基座安装位置垫143。在本发明的至少一个实施例中,框架轮廓无需基本上(或是相反)复制主机架117的底部轮廓。地震拉条149连接到支柱141和主机架117。设施连接定位器150固定到支撑架145以提供固定的设施连接位置151~154(如图4所示)。所示承载支架140可以具有连接在支撑架145周边的架高地板(未示出)。值得注意的是承载支架140可以选择安装在该架高地板的水平面的正下方,如图8所示(将在下文中讨论)。
本发明的承载支架140提供制造设备的对准(取决于支架框145的形状)与调平(取决于可调节支柱),并提供固定的设施连接位置151~154,所有这些设施连接位置均在制造设备安装之前参照制造位置的基准点100(图7)确定。即,在制造位置确定基准点,并参考该基准点对准、调平承载支架和为其设置管路。因为支撑架145的框架轮廓与安装在支撑架145上的制造设备的主机架117的底部轮廓相匹配,且因为多个支柱141的数量和定位适合于配合制造设备的承载安装支座以便支撑由本发明的承载支架所支撑的特定制造设备,因此不需要额外的支撑结构(如定制的钢制千斤顶、混凝土支柱、横梁或悬臂)。此外,假定设施连接定位器150的所有设施连接位置151~154均与制造设备本身上的设施联接点预先对准,则不需要设施管道和连接器的改型,由此避免了对设施管道内的流动方式的影响。因此利用制造位置的基准点,可以在设施连接器150处对于设施连接位置151~154的特定位置预先设置所有设施管道从而预简化制造位置。
承载支架140适合于特定制造设备的结构,如图6所示。为安装如图1所示的半导体处理系统(其不仅包括主机架处理单元117还包括工厂接口(factory interface)114与晶片传输室113和115以及处理室119),承载支架140可以增加至少一个附加的支撑件160,包括附加的框架165(其受到延伸至附加基座安装位置垫163的附加支柱161支撑),以支撑工厂接口114、晶片传输室113和115或处理室119(图1)。附加支撑件160的构件可以以与承载支架140的构件相同的方式构造,使得可调节支柱161设置在制造设备的承载安装件下面,而制造设备则设置在附加支撑件160和/或复制制造设备底部的框架165上。附加的支撑构件160可以通过连接部分167连接到承载支架140。然而,也可选择将支架框140加以扩展,从而包括用于额外的制造设备(如处理室119)的支撑件。这样,可以构造单个的承载支架140以支撑制造设备的一个或多个部分,或者可以使多个承载支架直接结合或通过连接部分167结合。在图5的例子中,承载支架140按照需要(例如用于附加的处理室119)而包括附加的设施连接定位器170和附加的设施连接位置(图8中的171)。
图7是在制造位置的承载支架140从顶部看去的透视图,在该制造位置有制造设备111,包括工厂接口114、晶片传输室113和115、主机架处理单元117(所示为部分被切掉以便于其底部轨迹(footprint)可视)和安装于其上的附加处理室119。所示附加处理室119与工厂接口114类似,可以如参考图6所描述的那样被独立支撑。工厂接口114由附加的支撑构件160支撑,支撑构件160包括附加框架构件165,附加框架构件165由向下延伸到附加基座安装位置垫163的附加支柱161支撑。制造设备111的主机架117安装在支撑架145上,该支撑架145依次由支柱141支撑,支柱141则延伸至结合(例如焊接或用螺栓连接)到密肋网格地板125的基座安装位置垫143。在图7的实施例中,由制造设备提供商将主机架处理单元117置于其自身的安装框架156上,承载安装支座157固定到该安装框架156。在该实施例中,对于主机架117而言,主机架117的底部轮廓是当安装到安装框架156(由制造设备提供商提供)时由承载安装支座157的位置限定的。
图8是安装在架高地板168水平面的下面的本发明的承载支架140从顶部看去的示意性透视图。在该备选实施例中,支撑架145(未示出)可安装在支柱141上,支柱141向下延伸到密肋网格地板125上的基座安装位置垫143,与前述实施例相同。架高地板168可安装到承载支架140的顶部,该架高地板168设置有开口,该开口用于主机架117(未示出)的设施连接定位器150、用于附加的设施连接定位器(未示出)的附加设施连接位置171、及用于托座147,其中附加的设施连接定位器被设置用于附加的处理室119(未示出),而托座147则与制造设备111的承载安装支座157配合。
图9是图8的实施例装有制造设备的侧视图。如该图所示,架高地板168具有用于托座147(图8)的开口,该托座147与承载安装支座157配合。主机架处理单元117设置在其安装框架156上,安装框架156包括要连接到下面承载支架140的托座147(图8)的承载安装支座157。承载支架140的支撑架145与多个支柱141固定连接,每个支柱141延伸至并连接到密肋网格地板125上的基座安装位置垫143。所示设施连接件155从设施连接定位器150的设施连接位置151~154向上伸出,以便设置到主机架处理单元117。附加的处理室119具有附加的设施连接件175,该连接件穿过其与附加连接定位器170结合的附加连接位置171(图6)向上延伸。
图10是鹅颈弯接器191的侧视图,鹅颈弯接器191在制造位置的设施供应线193与本发明的设施连接定位器150的设施连接位置151~154(或其它任意的设施连接位置)之间提供设施连接。鹅颈弯接器191包括多个连接器部分195,各连接器部分195交错取向,从而在设施供应线193与设施连接定位器150的设施连接位置之间灵活地接近于“直的(straight)”流动路径。
以上已参考几个具体的实施例描述了本发明。本领域技术人员会意识到可以在不脱离本发明的精神和范围情况下作出修改。例如应指出,制造设备111可以包括一些非承载支座(以下称之为“固定支座”),其可被用于制造设备对支撑架145的附加侧面固定。本发明的承载支架140的支柱141的数目和位置被选择得与制造设备的底部轮廓上的承载安装支座157的数目和位置相匹配。应该理解的是,不脱离本发明的指导和权利要求,可以在制造设备上设置附加的固定支座,且可以在本发明的承载支架140上设置与该固定支座配合的附加托座,附加托座的位置可以与支柱141对准或者不与其对准。
另外,所示包括图4中的可变长度圆头杆149的地震拉条仅为该可选部件的一个实施例的范例。备选实施例可包括一片厚金属带,该金属带可首先固定到支柱,然后在原处定制弯曲,且随后用螺栓连接或焊接到适当的位置。通过任一方法,该承载支架均将在相垂直方向上构成三角形,从而防止垂直的支柱在地震事件中变形成为故障点。
虽然以上已讲到模制的、整体的支架框有益于机械完整性,但其显然在不脱离要求保护的本发明前提下可用包括多个结合(例如焊接)或固定连接(例如用螺栓连接)的部件来替换。
其它的修改还包括使用标准撑竿作为安装和固定支座,替换传统用于原处调平(in situ leveling)制造设备的可调节的安装和固定支座。本发明的承载支架具有可调节的支柱,该可调节支柱在制造设备安装之前受到调节,由此预先确定制造设备的对准和调平。因此推荐固定的撑竿,这是因为固定撑竿保持在支撑架与制造设备之间已经相对于制造位置的基准点建立的固定平行关系,并且因为将不需要制造设备的原处调平。
支柱部件还可以为管状的、直角部件(“三角铁”)形式的、或三角形的或其它形式的,它们不必是直角的。同样,支柱的下部可以是外部部分或内部部分。作为对螺栓连接的另一选择,将支柱安装到支架框上还可以是焊接上,而设计要使得它们能与该框下面相连(在压力下),或将支柱设计成适合插入作为该框本身一部分制成的下侧插孔中,或上述这些方案的组合。
最后,可以使用承载支架及其设施连接定位器的“分布图”执行制造位置的预简化,其中设施连接位置相对于制造位置的基准点被限定。可以在承载支架安装之前,将一种具有与本发明承载支架的轮廓同尺寸的介质(聚碳酸酯膜)送到制造位置,该介质带有或不带有指示出的设施连接位置。一旦该聚碳酸酯膜在工厂的地板上相对于基准点展开,即可在现场精确限定出每一设施连接位置的x和y坐标(即在水平面上的坐标),且可以在本发明的承载支架安装之前完成适当的管路设置、电气施工。
图11是从制造设备支撑装置201的上面看到的等角图,其展示出结合到该支撑装置上的改进的设施连接定位器203。设施连接定位器203包括底表面205和多个从该底表面向上延伸的侧壁207,从而形成流体密封“斗”。设施连接定位器203具有至少一个形成于其内的流体连接口且还可以具有任意数目的真空连接口、和/或设施连接板,结合参考图11以及图12~17可最好地理解它们的特征,图12~17示出设施连接定位器203和/或其设施管道连接器的各种视图。
示出的示例性的设施连接定位器203包括四个流体连接口209(图12)和三个真空连接口211(图12和图16)。每个所示真空连接口211为冒口213所环绕。
安装机构(如安装凸缘215)从设施连接定位器203向外伸出而与设备支撑装置201的部件接合,使得设施连接定位器203以预定关系(即使得设施连接定位器203的部件在x轴和y轴方向上相对于设备支撑装置201的轮廓或者轨迹固定而在z轴方向上相对于设备支撑装置201的顶表面217固定)安装到设备支撑装置201上。在示出的示例性实施例中,设施连接定位器203的安装凸缘215置于对应的安装凸缘219上,安装凸缘219位于设备支撑装置201的轨迹内并略微下凹低于设备支撑装置201的顶表面217,使得设施连接定位器203的顶表面及其安装凸缘215与设备支撑装置201的顶表面217齐平。
考虑例如冒口213,其每一个均在底表面205上延伸预定高度Z1。因此,由于底表面205在顶表面217下方有预定高度Z2,冒口213顶部的z轴位置相对于顶表面217已知。相同的原理对于设备支撑装置201的其余特征也是正确的,如任意流体管道连接器220相对于顶表面217的z轴位置或任意的设施连接板221相对于顶表面217的z轴位置。
冒口213可以与具有z轴定位机构如定位凸缘225的设施连接管道223一起使用,这参考图13和14可最好理解。如图13和14所示,设施连接管道223具有顶表面227,顶表面227可以如图所示包括凸缘。顶表面227相对于冒口213的顶部具有固定的高度关系Z3(图14)。因此,如果冒口213的顶部相对于顶表面217具有固定的z轴位置,则顶表面227也一样。
为便于定位凸缘225与冒口213之间准确的z轴定位/安装,定位凸缘225可以包含V形槽229,且冒口213可以包含多个在底表面205之上预定高度形成的孔(未示出)。在一个方案中,V形槽229和冒口213中的孔(未示出)被构造得当定位凸缘225的顶表面231与冒口213的顶表面齐平时,V形槽229的中央与该孔相邻。然后可以穿过杆柱235并穿过冒口213中的孔拧紧螺钉233以使螺钉233伸入V形槽229的中央。显而易见,杆柱235保证了螺钉233垂直于冒口213中的孔,且垂直定位的螺钉233接触V形槽229的相等的倾斜侧面,确保设施连接管道223在z轴方向准确定位。虽然图中示出的设施连接管道223仅作为真空管道连接,但可以理解设施连接管道223还可以用作流体管道连接器。
在一个方案中,流体管道连接器220可被构造成如图15A的底部等角图中所示。每一流体管道连接器220包括具有平坦表面239的流体管道237,平坦表面239自流体管道237水平向外延伸,且设置成当与设施连接定位器203接合时,平坦表面239沿着设施连接定位器203的底表面205的内侧延伸。沿平坦表面239设置密封圈241,以便在平坦表面239与设施连接定位器203的底表面205的内侧之间形成流体密封。从设施连接定位器203的底表面205的外表面向下延伸的一部分流体管道237上车出螺纹(未示出),故螺杆243可如图所示拧于其上。因此可紧固螺栓243从而使密封圈241被稳固夹持于平坦表面239与设施连接定位器203的底表面205之间形成流体密封。由于平坦表面239距流体管道237的顶部有固定偏移量,而且流体管道237具有已知高度,因此流体管道237的顶部离设施连接定位器203的底表面205(和离顶表面217)有固定的或者说已知的距离。
上述的任一种连接均可焊接到设施连接定位器203上,如图15B所示。借助于以焊接或其它方式整体形成到底表面205上的真空和/或流体连接器,设施连接定位器203是不漏流体的,不需要上述流体管道连接器220的特殊流体防漏设计,且不需要冒口213。此外,焊接或一体形成的流体管道连接器220和设施连接管道223相对于设施连接定位器203(且因此相对于设备支撑装置的顶表面217)具有固定位置(在x-y和z轴中)。这种焊接/整体流体管道连接器220和设施连接管道223示于图15B的等角图中,它们还可以具有可选择的工业标准夹具凸缘(未示出)。
设施连接定位器203的选择性特征是设施连接板221,其由图16和17最佳示出。图16是自下观察的设施连接定位器203的分解特写等角图;图17是自上面并处于更好地展示出环绕设施连接板221的冒口213的角度观察的设施连接定位器203的特写等角图。
由于具有真空连接口211,冒口213环绕设施连接板221,从而当流体应该填充设施连接位置203时,设施连接板221会受到保护免受填充。如图12中最佳示出的,设施连接板221还可以具有使设施连接板221的安装更加简单的整体形成的冒口213a。整体形成的冒口213a还可以具有焊接的边角因而是不漏流体的。连接板的冒口213a适合于与冒口213配合而使得设施连接板221的底表面245在设施连接定位器203的底表面205上具有固定高度,并因此距顶表面217有固定的高度偏移量。可选择替代冒口的是,连接板221可具有向下延伸到连接板的设施安装件的整体边。设施连接板221具有多个可移动的板247(例如具有易于方便移动的穿孔边缘的推板(knock out panel)),板247可以单独移动以让设施管道(如电、气、流体或压力管道等)从其中穿过。
图18是自上面观察的制造设备支撑装置的等角图,展示出改进的设施连接定位器203a,其与该制造设备支撑装置结合并具有在图11中未示出的附加特征。设施连接定位器203a具有设置在流体密封斗203的底表面205上的自重排液管249的附加部件。底表面205可以是倾斜的,以便使排液管249处在比底表面205的剩余部分略低的高度。在图18中还示出探测设施连接斗203中的液体何时到达一定水平面的液面传感器251。液面传感器251可以耦合到一控制器(未示出),该控制器会通知液面操作人员、会关闭流经连接到设施连接定位器203的流体管道的液流、和/或会起动耦合到设施连接定位器203的流体泵。还可以采用以相同方式传感而自动开始抽取流体的流体泵253。
可与任意设备支撑装置一起使用的另一部件是如图11所示的气流控制板255。气流控制板255可以通过多个凸缘或其它任意适当的机构安装到支撑装置。气流控制板255具有多个开口(例如均匀分布的等尺寸孔)以控制气流。该板可以适于全部或部分占用支撑装置的框架轮廓的内部区域。因此,在所安装的设备与支架的顶表面之间存在间隙的地方可以安装气流控制板255以减小湍流、和/或阻止物体掉入该间隙。
同气流控制板255一样,设施连接定位器203可以有任意尺寸和形状,且可以占用支撑装置轮廓的全部或任意部分的轮廓。气流控制板255和设施连接定位器203均可以安装成伸出支撑装置框架之外。这样一种设施连接定位器203仍可相对于设备支撑装置201的顶表面217提供设施管道的准确定位,且这种气流控制板255仍可提供气流管理和安全功能。
因此,虽然本发明已经公开了与其相关的优选实施例,应该理解其它实施例仍会落入如所述权利要求书所限定的本发明的精神和范围。

Claims (25)

1.一种设施连接定位器,其与支撑装置一起用于支撑制造设备,该设施连接定位器包括:
流体密封斗,其具有:
底表面;
多个从该底表面向上延伸的侧壁;
安装机构,其适合于将该流体密封斗安装到所述支撑制造设备的支撑装置,而使得该流体密封斗在x轴、y轴和z轴上均相对于该支撑装置具有固定位置;和
至少一个在该流体密封斗中形成的流体连接口。
2.根据权利要求1的设施连接定位器,其中该流体密封斗进一步包括真空连接口和环绕该真空连接口的流体密封冒口。
3.根据权利要求2的设施连接定位器,其进一步包括:真空管道连接,该真空管道连接穿过该真空连接口延伸,并具有顶表面和被设置成距该顶表面为预定距离的定位凸缘,该定位凸缘接合到该流体密封冒口,从而将该真空管道连接的顶表面的高度固定在相对于该流体密封冒口的预定高度。
4.根据权利要求3的设施连接定位器,其进一步包括:为流体密封冒口所环绕的设施连接定位器板,且其具有设施管道可穿过其延伸的可移动板。
5.根据权利要求4的设施连接定位器,其进一步包括:流体管道连接,该流体管道连接具有穿过该流体连接口延伸的流体管道,并具有从该流体管道水平地沿着所述斗的底表面的内侧延伸的平坦表面;和设置在该平坦表面与所述斗的底表面的内侧之间的密封圈;以及以螺纹结合到该流体管道的螺母,用以紧靠着所述斗的底表面紧密夹持该密封圈而在它们之间形成流体密封。
6.根据权利要求2的设施连接定位器,其进一步包括:为流体密封冒口所环绕的设施连接定位器,且其具有设施管道可穿过其延伸的可移动板。
7.根据权利要求6的设施连接定位器,其进一步包括:流体管道连接,该流体管道连接具有穿过该流体连接口延伸的流体管道,并具有从该流体管道水平地沿着所述斗的底表面的内侧延伸的平坦表面;和设置在该平坦表面与所述斗的底表面的内侧之间的密封圈;以及沿着所述的底表面的外侧以螺纹结合到该流体管道的螺母。
8.根据权利要求1的设施连接定位器,其进一步包括:为流体密封冒口所环绕的设施连接定位器,且其具有设施管道可穿过其延伸的可移动板。
9.根据权利要求1的设施连接定位器,其进一步包括:流体管道连接,该流体管道连接具有穿过该流体连接口延伸的流体管道,并具有从该流体管道水平地沿着所述斗的底表面的内侧延伸的平坦表面;和设置在该平坦表面与所述斗的底表面的内侧之间的密封圈;以及沿着所述斗的底表面的外侧以螺纹结合到该流体管道的螺母。
10.根据权利要求1的设施连接定位器,其中该流体密封斗进一步包括环绕所述至少一个流体连接口的流体密封冒口。
11.根据权利要求10的设施连接定位器,其中该流体密封斗进一步包括真空连接口和环绕该流体连接口的流体密封冒口。
12.根据权利要求11的设施连接定位器,其进一步包括:为流体密封冒口所环绕的设施连接定位器,且其具有设施管道可穿过其延伸的可移动板。
13.根据权利要求1的设施连接定位器,其进一步包括:真空连接口和真空管道连接,该真空管道连接穿过该真空连接口延伸到其上预定高度并焊接到该流体密封斗上。
14.根据权利要求1的设施连接定位器,其进一步包括穿过所述至少一个流体连接口而延伸到其上预定高度并焊接到该流体密封斗上的流体管道连接。
15.根据权利要求14的设施连接定位器,其进一步包括:真空连接口和真空管道连接,该真空管道连接穿过该真空连接口而延伸到其上预定高度并焊接到该流体密封斗上。
16.根据权利要求13的设施连接定位器,其进一步包括:为流体密封冒口所环绕的设施连接定位器,且其具有设施管道可以穿过其延伸的可移动板。
17.根据权利要求14的设施连接定位器,其进一步包括:为流体密封冒口所环绕的设施连接定位器,且其具有设施管道可以穿过其延伸的可移动板。
18.根据权利要求15的设施连接定位器,其进一步包括:为流体密封冒口所环绕的设施连接定位器,且其具有设施管道可以穿过其延伸的可移动板。
19.一种用于制造设备的支撑装置,该制造设备具有底部轮廓和沿该设备的底部轮廓设置的多个承载安装支座,该支撑装置包括:
多个支柱,该支柱包括至少一个与所述多个承载安装支座中的每一个对准的支柱;
设置在该多个支柱上的框架,该框架具有基本上复制该制造设备的底部轮廓的框架轮廓;和
结合到该支撑装置上的设施连接定位器,其包括流体密封斗,该流体密封斗具有:底表面;多个从该底表面向上延伸的侧壁;至少一个在该流体密封斗中形成的流体连接口;安装机构,其将该流体密封斗安装到该支撑装置,而使得该流体密封斗在x轴方向、y轴方向和z轴方向上均相对于该支撑装置具有固定位置。
20.一种用于制造设备的支撑装置,该制造设备具有底部轮廓和沿该设备的底部轮廓设置的多个承载安装支座,该支撑装置包括:
多个支柱,该支柱包括至少一个与所述多个承载安装支座中的每一个对准的支柱;
设置在该多个支柱上的框架,该框架具有基本上复制制造设备的底部轮廓的框架轮廓;和
板,其至少部分占用该框架轮廓的内部区域并具有多个开口,该开口适合于减少该板下面的区域与该板上面的区域之间的气流。
21.一种设施连接定位器,其与支撑装置一起用于支撑制造设备,该设施连接定位器包括:
流体密封斗,其具有:
底表面;
多个从该底表面向上延伸的侧壁;
安装机构,其适合于将该流体密封斗安装到用于支撑制造设备的支撑装置,而使得该流体密封斗在x轴、y轴和z轴上均相对于该支撑装置具有固定位置;
至少一个在该流体密封斗中形成的流体连接口;
真空连接口;
环绕该真空连接口的流体密封冒口,该流体密封冒口具有多个在其中形成的开口;
穿过该真空连接口延伸并固定接合到该流体密封冒口上的流体管道,该流体管道包括:顶表面;和定位凸缘,该定位凸缘被设置于该顶表面之下固定距离,且适合于通过穿过所述多个开口延伸的多个部件而相对于该流体密封冒口被固定在适当位置;以及
从多个开口延伸的多个部件,用以接触该定位凸缘,并由此使该流体管道相对于该流体密封冒口而被固定在适当位置。
22.一种预简化制造设备安装的方法,包括:
设置具有顶表面的制造设备机架;
设置包括流体密封斗的设施连接定位器,该流体密封斗具有用于将该流体密封斗结合到该机架的顶表面的安装机构,并具有:
(1)用于将流体管道连接安装到该流体密封斗的机构,其使得该流体管道连接的顶部相对于该机架的顶表面延伸至预定高度;和
(2)用于将真空管道连接安装到该流体密封斗的机构,其使得该真空管道连接的顶部相对于该机架的顶表面延伸至预定高度;和
使设施连接定位器结合到该机架的顶表面。
23.根据权利要求1的设施连接定位器,其进一步包括适合于探测该流体密封斗内的液面何时到达预定水平面的液面探测器。
24.根据权利要求1的设施连接定位器,其进一步包括流体排液管。
25.根据权利要求1的设施连接定位器,其进一步包括连接到该流体密封斗的泵,以便于从其中抽取流体。
CN02813076.6A 2001-07-15 2002-07-12 用于圆片制造设备预简化的设施连接斗 Pending CN1653586A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/906,395 US7063301B2 (en) 2000-11-03 2001-07-15 Facilities connection bucket for pre-facilitation of wafer fabrication equipment
US09/906,395 2001-07-15

Publications (1)

Publication Number Publication Date
CN1653586A true CN1653586A (zh) 2005-08-10

Family

ID=25422373

Family Applications (1)

Application Number Title Priority Date Filing Date
CN02813076.6A Pending CN1653586A (zh) 2001-07-15 2002-07-12 用于圆片制造设备预简化的设施连接斗

Country Status (4)

Country Link
US (1) US7063301B2 (zh)
CN (1) CN1653586A (zh)
TW (1) TW554395B (zh)
WO (1) WO2003009348A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106716595A (zh) * 2014-08-19 2017-05-24 英特尔公司 用于工具安装的耗材接口板

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2808098B1 (fr) * 2000-04-20 2002-07-19 Cit Alcatel Procede et dispositif de conditionnement de l'atmosphere dans une chambre de procedes
US7063301B2 (en) 2000-11-03 2006-06-20 Applied Materials, Inc. Facilities connection bucket for pre-facilitation of wafer fabrication equipment
US7032614B2 (en) 2000-11-03 2006-04-25 Applied Materials, Inc. Facilities connection box for pre-facilitation of wafer fabrication equipment
GB0204882D0 (en) * 2002-03-01 2002-04-17 Trikon Technologies Ltd Pedestal
US7506593B2 (en) 2002-10-23 2009-03-24 Kinetics Systems, Inc. Microfabrication tool pedestal and method of use
KR20080045248A (ko) * 2005-09-27 2008-05-22 어플라이드 머티어리얼스, 인코포레이티드 제조 로케이션의 설비에 반도체 장치 제조 장비를 결합하기위한 방법 및 장치
CN101939079B (zh) * 2008-02-05 2013-06-12 应用材料公司 用于处理来自制程的可燃性废气的系统及方法
WO2009100163A1 (en) * 2008-02-05 2009-08-13 Applied Materials, Inc. Methods and apparatus for operating an electronic device manufacturing system
US8696417B2 (en) * 2008-07-11 2014-04-15 Nehp Inc. Modular exhaust gas header and method of incorporating same in design layout for a manufacturing process machine
CN102588714A (zh) * 2012-03-05 2012-07-18 无锡辉腾科技有限公司 一种新型双支腿
DE202012010641U1 (de) * 2012-11-08 2013-11-11 Krones Aktiengesellschaft Tragegestell zur Lagerung eines Greiferkopfes
US9605787B2 (en) 2015-02-11 2017-03-28 Timothy Lee Baumann Pump riser
JP6154449B2 (ja) * 2015-10-16 2017-06-28 ファナック株式会社 制御装置の支持構造を備えたロボット用架台
CN105333272B (zh) * 2015-11-19 2018-05-22 无锡市工业设备安装有限公司 一种用于机房内设备、管道的预制组合系统
CA3017051C (en) * 2017-09-11 2021-06-15 Weir Canada, Inc. Mobile pump house

Family Cites Families (78)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US444533A (en) 1891-01-13 Beer-cooling apparatus
US1742886A (en) * 1928-08-22 1930-01-07 Vitrified Iron Products Compan Drain pan
US2197598A (en) 1938-07-13 1940-04-16 Harry C Way Packaging or crating structure
US2268263A (en) 1941-05-15 1941-12-30 Dresser Mfg Company Pipe fitting
US2814995A (en) 1952-09-24 1957-12-03 Phillips Petroleum Co Mounting device
US2867301A (en) * 1956-07-26 1959-01-06 Joseph H Benton False flooring system
US3036375A (en) 1959-05-14 1962-05-29 Gen Electric Method of mounting machines
US3096781A (en) * 1960-10-10 1963-07-09 Joseph L Roidt Drip pan for automatic dishwashing machines and similar appliances
US3713620A (en) 1969-10-17 1973-01-30 G Tkach Machine supporting slab
US3721051A (en) 1971-07-01 1973-03-20 Robertson Co H H Bottomless sub-assembly for producing an underfloor electrical cable trench
US3676568A (en) 1971-07-09 1972-07-11 Robertson Co H H Hold-down means for underfloor access housing
CH552129A (de) 1972-11-28 1974-07-31 Bbc Brown Boveri & Cie Gehaeuse einer stroemungsmaschine.
US3913964A (en) 1973-01-12 1975-10-21 John R Lukeman Apparatus and system for gaining access to conflagrations
US3902615A (en) * 1973-03-12 1975-09-02 Computervision Corp Automatic wafer loading and pre-alignment system
US3862350A (en) * 1973-10-03 1975-01-21 Singer Co Radio frequency interference shielding
US3932696A (en) 1973-12-26 1976-01-13 H. H. Robertson Company Underfloor access housing utilizing a trough space of a cellular flooring unit
US4012873A (en) 1976-05-12 1977-03-22 H. H. Robertson Company Protective cap for underfloor access housing
US4480656A (en) 1977-05-20 1984-11-06 Johnson Robert L Plumbing fixture
US4194332A (en) 1978-02-02 1980-03-25 H. H. Robertson Company Electrical wiring distribution system
US4178469A (en) 1978-07-21 1979-12-11 H. H. Robertson Company Closure device and floor structure utilizing the same
US4209660A (en) * 1978-09-07 1980-06-24 Textron Inc. Out-of-sight service fittings
US4243197A (en) 1979-06-25 1981-01-06 Wright Marvin D Pad for protecting floors against water damage
US4289921A (en) 1979-12-26 1981-09-15 H. H. Robertson Company Electrical activating assembly and closure member therefor
US4505449A (en) 1980-02-22 1985-03-19 Diversitech Corporation Lightweight concrete cladded heavy equipment base
US4323723A (en) 1980-04-04 1982-04-06 H. H. Robertson Company Surface mounted outlet unit
EP0094780B1 (en) 1982-05-15 1986-08-27 H.H. Robertson (U.K.) Limited Flooring system with service trunking provision
JPS5998520A (ja) * 1982-11-27 1984-06-06 Toshiba Mach Co Ltd 半導体気相成長装置
DE3307923C2 (de) 1983-03-05 1986-06-19 Klein, Schanzlin & Becker Ag, 6710 Frankenthal Grundplatte für Maschinenaggregate
US4558544A (en) 1983-03-30 1985-12-17 H. H. Robertson Company Adjustable pedestal for elevated floors
US4510980A (en) 1983-11-16 1985-04-16 Shopsmith, Inc. Table assembly for a multipurpose tool
USRE33220E (en) * 1984-02-13 1990-05-22 Interstitial Systems, Inc. Modular combination floor support and electrical isolation system for use in building structures
US4603523A (en) 1984-06-20 1986-08-05 H. H. Robertson Company Underfloor access housing
FR2572491B1 (fr) 1984-10-31 1987-07-03 Aerospatiale Systeme pour la mise en communication individuelle avec l'exterieur de deux enceintes contigues et prothese cardiaque pourvue d'un tel systeme
US4721476A (en) 1985-12-23 1988-01-26 Interchangeable Hatches Inc. Electrical connection box used in conjunction with raised floors
US4722298A (en) * 1986-05-19 1988-02-02 Machine Technology, Inc. Modular processing apparatus for processing semiconductor wafers
US4852516A (en) 1986-05-19 1989-08-01 Machine Technology, Inc. Modular processing apparatus for processing semiconductor wafers
US4685585A (en) * 1986-10-09 1987-08-11 Robbins Howard J Double wall tank manway system
US4835924A (en) 1986-12-17 1989-06-06 Tate Acess Floors Self-gridding flooring system
US4728750A (en) 1987-02-20 1988-03-01 H. H. Robertson Company Receptable support assembly
DE3735449A1 (de) * 1987-10-20 1989-05-03 Convac Gmbh Fertigungssystem fuer halbleitersubstrate
US4850162A (en) 1988-07-26 1989-07-25 H. H. Robertson Company Access floor system
US5098221A (en) 1988-12-20 1992-03-24 Osborne Keith J Flexible double-containment piping system for underground storage tanks
US5323903A (en) 1989-01-23 1994-06-28 Harry Bush Portable container security device
US5186324A (en) * 1991-01-24 1993-02-16 Brandon Jr Darrell W Packaged hydraulic oil tank assembly and attachment kit
US5246044A (en) * 1991-02-20 1993-09-21 Environmental Protection Products, Inc. Fuel dispenser pump containment apparatus
US5123435A (en) 1991-03-27 1992-06-23 Tate Access Floors, Inc. Laminar damper and method of airflow control
US5107775A (en) 1991-05-06 1992-04-28 Sylvain Langlais Adjustable legs for desk and the like
US5205592A (en) 1991-06-24 1993-04-27 Double Containment Systems Underground containment tank and piping assembly
US5152635A (en) 1991-09-06 1992-10-06 Double Containment Systems Underground containment tank with removable piping assembly
US5297896B1 (en) 1992-02-19 1996-01-30 Environ Prod Inc Environmentally safe underground piping system
US5437303A (en) * 1992-05-29 1995-08-01 Johnson; Addison M. Apparatus for containing fluid leaks and overflows from appliances
US5333825A (en) 1992-09-25 1994-08-02 Christensen Emeron P Furniture elevating device
US5427474A (en) 1993-01-25 1995-06-27 Ameron, Inc. Double containment piping system and centralization seal therefor
US5398620A (en) 1993-02-04 1995-03-21 Rouch; Kenneth E. Leveling apparatus for a level sensitive device
US5505295A (en) * 1993-07-27 1996-04-09 Whittington; C. Wendell Recycling systems and methods for oil and oil filters
US5733024A (en) 1995-09-13 1998-03-31 Silicon Valley Group, Inc. Modular system
US5681063A (en) 1995-11-28 1997-10-28 E.R. Squibb & Sons, Inc. Connector assembly for double tubing
CA2175281C (en) 1996-04-29 2001-10-16 Beaver Machine Corporation Modular pedestal for vending machines
US5738436A (en) 1996-09-17 1998-04-14 M.G. Products, Inc. Modular lighting fixture
US5881760A (en) * 1996-09-23 1999-03-16 Del Zotto; William M. Modular steel tank with casting wall
US5836130A (en) * 1997-02-14 1998-11-17 Unruh; Arnold E. Water line guiding and holding device
FR2760064B1 (fr) 1997-02-25 2002-10-25 Fluoroware Inc Raccord traversant et systeme a double confinement de fluide
JPH10246287A (ja) * 1997-03-07 1998-09-14 Fujitsu Ltd 台足構造
JP3926890B2 (ja) 1997-06-11 2007-06-06 東京エレクトロン株式会社 処理システム
US6312525B1 (en) 1997-07-11 2001-11-06 Applied Materials, Inc. Modular architecture for semiconductor wafer fabrication equipment
US6066807A (en) 1998-02-20 2000-05-23 Gudgeon; Thomas Alan Electrical wire and box connector
US6061983A (en) * 1998-06-01 2000-05-16 Mccleskey; Michael Removable utility connection floor box and method
US6187103B1 (en) * 1998-08-27 2001-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for transporting wafers
CA2291492A1 (en) 1998-12-04 2000-06-04 William J. Selby A safety hose system
US6230735B1 (en) 1998-12-04 2001-05-15 Sergio M. Bravo Valve jacket
DE19911412A1 (de) 1999-03-15 2000-11-30 Boehringer Werkzeugmaschinen Werkzeugmaschine
TW484170B (en) 1999-11-30 2002-04-21 Applied Materials Inc Integrated modular processing platform
US6324800B1 (en) 1999-12-06 2001-12-04 Portable Pipe Hangers, Inc. Support base
US6328345B1 (en) 2000-01-27 2001-12-11 Liquid Metronics, Inc. Secondary tubing containment system for a metering pump
US6173856B1 (en) * 2000-04-20 2001-01-16 Ultratech International, Inc. Spill containment pan
US6308477B1 (en) * 2000-06-26 2001-10-30 Ericsson Inc. Telecommunications cabinet isolation, allocation and mounting system
US6532715B2 (en) 2000-07-10 2003-03-18 Applied Materials, Inc. Semiconductor substrate processing tool and fabrications facilities integration plate
US7063301B2 (en) 2000-11-03 2006-06-20 Applied Materials, Inc. Facilities connection bucket for pre-facilitation of wafer fabrication equipment

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106716595A (zh) * 2014-08-19 2017-05-24 英特尔公司 用于工具安装的耗材接口板

Also Published As

Publication number Publication date
US7063301B2 (en) 2006-06-20
TW554395B (en) 2003-09-21
US20020084402A1 (en) 2002-07-04
WO2003009348A2 (en) 2003-01-30
WO2003009348A3 (en) 2004-01-29

Similar Documents

Publication Publication Date Title
CN1653586A (zh) 用于圆片制造设备预简化的设施连接斗
CN105098679A (zh) 一种用于电缆桥架的组合立柱
CN109235829A (zh) 架空活动块状地板的安装方法及其应用
CN106907525A (zh) 一种抗震支架安装施工工法
CN112282073A (zh) 竖向作动器的埋件及其施工方法
CN105545059A (zh) 一种模块化塔器装置及其建造运输方法
CN1781002A (zh) 管道固定系统
US20020069610A1 (en) Installation docking pedestal for pre-facilitation of wafer fabrication equipment
KR200268196Y1 (ko) 크린룸 바닥 판넬 설치용 지지블럭 유니트
CN215721313U (zh) 一种可调式管道支架结构
US20070082588A1 (en) Methods and apparatus for coupling semiconductor device manufacturing equipment to the facilities of a manufacturing location
CN103063821A (zh) 一种模型箱、传感器固定装置及其试验方法
CN112554277A (zh) 一种集成式一体化泵组及其施工方法
CN109680711B (zh) 圆环布置直埋螺栓预埋定位装置及施工方法
US20020162938A1 (en) Facilities connection bucket for pre-facilitation of wafer fabrication equipment
CN105064681A (zh) 一种钢结构地脚螺栓的安装方法
CN101987438B (zh) 一种定位装置
JP7165252B1 (ja) ケーブルトレイ斜向部トレイサポート及びケーブルトレイ斜向部の支持方法
CN219691569U (zh) 托架及其与液压支架的连接结构及液压支架
CN102913729A (zh) 可调式角钢安装支架
CN217081704U (zh) 一种可调节的水管管座
JP4312658B2 (ja) 基礎架台及び基礎形成方法
CN110253451B (zh) 一种常化酸洗机组抛丸机的模块化快速安装方法
CN203254392U (zh) 可吊装集油工作台
CN220770334U (zh) 一种管线支架结构

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
AD01 Patent right deemed abandoned

Effective date of abandoning: 20050810

C20 Patent right or utility model deemed to be abandoned or is abandoned