CN1669108A - 磁等离子体控制电容耦合等离子体反应器 - Google Patents

磁等离子体控制电容耦合等离子体反应器 Download PDF

Info

Publication number
CN1669108A
CN1669108A CNA038168049A CN03816804A CN1669108A CN 1669108 A CN1669108 A CN 1669108A CN A038168049 A CNA038168049 A CN A038168049A CN 03816804 A CN03816804 A CN 03816804A CN 1669108 A CN1669108 A CN 1669108A
Authority
CN
China
Prior art keywords
plasma
top board
reactor
magnetic field
workpiece support
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA038168049A
Other languages
English (en)
Other versions
CN100431086C (zh
Inventor
丹尼尔·J·后曼
马修·L·米勒
杨姜久
蔡希晔
麦可·巴尼斯
石川哲也
叶洋
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1669108A publication Critical patent/CN1669108A/zh
Application granted granted Critical
Publication of CN100431086C publication Critical patent/CN100431086C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means

Abstract

一种等离子体反应器包括真空腔及工件支座。该真空腔包括限定真空室的侧壁和顶板,工件支座在该室内并面向顶板以支撑平面工件,工件支座和顶板共同限定工件支座和顶板间的工作区域。工作气体进气口提供工作气体注入到反应室。等离子源功率电极连到RF功率发生器上,以便将等离子源功率电容耦合到反应室来维持室内的等离子体。该反应器进一步包括至少一邻近顶板的第一高架螺线管电磁体,且该高架螺线管电磁体、顶板、侧壁和工件支座位于一共同对称轴上。电流源连接到该第一螺线管电磁体上并提供该第一螺线管电磁体中的第一电流,这样在该室内产生一磁场,该磁场是该第一电流的函数,该第一电流有一值,通过磁场来增加所述工件支座表面附近的对称轴周围的等离子体离子密度径向分布的均匀性。

Description

磁等离子体控制电容耦合等离子体反应器
本申请要求序列号60/383,194的美国临时申请的优先权,该申请由丹尼尔.霍夫曼(Daniel Hoffman)等人于2002年5月22日提交,名称为“磁等离子体控制电容耦合等离子体反应器。”
背景技术
电容耦合等离子体反应器用在制备高形状比(High Aspect Ratio)的半导体微电子结构中。这样的结构典型地具有窄的,深的孔道,这些孔道穿过形成在半导体基片(Substrate)上的一个或多个薄膜。电容耦合等离子体反应器用在制备这些器件的各种工艺制程中,包括介质刻蚀工艺,金属刻蚀工艺,化学气相沉积和其它工艺中。这样的反应器也在制备光刻掩膜及制备半导体平板显示器中采用。这些应用依赖于等离子体中的离子来加强或激活期望的工艺。半导体工件表面上的等离子的密度影响工艺参数,在制备高形状比的微电子结构中尤其苛刻。事实上,制备高形状比的微电子集成电路的一个问题是整個工件表面的等离子离子密度的不均匀性可以导致由非均匀刻蚀速率或沉积速率造成的工艺失败。
一种典型的电容耦合反应器具有在反应室中的晶片支座和覆盖在该晶片支座上的顶板。该顶板可以包括将工作气体喷洒到反应室中的气体分布板。射频(RF)功率源被用于穿过晶片支座和顶板或壁以激发并维持晶片支座上的等离子体。该反应室通常是圆柱状的,而顶板和晶片支座是圆的并与该圆柱状反应室共轴,以增强均匀处理工艺。尽管如此,这样的反应室仍具有非均匀的等离子密度分布。典型地,等离子体的离子径向密度分布在晶片支座的中心上方高在边缘附近低,是一个大问题。使用各种各样的方法以控制等离子体的离子密度分布以便提高整個晶片或工件表面上的均匀性,至少部分地克服这一问题。
一个这样的方法是提供一套环绕反应室侧壁的磁线圈,该线圈都面对反应室的中央。给每一线圈供给一相对低频的正弦电流,相邻线圈中的正弦电流在相位上偏移以便在晶片支座的上方产生慢旋转的磁场。这一特征意在改善晶片支座上方的等离子体的离子径向分布。在反应离子刻蚀中采用这一方法时,被称为磁增强反应离子刻蚀(MERIE)。这一方法具有一定的限制。尤其是,磁场强度可能需要限制,以避免器件损伤和磁场强度有关的半导体工件上的微电子结构,。这个强度也必须被限制,以避免与磁场强度变化速率相关的反应室电弧放电(Chamber Arcing)。结果,总的MERIE磁场强度可能需要相应地减小,因此可能面对等离子体的离子密度均匀性控制上的基本限制。
另一种方法被称为构型磁场(CMF,Configurable Magnetic Fields)并采用上面提到的相同的环绕线圈。但是,在CMF中该线圈工作以便施加磁场从一侧到另一侧,该磁场延伸在工件支座面上。另外,该磁场绕晶片支座的轴旋转,以产生径向的时间均分的磁场。在有四个并排线圈的反应室的情形中,通过将一直流电流提供给一对相邻的线圈并将一不同(或相反)直流电流提供给相反的一对相邻线圈,这个完全完成。切换该线圈来轮换这一模式以便磁场旋转,如上所述。由于CMF磁场的急剧切换,这一方法容易产生反应室或晶片的弧光放电问题,因此磁场强度必须被限制。结果,在一些应用中该磁场不足以补偿由该反应室产生的等离子体的离子密度不均匀性。
因此,所需要的是一种能更有效地(这样磁场强度可以更小)并具有较小(或没有)磁场时间涨落的补偿等离子体的离子密度分布不均匀性的方式。
发明内容
等离子体反应器包括真空腔(Vacuum Enclosure)及工件支座(WorkpieceSupport)。该真空腔包括由侧壁和顶板限定的真空室。工件支座在该室内并面向顶板以支撑平面工件,工件支座和顶板共同限定工件支座和顶板间的工作区域。工作气体进气口将工作气体注入到反应室。等离子源功率电极连到RF功率发生器上,以便将等离子源功率电容耦合到反应室来维持室内的等离子体。该反应器进一步包括至少一邻近顶板的第一高架螺线管电磁体,该高架螺线管电磁体、顶板、侧壁和工件支座位于一共同对称轴上。电流源连接到该第一螺线管电磁体上上并提供该第一螺线管电磁体中的第一电流,由此在该室内产生一磁场,该磁场是该第一电流的函数,该第一电流有一值,通过磁场来增加所述工件支座表面附近的对称轴周围的等离子体离子密度径向分布的均匀性。
附图说明
图1A、1B及1C是等离子体反应器示意图,该反应器具有控制等离子离子均匀性的高架VHF电极和高架线圈。
图2是用于控制图1的高架线圈示范性的装置示意图。
图3A和3B是图1的高架线圈的磁场的示意图,图3C是同一磁场的局部示意图。
图4A、4B、4C和4D是图1的反应器不同的工作模式的晶片表面的刻蚀率(垂直轴)作为径向坐标(水平轴)的函数的曲线图。
图5A、5B、5C和5D是图1的反应器进一步的工作模式的晶片表面的刻蚀率(垂直轴)作为径向位置(水平轴)的函数的曲线图。
图6是刻蚀率作为磁场的函数示意图。
图7和8是图1的有MERIE磁体的反应器示意图。
图9是图1A的反应器的操作方法图。
图10是曲线图,描述磁压强和离子或电子密度作为图1A的反应器中晶片表面的径向位置的函数的一个比较实施例。
图11是曲线图,描述刻蚀率的不均匀性作为线圈电流的函数。
图12是图11中零线圈电流处的径向离子分布实施例示意图。
图13A和13B是图11实施例中在大约11安培线圈电流处测量得到的和预测的刻蚀率分布比较图。
图14A和14B是图11实施例中在大约35安培线圈电流处测量得到的和预测的刻蚀率分布比较图。
图15是操作图1A的反应器的另一方法流程图。
图16是相应于图1A的反应器内获得的磁场分布图。
图17是图16中在晶片平面上磁场的平方梯度。
图18是在相应于图1A的反应器中得到的另一磁场分布图。
图19是图18中在晶片平面上的磁场的平方梯度。
图20是在相应于图1A的反应器中得到的又一磁场分布图。
图21是图20中在晶片平面上的磁场的平方梯度。
图22是操作图1A的反应器的又一方法流程图。
图23是控制图1A的反应器的示范性微控制器操作示意图。
图24是含有在图1A的反应器中特征的等离子反应器示意图。
图25是含有在图1A的反应器中特征的另一等离子反应器。
图26、27、28、29A和29B是用于图1A、24及25反应器的气体分布板示意图。
图30和31是在类似于图26的气体分布板的气体分布板中的热控制特征示意图。
图32和33是相应于图26的具有双重圈气体流控制的气体分布板示意图。
图34是相应于图1A的具有双重圈气体分布板的等离子反应器。
图35和36是示范性的双重圈气体流控制器示意图。
图37是相应于图34具有三个控制等离子体离子分布的高架顶板的等离子反应器示意图。
图38和39是图26气体分布板的不同气体注入孔模式,分别用于产生中央低或中央高的气体流分布示意图。
图40、41、42和43是控制等离子体离子分布的高架线圈的不同分布示意图。
图44和45是相应于图1A的等离子体反应器示意图,其中高架线圈用反应器反应室上方和下方的较高和较低的磁线圈取代,来产生会切磁场,这种磁场在图45中很好地看出。
图46是图44的较高和较低线圈可以如何用构型磁场(CMF)线圈所代替,该构型磁场(CMF)线圈以此方式工作,以产生图45的会切(Cusp-shaped)磁场示意图。
图47是图46的CMF线圈产生期望的磁场构型的工作模式示意图。
图48、49和50是图1A的反应器中的环状孔径板示意图,该板用于阻止等离子体离子进入反应器的泵扑环隙。
图51是图1A的反应器的直角形式示意图,该形式用于处理直角型的工件。
图52是相应于图1A具有可伸缩的工件支座的反应器示意图。
具体实施方式
由指定等离子反应室呈现的等离子体离子密度分布是反应室压强、气体混合和扩散及源功率发射模式的函数。本发明中,该分布被磁改变成近似于预先确定的来改善工艺均匀性的选择的或理想的分布。该磁改变的或校正的等离子离子密度分布能改善整個晶片或工件表面的工艺均匀性。为这一目的,依据使用者确定的需要,磁校正的等离子分布可以是非均匀的或者也可以是均匀的。我们发现在等离子体上施加压强,平均磁场强度的分布改变成期望的分布的效率可有效改善。依照这一发现通过增大磁场梯度的径向分量可以获得惊人的结果。该径向被理解成是关于圆柱型室的对称轴。这样,所需要的是一在其它方向上有大的径向梯度和小的磁场强度的磁场构型。这样的磁场是关于其的对称轴会切(Cusp-shaped),该对称轴恰是圆柱反应室的轴。一种产生会切磁场(Cusp-shaped magnetic field)的方式是提供线圈于该圆柱室的上方和下方并以相反的方向让直流电流穿过这些线圈。
依照该室设计,在晶片支垫(Pedestal)的下面提供一线圈可能是不实际的,因此在第一情形中,对这些目的来说顶线圈就足够了。另外,所需要的是会切磁场对给定等离子反应室所固有的等离子体离子分布(“环境”等离子体离子分布,the“Ambient”Plasma Ion Distribution)的精确控制或调整是可配置的(Configurable)或可调节的。由于提供在不同电容耦合反应器中的等离子体离子分布可以大范围改变,因此在一些情形中这样的可调性可能是基本必要的。选择磁场梯度的径向分量将改变该环境等离子分布所要求的磁压强提供给期望的分布。例如,如果期望的分布是均匀分布,那么选择该施加磁场来抵消因缺乏磁场而反应器呈现出的等离子密度径向分布的不均匀性。这样,例如,如果反应器倾向具有中央高的等离子密度分布,那么选择该磁场梯度以维持晶片支座上方的等离子密度并增强近边缘的等离子密度以获得均匀性。
依照我们的发现通过提供至少一个不同于(例如,小于)第一线圈直径的第二线圈,获得这样会切点型磁场的可调性。各个线圈中的直流电流是独立可调的,这样以极其灵活的方式使会切磁场的构型(Configuration)改变实质上(Virtually)任意环境等离子密度分布以近似于某些期望的等离子分布。可以构想这一磁场构型选择以修改中央高或中央低等离子密度分布。
在会切磁场相对于磁场强度(如上面提到的)有一大的径向梯度因而在等离子体上施加校正压强是高效的方面,可以实现的优点是双重的;但是,由于磁场是不随时间变化的,因而有更少产生电弧的倾向性,所以对于更大校正能力需要时可以采用稍微强的磁场。本说明中后面将详述,这一特征在较高室压处可能是相当有帮助的。
图1A是提供可调节会切磁场(cusped-shaped magnetic field)的电容耦合等离子反应器。图1A的反应器包括圆柱形的侧壁5、是气体分布板的顶板10及支撑半导体工件20的晶片支座15。顶板10或气体分布板可以是导电的,这样使它充当阳极或它可以有连到它上面的阳极。顶板10或气体分布板典型地由铝制成并有内部气体歧管,在面向室的内表面上有气体注入孔。工作气体源25提供工作气体给气体分布板10。真空泵30控制反应室内的压强。点燃并维持反应室内等离子体的等离子源功率由RF发生器40产生,发生器40通过阻抗匹配电路45连到晶片支座15上,这样晶片支座充当RF电极。阳极(可以是导体材料所形成的顶板10)连到RF地以充当反电极。这一反应器倾向于具有一极不均匀的等离子密度分布,该典型的分布是中央高的。
图1B描述一特征,其中顶板10不是直接连到如图1A的地上,而是通过RF阻抗匹配单元11(仅示意地示出)连到VHF信号发生器12上,该信号发生器12提供等离子源功率。这样,RF发生器40只是控制加在半导体晶片或工件20上的RF偏压(RF阻抗匹配单元11可以是诸如例如一共轴调谐棒或条线电路的固定调谐单元)。这一特征在本说明的后面部分更详细讨论。
为了控制等离子体离子密度分布,在顶板10的上方提供一套电感线圈。在图1A的情形中,该套电感线圈包括内线圈60和外线圈65,二者和圆柱室共轴且每一个构成单一的导体线圈。虽然线圈60、65在图1A以单圈说明,它们每一个可以由垂直排列的多圈组成,例如,如图1B所示。或者,如图1C所示,线圈60、65可以垂直和水平延伸。在图1A的情形中,内线圈60比外线圈65位于顶板10的更上方。然而,在其它情形中,这一排列可以颠倒,或两个线圈60、65可以在顶板10上方的同一高度。
在图1A和1B的情形中,控制器90通过控制各个独立的直流电流源70、75,确定流到各个高架线圈60、65的电流的大小和极性,直流电流源70、75分别连到线圈60、65上。请参见图2,说明一情形,其中控制器90支配直流电流从直流电流源76到线圈60、65中,直流电流源76通过控制器90提供电流,控制器90分别连到线圈60、65。在两种情形中,控制器90能使不同极性和大小的直流电流在不同线圈60、65中流动。在图2的情形中,控制器90包括一对分压计82a、82b和一对成组的开关84a、84b,分压计82a、82b调整供到各个线圈60、65的直流电流,开关84a、84b单独确定供到每一线圈60、65的直流电流的极性。诸如微处理器91的可编程器件可以包括在控制器90中以便智能地管理分压计82a、82b和成组的开关84a、84b。
图1A、1B及1C中描述的两线圈60,65的布局提供了一定的优点,其中内线圈60比外线圈65置于顶板10上方较大的高度。尤其是,两线圈提供的磁场梯度的径向分量,至少差不多,和线圈半径成正比,和离开线圈的轴向位移成反比。这样,内线圈和外线圈60、65因为它们不同尺寸和位移将完成不同的用途:因为外线圈65较大的半径和更接近于晶片20,将支配晶片20的整个表面,而内线圈60在晶片中央附近将具有最大的影响并可看作是用于磁场精密调整或造型的微调线圈。对于实现这样由不同线圈形成的微差控制,其它布局是可能的,这些不同的线圈具有不同半径并置于离开等离子体的不同位移处。参考一定的工作举例,本说明后面将描述,通过选择在各个高架线圈(60、65)中流动电流的不同大小以及选择电流的用于不同高架线圈的不同极性或方向,可以得到相对于环境等离子体离子密度分布的不同改变。
图3A描述由内线圈60产生的磁场的径向(实线)和方位(虚线)分量,在图1A的情形中,作为晶片20上径向位置的函数。图3B描述由外线圈65产生的磁场的径向(实线)和方位(虚线)分量,为晶片20上径向位置的函数。晶片20直径是300mm,内线圈60的直径是12英寸并置于等离子体上方大约10英寸处,外线圈65直径是22英寸并置于等离子上方约6英寸处,执行上述设定可以得到图3A和3B示出的数据。图3C是由内外高架线圈60、65产生的半会切线型磁场线模式的简化图。
图2中的控制器90可以改变施加到各个线圈60、65的电流以便调节晶片表面的磁场因而改变等离子体离子密度的空间分布。为了说明控制器90通过改变这些磁场可以怎样深刻地影响并改善室内的等离子体离子分布,现在要说明的是不同的线圈60,65施加的不同磁场效应。在下面的例子中,直接测量整個晶片表面的刻蚀率(Etch Rate)的空间分布而非等离子体离子分布。刻蚀率分布随着等离子体离子分布的改变直接改变,因此一分布的改变另一种分布会有所反映。
图4A、4B、4C及4D说明仅使用内线圈60在低室压(30mT)实现的有益效果。图4A说明测量的刻蚀率(垂直Z轴)作为晶片20的表面上位置(水平X和Y轴)的函数。这样图4A说明晶片平面内刻蚀率的空间分布。图4A中可以清楚地看出刻蚀率分布的中央高不均匀性。图4A相应于不施加磁场的情形,因而说明反应室内在的需要校正的非均匀刻蚀率分布。在这一情形中该刻蚀率有5.7%的标准偏离。在图4和5中,虽然径向磁场是对等离子体离子径向分布起作用以改善均匀性的磁场能被理解,磁场强度将被描述为近晶片中央的轴磁场。本说明中选择轴磁场因为它更容易测量。晶片边缘的径向磁场典型地是该位置轴磁场的约三分之一。
图4B说明当内线圈60已通电产生9高斯磁场时刻蚀率分布如何改变。该不均匀性减小到4.7%的标准偏离。
在图4C中内线圈60的磁场已经增到18高斯,可以看出中央处的峰已大大地变小,并伴有整個晶片的刻蚀率标准偏离降到2.1%的结果。
在图4D中内线圈60的磁场已进一步减小到27高斯,这样图4A的中央高模式几乎倒转为中央低模式。在图4D的情形中整個晶片表面的刻蚀率的标准偏离是5.0%。
图5A、5B、5C及5D说明使用两线圈60,65在较高室压(200mT)实现的有益效果。图5A相应于图4A并画出没有被磁场校正的反应器的中央高刻蚀率不均匀性。在这一情形中,整個晶片刻蚀率的标准偏离是5.2%。
在图5B中,外线圈65已被通电产生22高斯磁场,该磁场稍微降低刻蚀率分布的中央峰。这样,刻蚀率标准偏离已被降低到3.5%。
在图5C中,两外线圈60、65被通电产生一22高斯的磁场。在图5中看到的结果是刻蚀率分布中的中央峰极大地减小,虽然边缘附近的刻蚀率增大。整体效果是有3.2%的低标准偏离的更均匀刻蚀率分布。
在图5D中,两外线圈被通电产生一40高斯的磁场,产生一过校正(Over-Correction),这样整個晶片表面的刻蚀率分布已经转化为中央低分布。在这后一情形中的刻蚀率标准偏离已稍稍(相对于图5C的情形)升到3.5%。
将在图4A-4D的低压实验中得到的结果和在图5A-5D的高压实验中得到的结果比较,可以看出较高的室压需要更大磁场来获得刻蚀率非均匀分布的类似校正。例如,在30mT仅使用内线圈60在18高斯得到一最佳校正,而在300mT需要使用两个线圈60、65的24高斯的磁场来达到一最佳校正。
图6示出高架线圈的磁场极大地影响等离子体离子密度均匀性或刻蚀率分布,但未大大地影响刻蚀率自身。这是一优点因为,虽然期望改善刻蚀率分布的均匀性,但不改变为特定半导体工艺选择的刻蚀率是更可取的。在图6中,菱形符号描述测量的刻蚀率(左手的垂直轴)作为磁场(水平轴)的函数,同时方形符号描述刻蚀率的标准偏离(不均匀性)(右手的垂直轴)作为磁场的函数。在整个示出的范围上的不均匀性的改变是大约一个数量级,刻蚀率的改变仅约为25%。
图1A、1B和1C的高架线圈电感60,65可以和常规MERIE反应器一起使用。图7和8描述相应于图1A具有附加特征的情形,四个常规MERIE电磁铁92、94、96、98以及MERIE电流控制器99。电流控制器99提供一交流电流给各个MERIE电磁铁92、94、96及98。各个电流具有相同的低频但它们有90度的相位偏移以便以常规方式产生室内的慢旋转磁场。
用高架线圈控制等离子分布
按照本发明的方法,通过选择由高架线圈60、65产生的特定磁场,可以以特定方式调整特定反应器中固有的整個晶片表面的等离子体离子密度分布。例如,可以调整等离子分布产生整個晶片表面的更均匀的刻蚀率分布。例如,通过给控制器90编程以选择高架线圈中直流电流的最佳极性和振幅,以完成这一调整。虽然本实施例涉及到仅有两个同心高架线圈(即,线圈60和65)的反应器,但该方法可以用多于两个线圈完成,并且用更大数目的高架线圈可以提供更精确的结果。由控制器90调整该磁场以产生以改变整個晶片表面的等离子体离子密度分布,该分布反过来影响刻蚀率分布。
第一步是测量在没有来自高架线圈60、65的校正磁场时整個晶片表面的刻蚀率分布。下一步是确定等离子离子密度分布的改变,该分布能使刻蚀率分布更均匀。最后一步是确定能产生期望的等离子体离子密度分布变化的磁场。给定这一磁场,产生这样的磁场所必须的高架线圈60、65中的电流的振幅和方向可以由众所周知的静磁场方式计算。
我们已经找到了,由静磁场,计算高架线圈60、65的磁场施加到等离子体上的压强(所谓的“磁压”)的方法。这将是下面要讨论的。施加在等离子体上的磁压产生等离子体离子密度分布的改变。这一等离子体离子密度分布改变产生整個晶片表面的刻蚀率分布的成比例的改变,这可以直接观察到。所以整個晶片表面的等离子体离子密度分布和刻蚀率分布至少大致由一比例因子相关联。
起初,先于施加来自高架线圈60、65的磁场测量整個晶片表面的刻蚀率的空间分布。由此,可以确定刻蚀率分布的期望改变(来获得均匀分布)。接着,由每一线圈的几何形状解析确定由每一高架线圈60、65产生的磁场的空间分布作为室内位置和线圈中电流的函数。然后,给这些线圈施加已知的一组电流而后测量整個晶片表面的刻蚀率的相应改变,可以推导出一线性因子,该因子将晶片表面处来自所有线圈的磁场的矢量和和晶片表面处刻蚀率分布的改变相关联。(这一比例因子一般是等离子体中性粒子压强的函数且在高达约500mT室压起作用。)因此,给定期望的刻蚀率分布改变或校正(以获得更好的均匀性),(以本说明书中后面描述的方式)可以找到需要的磁场。使用早先解析确定的磁场空间分布函数,由此可以推导出相应的线圈电流。
对刻蚀率不均匀性的期望校正可以以多种方式建立。例如,可以从一均匀或平均刻蚀率减去整個晶片表面的二维刻蚀率分布以产生一“差额”分布。本方法中待校正的刻蚀率的不均匀性是反应室内多个因素的结果,包括电容耦合源功率的非均匀应用,非均匀工作气体以及非均匀等离子体离子密度分布。在前面的方法中,通过改变磁压造成的等离子体离子密度分布校正该不均匀性。
也可以采用下面的方法以某些期望的方式来建立“校正的”非均匀的等离子分布。在这一情形中,待做的校正是“校正的”或环境等离子体离子密度分布和期望的(自身是非均匀的)分布间的差额。因此,该方法对形成均匀的或具有特定选择密度分布模式的等离子体密度分布是有用的,该模式未必是均匀的。
现在参考图9描述完成上述方法的一系列步骤。
第一步(图9的框910)是,对每一个高架线圈60、65,将晶片表面的磁场解析确定为线圈中电流和晶片表面的径向位置的函数的表达式。使用柱坐标,对Ith线圈,这一表达式可以写成Bi(r,z=wafer,Ii)。它可以由Biot-Savart定律直接确定。
下一步(图9的框920)在高架线圈60、65中无电流时完成。在这一步,测量整個晶片表面的等离子离子密度空间分布。这一空间分布可以写为n(r,z=wafer)。在这一步,可以通过测量整個实验晶片表面的刻蚀率分布间接测量等离子离子密度分布。熟练的技术人员可以容易地从该刻蚀率分布推导出等离子体离子密度分布。
接着,在框930这一步,确定对先前步骤中测量的已测量的等离子体离子密度空间分布函数n(r,z=wafer)的校正c(r)。可以以任意数目的适当方式确定该校正c(r)。例如,它可以定义为最大值n(r,z=wafer)max减去n(r,z=wafer)。在这一方式中,将c(r)加到n(r,z=wafer)产生一具有等于n(r)max的均匀振幅的“校正的”分布。当然,可以不同地定义校正函数c(r)以产生不同均匀振幅。或着,如上简述,如果期望的分布是非均匀的,那么该校正是期望分布和n(r,z=wafer)之差。
下一步(框940)是为每一高架线圈60、65选择一“实验”电流Ii,将该电流施加到适当的线圈中,并测量相应的等离子分布,可以写成n(r,z=wafer)test。通过减去在有和没有磁场时测量的离子分布得到离子分布的改变Δn(r):
Δn(r)≈n(r,z=wafer)-n(r,z=wafer)test
下一步(框950)是用计算机计算比例因子S,该因子将磁场施加的压强梯度(即,磁压)和离子分布变化Δn(r)相关联。通过将该磁压梯度除以Δn(r)完成这一计算。对每一线圈依据磁流体力学方程:
rP≈-r[B(r,z=wafer,Ii)2/2μ0]
单独计算线圈Ith的磁场B(r,z=wafer,Ii)的磁压梯度。
这里角标r表示径向分量。然后将每一线圈单独由此获得的结果加在一起。因此,总的磁压梯度是:
-r{∑i[B(r,z=wafer,Ii)2/2μ0]}
所以,比例因子是:
S={-r{∑i[B(r,z=wafer,Ii)2/2μ0]}}/Δn(r)
这一除法操作可以在不同的r值和结果处完成,该结果被均分来以获得标量形式的S。否则,比例因子S将是r的函数并以适当的方式使用。
在框950的步骤中建立的比例因子S是确定磁压的线圈电流Ii和相应的离子分布变化间的关联。特定地,给定一组线圈电流Ii,通过将由该组Ii确定的磁压乘以比例因子S可以计算出相应的离子分布变化n(r):
Δn(r)={-r{∑i[B(r,z=wafer,Ii)2/2μ0]}}/S
这一事实为下列步骤(框960)提供了根据,在该步骤中计算器(诸如微处理器91)使用前述的方程来搜寻一组线圈电流Ii,该组电流Ii产生最近似于早先指定的或期望的等离子体离子密度分布的变化Δn(r)。在这一情形中,期望的变化等于在930的步骤中计算机计算出的校正函数c(r)。换言之,该计算器搜寻满足下列条件的一组线圈电流Ii
{-r{∑i[B(r,z=wafer,Ii)2/2μ0]}}=c(r)s
通过众所周知的优化技术可以完成这一搜寻,该技术包括,例如,最速下降法(steepest descents)。这样的技术熟练的技术人员能轻易地完成,这里不必描述。
然后将该搜寻发现的该组线圈电流Ii的大小和极性送到控制器90,控制器90反过来将这些电流Ii加到各个线圈60、65。
图10将晶片表面的磁压(实线)和等离子体离子密度分布(点线)作为半径的函数做了比较。如上讨论,磁压是高架线圈磁场的平方的梯度。图10表明磁压和离子密度分布存在很好的相关性。
在图11-14中说明这一方法的应用。图11说明晶片表面的刻蚀率空间分布的不均匀性或标准偏离(垂直轴)如何随高架线圈中线圈电流而变化。在零线圈电流处,标准偏离大约为12%,离子分布是如图12所示中央高的。
在大约17安培的线圈电流处可获得大约3%的最小不均匀性。这代表约4倍的改善(即,12%到3%刻蚀率分布的标准偏离)。实际的或测量的刻蚀率分布如图13A所示,同时使用图9的技术预测的刻蚀率分布如图13B所示。
在35安培的高线圈电流处,刻蚀率分布标准偏离是大约14%。测量的刻蚀率空间分布如图14A所示,同时预测的分布如图14B所示。
再参见图13A,获得的最均匀的离子分布不一定是平的,实际上有“碗”状,近边缘处凹近中央处凸。用更多的独立高架线圈(例如,三个或更多),有可能实现结果的更高分辨率和更好均匀性的优化。因此,本发明并不限于只有两个线圈的情形。随着使用少于两个或多于两个高架线圈变化的结果可以执行本发明。
为了控制顶板表面的等离子体离子密度分布或刻蚀率分布可以应用同样的方法。例如,在室清洁操作期间这一方法可能是有用的。图1 5说明图9的方法的变形,其中离子密度(或,刻蚀)空间分布的不均匀性被优化。图15的步骤,即框910′,920′,930′,940′,950′和960′和图9的步骤,即框910,920,930,940,950和960相同,除它们为顶面而非晶片平面外。
第一步(图15的框910′)是,为每一高架线圈60,65,解析确定顶板处的磁场的表达式,该表达式为线圈中的电流的函数和晶片表面径向位置的函数。使用圆柱坐标系,对ith线圈,这一表达式可以写成,Bi(r,z=ceiling,Ii)。它可以由简单的静磁场方程确定并不仅是线圈电流Ii和顶板表面径向位置r的函数,而且是诸如线圈半径和线圈和顶板内表面间的距离,z=ceiling,确定常数的函数。
在高架线圈60、65中无流动的电流时完成下一步(图15的框920′)。在这一步,测量整個顶板表面的等离子体离子密度空间分布。这一空间分布可以写做n(r,z=ceiling)。在这一步,等离子体离子密度分布可以用常规探针或其它间接技术测量。
接着,在框930′的步骤中,确定对先前步骤中测量的等离子体离子密度空间分布函数n(r,z=ceiling)的校正c′(r)。(应当指出这里采用的符号′是将图15的计算从上述图9的计算中区分开来,并不意指在此使用的导数。)校正c′(r)可以以任意数目的适当方式定义。例如,它可以定义为最大值n(r,z=ceiling)max减去n(r,z=ceiling)。在这一方式中,给n(r,z=ceiling)加上c′(r)产生有等于n(r)max的均匀幅度的“校正”分布。当然,可以不同地定义校正函数c′(r)以产生不同非均匀振幅。而且,如果期望一特殊的非均匀分布,那么该校正是未校正的或环境等离子分布n(r,z=ceiling)和期望的非均匀分布之差。这样,可以采用该方法来建立有特殊非均匀模式的期望的等离子体离子密度分布或建立均匀等离子体离子密度分布。
下一步(框940′)是为每一高架线圈60、65选择一“实验”电流Ii,把该电流加到适当的线圈上并测量相应的等离子体离子密度分布,该分布可以写成n(r,z=ceiling)test。通过减去有和无磁场时测量的离子分布得到离子分布的改变Δn(r):
Δn′(r)=n(r,z=ceiling)-n(r,z=ceiling)test
下一步(框950′)是计算比例因子S′,该因子将磁场(即,磁压)施加的压强梯度和离子分布变化Δn′(r)相联系。将该磁压梯度除以Δn′(r)完成该计算。根据磁流体动力学方程为每一线圈计算Ith线圈的磁场B(r,z=ceiling)的磁压梯度单独计算:
rP=-r[B(r,z=ceiling,Ii)2/2μc
此处角标r表示径向分量。然后将每一线圈单独由此获得的结果加在一起。因此,总的磁压梯度是:
-r{∑i[B(r,z=wafer,Ii)2/2μ0]}
因此,比例因子S是:
s’={-r{∑i[B(r,z=wafer,Ii)2/2μ0]}}/Δn’(r)
在框950′的步骤中建立的比例因子S′是确定磁压的线圈电流Ii和相应的离子分布变化间的关联。特定地,给定一组线圈电流Ii,通过将由该组Ii确定的磁压乘以比例因子S′可以计算出相应的离子分布n′(r)的变化:
Δn’(r)={-r{∑i[B(r,z=wafer,Ii)2/2μ0]}}/s’
这一事实为下列步骤(框960′)提供了依据,步骤(框960)中计算器(如微处理器91)使用前面的方程来搜寻一组线圈电流Ii,该电流产生早先特定的或期望的等离子体离子密度分布的变化最佳近似Δn′(r)。在这一情形中,期望的变化等于框930′的步骤中计算的校正函数c′(r)的校正。换言之,该计算器搜寻一组满足下列条件的线圈电流Ii
{-r{∑i[B(r,z=wafer,Ii)2/2μ0]}}=c′(r)S′
通过众所周知的包括,例如,最速下降法的优化技术可以完成这一搜寻。这样的技术可以由本领域中熟练的技术人员很容易完成在此不必描述。
然后该搜寻发现的该组线圈电流Ii的大小和极性被送到控制器90,控制器反过来将这些电流施加到各自线圈60、65。
仅借助单一高架线圈,可以使用该设备来优化晶片处顶板处等离子体离子分布均匀性,但两者不能同时优化。借助于至少两个高架线圈(例如,高架线圈60和65),至少可以在晶片处和顶板处同时近似优化等离子体离子分布均匀性。
用高架线圈操纵等离子体
我们已经发现可以以操纵等离子体朝向顶板和/或侧壁或操纵它朝向晶片表面的方式选择线圈电流Ii。也可以以类似于图9的方式选择线圈电流Ii来改善顶板表面处的等离子体密度分布的均匀性。结果,在处理期间可以聚集等离子体于晶片上,然后在清洁期间也可以聚集等离子于顶板和/或侧壁上。这样通过将等离子聚集在顶板上,可以减少清洁时间。
在一个例子中,控制器90通过将-17.5安培的电流施加到内线圈60同时将+12.5安培的电流施加到外线圈65上,操纵等离子体到室侧壁上。图16描述室内部的径向部分,该部分沿水平轴从零半径到室的边缘,沿垂直轴从晶片表面到顶板。图16中的小箭头表示当控制器90通过将-17.5安培的电流施加到内线圈60同时将+12.5安培的电流施加到外线圈65上,操纵等离子体到室侧壁上时,室内不同位置处的磁场的大小和方向。图17描述晶片表面处相应的磁场平方的梯度作为径向位置的函数。
在另一个例子中,控制器90通过将-12.5安培的电流施加到内线圈60同时将+5安培的电流施加到外线圈65上,操纵等离子体到室顶板上。图18描述室内部的径向部分,该部分沿水平轴从零半径到室的边缘,沿垂直轴从晶片表面到顶板。图18中的小箭头表示当控制器90通过将-12.5安培的电流施加到内线圈60同时将+5安培的电流施加到外线圈65上,操纵等离子体到室侧壁上时,室内不同位置处的磁场的大小和方向。图19描述晶片表面处相应的磁场平方的梯度作为径向位置的函数。
在又一个例子中,控制器90通过将-25安培的电流施加到内线圈60同时将+2.75安培的电流施加到外线圈65上,使等离子体沿场线(Field lines)从顶板中心延伸到侧壁。图20描述室内部的径向部分,该部分沿水平轴从零半径到室的边缘,沿垂直轴从晶片表面到顶板。图20中的小箭头表示当控制器90通过将-25安培的电流施加到内线圈60同时将+2.5安培的电流施加到外线圈65上,操纵等离子体到室侧壁上时,室内不同位置处的磁场的大小和方向。图21描述晶片表面处相应的磁场平方的梯度作为径向位置的函数。
图17示出当等离子体被操纵到边上时室边缘附近高的正磁压施加在等离子体上。图19示出当等离子体被定向到顶板的边上时室边缘附近低的磁压施加在等离子体上。图21示出当磁场线从顶板延伸到边上时,室边缘附近存在高的负压。
这样,可以选择高架线圈60、65中的电流来将等离子体指定到室内的可能需要清洁的不同区域,诸如顶板和侧壁。或者,可以将等离子体更多地集中在晶片附近。为了操纵等离子体既到晶片也到顶板,或按照某操纵比率SR将等离子体分配在晶片和顶板之间,可以用如图22描述的方法实现。
参考图22,第一步(图22的框2210)是确定室内磁场的解析模型,作为高架线圈内(例如,线圈对60,65)所有线圈电流函数。这可以由本领域中熟练的技术人员使用静磁场方程轻易地完成,在此不必描述。该磁场是来自每一线圈的单独磁场之和。每一单独磁场是该线圈直径、该线圈位置、该线圈中的电流和室内位置的函数。因此,由Ith线圈产生的磁场可以写做:B(x,y,z,Ii)
这样总磁场是
i{B(x,y,z,Ii)}
下一步(框2220)是选择一组磁场,该磁场满足期望的工艺条件。例如,为了操纵等离子体到顶板,选择磁场在等离子体上产生磁压,推动等离子体朝向顶板,如图18的例子所描述。为了操纵等离子体朝向侧壁,选择磁场在等离子体上产生磁压,推动等离子体朝向边缘,如图16所描述。
对于上面框2220的步骤中确定的每一磁场满足一个特定的条件,计算机为一组产生期望磁场的线圈电流搜寻框2210步骤中定义的模型。这是框2230的下一步。在框2230中找到的每组电流在存储器位置中和相应条件的名字一起储存。该位置和相应的工艺条件相联系(图22的框2240)。无论何时选择特定的工艺条件(例如,操纵等离子体到顶板),微处理器91从相应的存储位置(框2250)取出该组电流值并使相应的电流施加到适当线圈上(框2260)。
图23示出如何将微处理器91编程来响应用户输入信息。首先确定该处理是否包括晶片表面的刻蚀(框2310)以及该处理是否包括清洁(刻蚀)顶板(框2320)。如果只刻蚀晶片,那么操纵等离子体到晶片(框2330)并且使用图9的方法优化晶片表面处的等离子体分布的均匀性(框2350)。如果刻蚀晶片同时清洁顶板,那么等离子体密度是被分配在顶板和晶片之间(框2360)且在晶片表面处和图9一样在顶板处和图15一样优化等离子体密度的均匀性。如果仅清洁顶板,那么操纵等离子体到顶板(框2380)且顶板处等离子体密度的均匀性被优化(框2390)。
使用VHF高架电极
图24描述了内外线圈60,65如何和电容耦合反应器结合,该反应器有一个通过固定调谐短截线连到VHF等离子体源功率发生器的高架电极。这一反应器在美国专利申请序列号10/028,922中描述,该申请由Daniel Hoffman等于2001年12月19日提交,题目为“具有使等离子和谐的高架RF电极的等离子反应器”,转让给本受让人,在此引入其中的内容作为参考。
参见图24,等离子体反应器包括一个反应室100,在该室的底部具有一个晶片支座105,支撑半导体晶片110。工序配套元件可能包括,在一个示范性的实施中,导体或半导体环115,该环被在接地室体127上的绝缘环120所支撑。室100在顶部被一圆盘型的高架导体电极125所限定,导体电极125以在接地室体127上的晶片110之上的一缝隙长度由绝缘密封体支撑。在一实施例中,晶片支座105在垂直方向是可移动的,使得间隙长度可以改变。在另一实施例中,该间隙长度可以是一固定的预先确定的长度。高架电极125可以是金属(例如,铝),半金属材料(例如,硅或碳化硅)覆盖在它的内表面上,或它自身是半金属材料。RF发生器150将RF功率施加到电极125上。来自发生器150的RF功率穿过和发生器150匹配的同轴电缆162耦合进入连到电极125的同轴截线135。截线135有特定阻抗,有一谐振频率,并在电极125和同轴电缆162或RF功率发生器150的输出间提供阻抗匹配,下面将更充分地描述。室体被连接到RF发生器150的RF的回路(RF地)上。绝缘密封体120的容抗和绝缘密封体130的容抗影响从高架电极125到RF地的RF路径。晶片支座105,晶片110和工序配套导体或半导体环115为施加到电极125上的RF功率提供了主要的RF返回路径。
如图1A的情形,内线圈60小于外线圈65的直径的一半且其处的平面比外线圈65更远离室。该外线圈65位于或接近于电极125的顶平面,而内线圈60位于电极125的更上方。如图1的情形,线圈60、65中的直流电流被操纵控制器90的等离子体控制,控制器90支配线圈60、65的电流源70、75。
对RF回路或地测量的高架电极组件126的电容,在一个示范情形中,是180皮法拉,该组件包括电极125、工艺套件115、120和绝缘密封部分130。电极组件电容受电极面积,间隙长度(晶片支座和高架电极间距离),以及受寄生电容因素的影响,尤其是密封部分130和绝缘环120的介电值的影响,这些因素反过来受介电常数和采用的材料厚度的影响。更一般地,电极组件126的电容(未标明的数字或数量)大小在特定源功率频率,等离子体密度和工作压强处等于或几乎等于等离子体的负电容(一个复数),这些下面将讨论。
由于反应室执行所需要的等离子体工艺要求,晶片尺寸,在晶片之上均匀地完成工艺的要求之关系,影响前述关系的许多因素很大程度上预先确定。因此,等离子体电容是等离子体密度和源功率频率的函数,而电极电容是晶片支座到电极间隙(高度),电极直径,组件绝缘体的介电值的函数。等离子体密度、工作压强、间隙及电极直径必须满足反应室要执行的等离子体工艺的要求。尤其是,等离子体密度必须在一个特定范围内。例如,硅和介电等离子刻蚀工艺一般要求等离子体离子密度在109到1012离子/cc范围内。对8英寸的晶片,例如,如果该间隙是大约2英寸,晶片电极间隙提供一个最佳等离子体离子分布。如果不大于晶片直径,电极直径优选地尽可能大。类似地工作压强对典型刻蚀和其它等离子体工艺有实际范围。
但是业已发现其它因素保持不变,可以选择这些因素来达到上述优选的关系,尤其是对高架电极组件126源频率的选择和电容的选择。在上述施加到电极上的量纲常数和施加到等离子体上的常数(例如,密度范围)内,如果将源功率频率选择为VHF频率,且如果适当选择电极组件126的绝缘单元的介电值,可以将电极电容匹配为等离子体负电容的量值。这样的选择可以获得源功率频率和等离子体-电极共振频率间的匹配和近似匹配。
相应地在一个示范性情形中,对8英寸晶片高架电极直径大约是11英寸,间隙大约是2英寸,等离子体密度和工作压强对刻蚀过程如上述是典型的,VHF源功率频率是210MHz(虽然其它VHF频率可以同样有效),源功率频率,等离子体电极共振频率和截线共振频率完全匹配或几乎匹配。
更特别地,为了获得有利地降低系统Q的从属调谐效应,这三个频率相互间有稍微的偏移,源功率频率是210MHz,电极-等离子体电极共振频率约是200MHz,截线频率约是220MHz。这种系统Q的降低使反应室性能不受室内条件变化的影响,这样整个工艺更稳定且可以在更宽的工艺窗口上完成。
当前优选的模式有,适宜容纳12英寸直径晶片,约1.25英寸的晶片-顶板间隙以及162MHz(而非上面提到的210MHz)的VHF源功率频率的,室和底座直径。
轴截线135是一特定构型,该构型进一步促成整个系统稳定性,它的宽工作窗口性能,以及许多其它有价值的优点。它包括内圆柱型导体140和外同心圆柱导体145。绝缘体147(图24中用交叉阴影线标示),例如有相对介电常数1,充满内外导体140、145之间的空间。内外导体140、145可以形成,例如,由涂镍的铝制成。在一个示范性情形中,外导体145有约4英寸的直径,内导体140有约1.5英寸的直径。截线特征阻抗由内外导体140,145的半径和绝缘体147的介电常数确定。上述情形的截线135有65Ω的特征阻抗。更一般地,截线特征阻抗超出源功率输出阻抗约20%-40%,优选地约30%。为了当稍微偏离210Hz的VHF源功率频率时,使220Hz附近的共振通常地匹配,截线135有约29英寸的轴长(在220Hz的半波长)。
活栓160配备在沿截线135的轴长的特定点为了将RF功率从RF发生器150供给到截线135,下面将讨论。发生器150的RF功率端子150b和RF回路端子150a在截线135上的活栓160处分别被连接到内外共轴截线导体140、145上。这些连接经由发生器到截线共轴电缆162以熟知的方式完成,该电缆具有匹配发生器150的输出阻抗(典型地,50Ω)的特征阻抗。截线135远端135a的有终止的导体165将内外导体140、145短接在一起,这样截线135在它的远端135a短路。在截线135的近端135b(未短路的端),外导体145经由环形导体机盖或支座175被连接到室体上,同时内导体140经由导电圆柱或支撑座176连接到电极125的中心。绝缘环180位于导电圆柱176和电极125之间并将二者分离。
内导体140为诸如工作气体和冷却液的使用提供一个管道。这一特征的主要优越性是,不像典型的等离子反应器,气体线路170和冷却液线路173不具有过大的电势差。因此为这一目的它们可以是金属导电,不太贵和更可靠的材料。金属性气体线170向高架电极125内或邻近高架线圈125的气体出口172送气而金属性冷却液线173向高架电极125内的冷却液通道或套输送冷却液。
因此RF发生器150,和高架电极组件126以及工作等离子体负载间的这一特定构型截线匹配提供了有源共振阻抗转换,将发射功率最小化并提供一个适应负载阻抗宽变化的非常宽的阻抗匹配空间。由此,提供了宽的工艺窗口和工艺适应性,以及先前未得到的功率使用上的效率,所有这些同时最小化或避免了需要典型阻抗匹配装置。如上指出,截线共振频率也偏离理想的匹配来进一步增大整个系统的Q,系统稳定性和工艺窗口以及多处理性能。
匹配电极-等离子体共振频率和VHF源功率频率
如上概述,基本特征是配置高架电极组件126在电极-等离子体共振频率和等离子体共振以及匹配(或近匹配)源功率频率和电极-等离子体频率。电极组件126有一占主导地位的容性阻抗而等离子体阻抗是频率,等离子密度和其它参数的复杂函数。(下面将详细描述,就阻抗而论分析等离子体,该阻抗是一包含虚构项的复杂函数一般相应于负电容)。电极-等离子共振频率由电极组件126和等离子体阻抗确定(类似于由电容器和电感器的阻抗确定的电容器/电感器共振电路的共振频率)。这样电极-等离子体共振频率可以不必是源功率频率,如它工作时那样依赖于等离子密度。因此,问题是找到一个源功率频率,在该频率处等离子阻抗是使得电极-等离子体共振频率等于或近似等于源功率频率,给定对等离子体密度和电极尺寸的特定范围的实际限制的约束。因为等离子体密度(它影响等离子阻抗)和电极尺寸(它影响电极电容)必须满足一定工艺约束,所以该问题甚至更困难。特定地,对绝缘和导体等离子刻蚀工艺,等离子体密度应该在109-1012个离子/cc,是等离子体阻抗的约束。而且,例如处理8英寸直径的晶片的更均匀的等离子体离子密度分布,通过约2英寸的晶片到电极间隙或高度以及与晶片直径相似的电极直径,或更大,实现,是电极电容的约束。另一方面,对12英寸直径晶片可以实现不同的间隙。
相应地,通过对等离子体负容抗的大小的匹配(或近似匹配)该电极电容,电极-等离子体共振频率和源功率频率至少几乎匹配。对上面列举的一般导体和绝缘体刻蚀工艺条件(例如,在109-1012个离子/cc之间的等离子体密度,2英寸间隙和大约11英寸量级的电极直径),如果源功率频率是VHF频率该匹配是可能的。其它条件(例如不同晶片直径、不同等离子体密度等)可以规定不同频率范围以实现完成该反应室的这一特征的这一匹配。下面将详述,在几个基本应用中的处理8英寸晶片的有利等离子工艺条件下,这些应用包括绝缘体和金属等离子体刻蚀和化学气相沉积,有上述等离子密度一个典型工作例中的等离子体电容是-50到-400皮法拉。在一个示范性情形中,通过使用11英寸的电极直径约2英寸的间隙长度(电极到支撑垫空间),为密封体130选择具有9的绝缘常数,和大约1英寸的厚度的绝缘材料,为环120选择具有4的绝缘常数,和大约10mm的厚度的绝缘材料,高架电极组件126的电容被匹配至这个负等离子体电容的量值。
电极组件126的组合和等离子体在电极-等离子体共振频率共振,该频率至少几乎和施加到电极125上的源功率频率相配,假定它们的电容的匹配正好如上所述。我们已经发现对有利的刻蚀等离子体工艺方法,环境和等离子体,可以在VHF频率处匹配或几乎匹配这一电极-等离子体共振频率和源功率频率;执行这一频率的匹配或近似匹配是极其有利的。在一个示范性情形中,相应于前面的等离子体负电容值的电极-等离子体共振频率大约是200MHz,下面将详述。为了实现下面将讨论的其它优点,源功率频率是210MHz,一个近似匹配,其中源功率频率在电极-等离子体共振频率之上稍稍偏移。
等离子体电容除了别的以外还是等离子电子密度的函数。这和等离子体离子密度相联系,为了提供好的等离子体工艺条件,等离子体密度需要保持在大概是109-1012个离子/cc范围内。这一密度,和源功率频率和其它参数一起,确定等离子体负电容,因此负电容的选择由优化等离子体工艺条件的需要所限制,下面将进一步详细描述。但许多物理参数,例如间隙(电极125和晶片之间的间隔),电极125的面积,绝缘密封体130的绝缘损耗正切范围,电极125和接地室体127间的绝缘密封体130的介电常数的选择,工艺套元件绝缘密封体130的介电常数的选择,绝缘密封体130和120的厚度以及环180的厚度和介电常数,影响高架电极组件电容。
这使得通过在影响高架电极电容的这些和其它物理参数间做出的选择,对电极组件电容做出某些调整。我们已经发现这一调整的范围足以获得高架电极组件电容对负等离子体电容大小匹配的必要程度。尤其是,可以选择密封体130和环120的绝缘材料和尺寸以提供期望的介电常数和因而产生的介电值。尽管影响电极电容,尤其是间隙长度的一些相同物理参数将由下列实用性:操作大直径晶片的需要;在具有整个晶片直径上的等离子体密度的分布的好的均匀性情况下去做这些;有等离子体密度对离子能量的好的控制,所规定或限制,但可以获得电极电容和等离子体电容的匹配。
给定等离子电容和匹配高架电容的前述范围,电极-等离子体共振频率对一个210MHz源功率频率近似是200MHz。
以这一方式选择电极组件126的电容,然后匹配合成电极-等离子体共振频率和源功率频率的一大优点是源功率频率附近的电极和等离子体的共振提供了宽的阻抗匹配和宽的工艺窗口,相应的更大的对工艺条件变化的抗扰性,因此具有更大的性能稳定性。致使整个工艺系统对工作条件的变化更不敏感,例如,等离子体阻抗的漂移,因此整个系统更可靠并具有工艺适应性的更大范围。本说明后面将讨论,这一优势被电极-等离子体共振频率和源功率频率间的微小偏离进一步加强。
图25描述内外线圈60、65如何和电容耦合反应器结合,该反应器具有一个通过固定调谐截线连接到VHF等离子体源功率发生器上的高架电极,有环绕它的边缘的MERIE电磁铁。这一个反应器在美国专利申请序列号10/028,922中描述,该申请由Daniel Hoffman等于2001年12月19日提交,题目为“具有调谐等离子的高架RF电极的等离子反应器”,转让给本受让人,在此引入其中的内容作为参考。
参见图25,一个VHF电容耦合等离子体反应器包括在图1A的反应器中看到的下列元件:具有一个晶片支座105的反应室100,支座105在室底部支撑半导体晶片110。图示情形中的套件由半导体或导体环115组成,该环被位于接地室体127的绝缘环120所支撑。室100在顶部被一个圆盘型高架铝电极125所界定,电极125在位于接地室体127上的晶片110的上方以一预定间隙长度被绝缘密封体130所支撑。高架电极125也可能是金属(例如,铝),它可以被半导体-金属材料(例如Si或SiC)覆盖内表面,或它自身可以是半金属材料。一个RF发生器150施加RF功率给电极125。来自RF发生器150的RF功率通过耦合到发生器150的共轴电缆162耦合进入和电极125相连的共轴截线135。截线135有一个特定阻抗,共振频率,且提供电极125和共轴电缆162/RF功率发生器150间的阻抗匹配,下面将更充分地描述。室体连接到RF发生器150的RF回路(RF地)上。从高架电极125到RF地的RF路径受工艺套件绝缘环120和绝缘密封体130的电容的影响。晶片支座105、晶片110和工艺套件半导体(或导体)环115为施加到电极125上的RF功率提供主要RF返回路径。
如图1A的情形,内线圈60小于外线圈65的直径的一半,且平面上比外线圈65更远离室。外线圈65位于或接近于电极125的顶平面,而内线圈60位于电极125的正上方。如图1A的情形,线圈60、65中的直流电被等离子体操纵控制器90所控制,该控制器支配着线圈60、65的电流源70、75。
等离子体密度分布均匀性的改善通过引入一组MERIE电磁体902达到,电磁体902在晶片支座的边缘和反应器室体的外侧相等地隔开(像图7和8示出的那样)。调整这些MERIE磁体以产生关于圆柱室的对称轴缓慢旋转的磁场,该磁场一般地穿过晶片支座的表面。在一种情形中这一个特征由MERIE电磁体902实现,该磁体有关于各个轴切线绕到晶片支座的外围上的电磁绕组。在这一种情形中,MERIE电流控制器904控制各个电流到每一个MERIE磁体。控制器904在工件支座平面内产生一个循环磁场,控制器904提供单个AC电流给相同频率的每一单个磁绕组,但在相位上偏离90度(或360度被MERIE磁体数目分开)。在另一种情形中,旋转磁场的特征由支撑架1020(虚线)实现,该架支撑所有的MERIE磁体,这些磁体绕对称轴由马达1025(虚线)旋转。在另一情形中,MERIE磁体是用永久磁体。
也可以提供第二MERIE磁体阵列906(以虚线示出),这些磁体对于工件或晶片支座同样隔开但比第一组磁体阵列902处的平面高。两组磁体位于工件支座平面附近的各自平面。
控制器910提供低频(0.5-10Hz)AC电流给每一个电磁体902、906,施加到相邻磁体上的电流相位如上所述偏移90度。结果是磁场关于工件支座的对称轴以AC电流的低频旋转。该磁场使得等离子体被拉向工件表面附近的磁场并同该磁场一同传播。这会激励等离子体使得它的密度分布变得更均匀。结果,因为整个晶片表面得到了更均匀的刻蚀结果,极大地改善了反应器的性能。
高架电极和气体分布板的组合
从来自高架顶板供给工作气体来改善室内气体分布的均匀性是理想的。为此目的,在图24和25的情形中的高架电极125可以是气体分布喷嘴,因此在它的面向工件支座105的底表面有大量的气体注入口或小孔300。在一个示范性情形中,孔300的直径在0.01和0.03英寸之间且它们的中心均匀相隔约3/8英寸。
高架电极/气体分布板125(以下称为气体分布板125)提高了放电阻抗。这是由于引入了放电抑制部件,该部件排除工作气体和/或等离子体进入每一个口或孔300的中央。这个放电抑制部件是一组孔300中央内的中央工件或圆盘302,孔300被支撑在各个圆柱销或细杆303,示于图26的横截面图和图27的放大的横截面图。典型的气体分布板内的放电倾向于出现在气体注入孔的中央附近。所以,将中央工件302置于每一孔300的中心避免了工作气体到达每一孔300的中央且因此减少了放电的出现。如图28的平面图所示,在孔300内引入中央工件302将另外的圆柱口或孔300转变为环形口。
见图29A,具有改善的放电抑制的气体分布板125包括一个盖1402和一个底部1404。底部1404是一个有气体注入孔的盘状的板1406,该板通过这种方式形成:被一有内侧翼1410的环状壁1408所环绕。盖1402也是盘状板。圆盘302是圆柱型突起303的末端部分,这些部分连到盖1402的底表面且从盖1402的底表面向下延伸。盖1402的外边缘位于底部1404的侧翼1410上以在盖1402和底部1404之间形成气体歧管1414(图26)。工作气体从盖1402中央的气体注入口1416流入歧管1414。
室内接触工作气体或等离子体的气体分布板125的部分可以由诸如涂附有诸如碳化硅的半导体工艺兼容材料的铝的金属形成。在这一个例子中,气体分布板的全部表面,除盖1402的顶表面之外,由碳化硅涂层1502覆盖,如图29B的放大的局部横截面图所示。如图30所示,盖1402的铝顶表面和温度控制部件1520接触,部件1520可以被水套1522水冷,水套1522具有被热交换器1524所循环的冷却液,这样气体分布板125的热导铝材料有一被控制的温度。另外,如图31所示,水套可以在气体分布板之内。
然而,为了使碳化硅涂层1502具有相同控制温度,在碳化硅涂层和铝间必须有热导结合。另外,碳化硅涂层的温度可能失控地波动。为了获得气体分布板125的铝材料和碳化硅涂层间良好的热导,在气体分布板和碳化硅涂层间形成一个聚合物结合层1504,如图29A所示。图29A示出聚合物结合层1504在碳化硅涂层1502和铝基部1404之间。聚合物结合层提供铝和碳化硅涂层1502间良好的热导,这样涂层1502的温度被热交换器所控制。
图32,33和34描述图29A的气体分布板125如何改装以提供双重环气体流控制。可以采用这一特征通过选择互补的工作气体分布来帮助校正刻蚀率或沉积率局部分布,该局部分布是中央高的或中央低的。特定地,一个环隔离物或壁1602将气体歧管1414分成一个中央歧管1414a和外歧管1414b。除供给中央歧管1414a气体的中央气体进气管1416之外,气体分布板125中央和边缘的另一气体进气管1418供给外歧管1414b。一个双域控制器1610分配来自工作气体源1612的气体流在内外气体进气管1416、1418之间。图35描述一个阀1610的执行,其中发声叶片1618控制气体流到气体分布板的内外歧管1414a和1414b的相应的气体流量。一个智能流控制器1640支配叶片1618的位置。在图36描述的另一执行中,一对阀1651、1652对室的各个径向区域执行单独的气体流控制。
图37描述一种情形,其中气体分布板125有三个气体流区域,歧管1414被内外环隔离物1604、1606分离成三个歧管1414a、1414b及1414c。三个单独的气体进入装置1416、1418及1420给各个歧管1414a、b及c提供气体流。
虽然本说明中上面将各种各样的情形描述为有一对高架线圈60、65,图37示出了可以有多于两个高架线圈的情况。事实上,图37的情形被描述为有三个同心高架线圈或线圈60,64和65。通过增加独立控制的高架线圈的数目,可以感到以其校正的工艺不均匀性的精度增大。
图34和37的多重域气体分布板享有对件的内外处理区域间气体分配的灵活控制的优点。然而,用户化气体流的另一方式是通过在气体分布板125的不同半径处提供不同气体注入孔来永久地做这些。例如,如果反应器倾向于表现出中央高的局部刻蚀率分布,那么可以通过在中央使用较小的气体注入孔300在近边缘使用较大的气体诸如孔,在室中央附近供给较少的气体,在室边缘供给较多的气体。这个气体分布板在图38中以平面图描述。对中心低的刻蚀分布,将采用相反的孔排列,如图39所描述。
图9的反应室的等离子体操纵
上面参考图11-14的描述的等离子体操纵,执行在图9的情形中。指向侧壁的磁场通过将-13安培的电流施加到内线圈,同时将+1.4安培的电流施加到外线圈65而产生。指向顶板边缘或电极125的磁场通过将-13安培的电流施加到内线圈,同时将+5.2安培的电流施加到外线圈65而产生。侧壁处的密集磁场通过将-13安培的电流施加到内线圈,同时将+9.2安培的电流施加到外线圈65而产生。我们发现通过以上述方式施加指向顶板边缘或电极125的磁场清洁期间室表面的刻蚀率提高了差不多40%。
线圈构型
虽然已经描述了关于内外线圈60、65的前述情形,但可以采用更大数目的线圈。例如,图40的情形有5个高架线圈4060、4062、4064、4066及4068,每一个有自己的,且分别由控制器90控制的电流。线圈4060、4062、4064、4066及4068可以位于顶板125之上的同样高度(如图40所示)或不同高度。图41说明其中高架线圈60、65在同一高度的情形。在图41中每一线圈60、65中的绕组在垂直和径向层叠。图42和43说明不同情形,其中线圈60、65有在垂直方向和径向延伸的绕组。
如本说明中参考图1A早先讨论的那样,作用在等离子体上用于校正非均匀分布的磁压和磁场平方的梯度的径向分量成正比。这样,最有效的方法是采用有大半径梯度的磁场,如会切磁场。如上进一步的描述,对于给定量的磁压该会切磁场的更大的效率减小了所要求的磁场强度,因此减小或消除和高磁场相联系的器件损伤。图44说明一种情形,其中一个完全会切磁场由一对分别位于室上方和下方的线圈4420、4440产生。顶线圈和底线圈4420、4440中的电流分别是顺时针和逆时针的。图45是由该对线圈4420、4440产生的完全会切磁场的磁场线模式的简化描述。
图46说明一种情形,其中采用常规MERIE反应室4650的4个电磁体4610、4620、4630、4640来产生图45的完全会切磁场。控制每一个电磁体4610、4620、4630、4640电流的电流控制器4660被编程以提供同一方向(例如,顺时针方向)流动的直流电流在所有电磁体4610、4620、4630、4640中,如图46中的箭头所指示的那样。以这一方式顶导体4610a、4620a、4630a、4640a中的直流电流形成顺时针电流环路,底导体4610b、4620b、4630b、4640b中的直流电流形成逆时针电流环路,同时在该阵列的每一角,相邻电磁体(例如,垂直导体4620c和4630d对)的垂直导体中的电流晶片表面处抵消了彼此的磁场。净效果是分别在室的顶部和底部产生顺时针和逆时针电流环路,类似于图44的情况,有图45说明的同样的相应的完全会切磁场。图46的反应器以三种模式中的任一模式工作:
(1)磁压模式,其中产生会切磁场;
(2)正弦波模式,其中四个正弦波电流和四个电磁体4610、4620、4630、4640正交施加来产生晶片表面之上的慢旋转磁场;
(3)结构磁场模式(CMF),其中四个电磁体4610、4620、4630、4640被分为邻近对的反向组,一对有一直流电流,相反的一对有相反的直流电流,来产生大体直的磁场线,该线以相对于四个电磁体4610、4620、4630、4640的方位的对角线方向整個晶片表面延伸。通过转换电流轮换这一分组以使磁场穿过对角方向轮换。这些方向的时间顺序描述在图47A、47B、47C及47D中。
在图47A中,电磁体4610、4620有一正直流电流而电磁体4630、4640有负的直流电流,相应的磁场方向通常是图的左上到右下方向。在图47B中这些分组已被轮换以使电磁体4620、4630有正电流而4640、4610有负电流,平均磁场被逆时针旋转90度。图47C和47D完成该循环。磁场线的强度由如此施加的正负电流的强度差确定,并可以如期望的通过对控制器4650编程调整。
在CMF模式中可以采用图9的方法来准确选择四个电磁体4610、4620、4630、4640的直流电流,以产生对非均匀刻蚀率或等离子体离子密度分布的最佳校正。在将图9的方法应用到图47A-D的CMF模式中,每一个电磁体的线圈或线圈4610、4620、4630、4640被代替为高架线圈60、65,且图9的所有步骤按该替代执行。唯一的区别是来自每一线圈的磁场被计算为相应于图47A-D的四个时间段的平均值。
图48描述了一个反应室,该反应室包括一个插在泵扑盘之上的特殊格栅4810。格栅4810由诸如碳化硅的半导体材料或诸如铝的导体材料制成并有孔4820,使气体穿过泵扑盘从室中抽出。特殊格栅4810从泵扑盘排除等离子体,提供必须的保护和工艺控制。为此目的,在半径平面内通过每一孔4820内部的距离不大于等离子鞘厚度的两倍。这样如果等离子体可能穿透格栅4810是非常困难的。这样,可以减小或消除泵环内的室表面与等离子体的交互。
图49和50描述了整体形成的可移动室套4910,该室套并入图48的等离子体限制格栅。室套4910覆盖室的部分,这些部分是在半径上电极125之下晶片110之上的区域的外部。这样,室套4910包括水平部分4920和垂直部分4930,较低的水平部分4940,水平部分4920覆盖室顶板外边缘,垂直部分4930覆盖室侧壁。较低的水平部分4940包括等离子体限制格栅并覆盖泵盘以及和晶片110相邻的环形表面。在一种情形中,每一部分4920、4930,4940形成在一起作为单片碳化硅片4950。室套4910进一步包括铝基部4960,基部4960位于碳化硅片4950的较低水平部分4940之下并和其粘合。铝基部4960包括一对向下延伸的比较长而细的环形栏杆4962、4964,对晶片支座105下面的接地的室体结构单元提供好的导电性。
该室体可以有和向下延伸的环形栏杆4962,4964热接触的温度控制单元4972,4974以及和垂直侧部4930热接触的温度控制单元4976。每一个热控制单元的4972,4974,4976可以包括含有冷却液通道的冷却装置和含有电加热器的加热装置。维持室套4910在足够高的温度(例如,120华氏度高)来最小化或阻止聚合体或碳氟化合物沉积在室套的内表面。
室套4910增强了工艺稳定性,因为它提供了一个好的地返回路径。这是由于沿碳化硅片4950的内表面(包括上面的水平部分4920、垂直部分4930和下面的水平部分4940的内-饰面)电势是均匀的。结果,室套4910为从高架电极125或晶片支座105传送的功率在它的所有内-饰面上提供了均匀的RF返回路径。一个优点是当等离子体波动移动RF返回电流分布集中在套4910的内表面的不同部分时,呈现在那个电流上的阻抗位置大体恒定。这一特征促进了工艺稳定性。
图51描述图7的情形的变型,其中高架螺线管60,65定义了一对称于MERIE磁体92、94、96及98的正方模式,并特别适于正方半导体或绝缘体工件4910,诸如光刻掩膜的均匀处理。
图52描述了图24的反应器的一个变体,其中晶片支座105可以上下移动。除控制等离子体径向分布的两个高架线圈60、65之外,在晶片支座105的平面的下面还有一个底线圈5210。另外,在室的边缘有一个外线圈5220。外高架线圈65和底线圈5210,可以有相反的直流电流以在室内形成完全会切磁场。
虽然结合具有即当高架源功率电极又当气体分布板的高架线圈的反应室描述了高架线圈60、65,但该高架板可以属于不是气体分布板的类型,以另一常规(例如通过室壁)方式引入工作气体。进而,在一个反应室中可以采用线圈60、65,在该反应室中源功率不是被顶板电极电容耦合。还有,已经将高架电极的阻抗匹配元件描述为诸如共轴调谐截线的固定元件。然而,该阻抗匹配元件可以是任意合适的或常规阻抗匹配器件,诸如常规动态阻抗匹配电路。
虽然特别参考优选情形详细描述了本发明,但在不背离本发明真实精神和范围的情况下可以做出本发明的变化和改进。

Claims (32)

1.一种等离子体反应器,包括:
真空腔,该真空腔包括限定真空室的侧壁和顶板以及工件支座,该工件支座在所述室内并面向顶板用于支撑平面工件,所述工件支座和所述顶板共同限定工件支座和顶板间的工作区域;
工作气体进气口,用于将工作气体供入到所述反应室中;
RF功率发生器和等离子源功率电极,该等离子源电极连到RF功率发生器上,用来将等离子源功率电容耦合到反应室以维持室内的等离子体;
至少一邻近所述顶板的第一高架螺线管电磁体且所述高架螺线管电磁体、所述顶板、所述侧壁和所述工件支座位于一共同对称轴上;
电流源,该电流源连接到所述第一螺线管电磁体上并在所述第一螺线管电磁体中提供第一电流,藉以在所述真空室内产生磁场,该磁场是所述第一电流的函数,且所述第一电流有一值,通过磁场来增加所述工件支座表面附近的对称轴周围的等离子体离子密度径向分布的均匀性。
2.如权利要求1所述的反应器,其中所述第一高架螺线管电磁体位于所述真空室的外部并面向所述顶板的外表面。
3.如权利要求1所述的反应器,其中所述等离子源功率电极包括以下之一:
(a)所述工件支座;
(b)所述顶板。
4.如权利要求1所述的反应器,其中所述电流是直流电,且所述磁场是静磁场。
5.如权利要求1所述的反应器,进一步包括:
第二高架螺线管电磁体,该螺线管几乎和所述第一高架螺线管电磁体同心并连到所述电流源上,所述电流源提供第二电流给所述第二螺线管电磁体以在所述第二螺线管电磁体中产生磁通量,贡献于所述磁场,这样所述磁场由所述第一和第二螺线管磁体中的所述第一和第二电流确定,所述第一和第二电流具有这样的值可以使得所述磁场增加所述等离子体离子密度径向分布的均匀性。
6.如权利要求4所述的反应器,其中所述第一和第二螺线管电磁体位于所述反应器的外部并面向所述顶板的外表面。
7.如权利要求6所述的反应器,其中:
所述第一螺线管电磁体的直径与所述工件支座的直径相似且其在位于所述工件支座上面的第一轴距离处;
所述第二螺线管电磁体的直径小于所述第一螺线管电磁体的直径且位于在所述工件支座上面大于所述第一轴距离的第二轴距离处。
8.如权利要求7的所述反应器,其中:
所述第一螺线管电磁体比所述第二螺线管电磁体在所述工件支座的近表面的更大的径向区域上的等离子体上产生径向磁压;
所述第二螺线管电磁体主要在所述工件支座的表面的中央附近所限定的径向区域上产生磁压。
9.如权利要求8所述的反应器,其中:
当等离子体被主要定向在所述晶片支座的晶片上时,所述第一和第二电流构成第一组电流;
当等离子体被主要定向到所述顶板上时,所述第一和第二电流构成不同于所述第一组电流的第二组电流。
10.如权利要求9所述的反应器,其中:当离子体被定向到所述侧壁时,所述第一和第二电流在构成不同于所述第一和第二组电流的第三组电流。
11.一种等离子体反应器,包括:
真空腔,该真空腔包括限定真空室的侧壁和顶板及工件支座,该工件支座在所述室内并面向顶板用于支撑平面工件,所述工件支座和所述顶板共同限定所述工件支座和所述顶板间的工作区域;
工作气体进气口,用于将工作气体供入到所述反应器;
RF功率发生器和电极,该电极连到所述RF功率发生器上,用来将等离子源功率电容耦合到所述反应器以维持离子体;
多个邻近所述顶板的高架螺线管电磁体,用以在所述室内产生合成磁场,该合成磁场含有由各个所述多个螺线管电磁体产生的单个磁场的总和,多个电流在所述螺线管电磁体中流动,所述合成磁场由所述多个电流确定,其中所述高架螺线管、所述顶板、所述侧壁和所述工件支座位于一共同对称轴上;
电流源,该电流源连接到所述多个螺线管电磁体上并给所述多个螺线管电磁体提供所述多个电流,所述电流有各个值通过所述磁场来增加所述工件支座表面附近的所述对称轴周围的等离子体离子密度径向分布的均匀性。
12.如权利要求11所述的反应器,其中所述多个螺线管电磁体是同心的并在所述顶板之上以增大直径和降低轴向高度的方式顺序排列。
13.如权利要求12所述的反应器,其中:
所述最外面的电磁体比所述最里面的电磁体在更大的径向区域上对等离子体施加相应更大的径向磁压;
所述最里面的电磁体在限定在所述室中央附近的区域内的对等离子体施加径向磁压。
14.如权利要求8所述的反应器,其中:
当等离子体被主要定向在所述晶片支座的晶片上时,所述多个电流构成第一组电流;
当等离子体被主要定向到所述顶板上时,所述多个电流构成不同于所述第一组电流的第二组电流。
15.如权利要求14所述的反应器,其中当等离子体被定向到所述侧壁时,所述多个电流构成不同于所述第一和第二组电流的第三组电流。
16.如权利要求15所述的反应器,其中在至少一组所述的电流中,至少一电流的极性和其它所述电流的极性相反。
17.如权利要求11所述的反应器,其中所述多个电流是直流电流,所述合成磁场是静磁场。
18.如权利要求11所述的反应器,进一步包括:多个螺线管旁磁体,该磁体有垂直于所述共同对称轴且一般从所述共同对称轴延伸的多个对称轴,所述多个螺线管旁磁体用以在所述工作区域产生旋转磁场。
19.如权利要求18所述的反应器,其中多个螺线管旁磁体通常面对所述侧壁而所述多个高架螺线管磁体面对所述顶板。
20.如权利要求19所述的反应器,其中所述多个螺线管旁磁体是多个旁螺线管电磁体,所述反应器进一步包括电流发生器,该电流发生器将各个不同相位的各个低频螺线管电流施加到所述多个旁螺线管电磁体从而产生所述旋转磁场。
21.一种等离子体反应器,包括:
真空腔,该真空腔包括限定真空室的侧壁和顶板及工件支座,该工件支座在所述室内并面向顶板用于支撑平面工件,所述工件支座和所述顶板共同限定工件支座和顶板间的工作区域;
工作气体进气口,用于将工作气体供入到所述反应室;
RF功率发生器和等离子源功率电极,该电极连到RF功率发生器上,用来将等离子源功率电容耦合到反应室以维持室内的等离子体;
多个邻近所述顶板的高架螺线管电磁体,这些电磁体在所述室内有一合成静磁场,该合成静磁场含有由各个所述多个螺线管电磁体产生的单个静磁场的总和,其中所述高架螺线管、所述顶板、所述侧壁和所述工件支座位于一共同对称轴上;
其中合成磁场增加了所述工件支座表面附近的所述对称轴周围的等离子体离子密度径向分布的均匀性。
22.如权利要求21所述的反应器,其中多个高架螺线管磁体是同心的并在所述顶板之上以增大直径和降低轴向高度的方式顺序排列。
23.如权利要求21所述的反应器,其中:所述最外面的电磁体比所述最里面的电磁体在更大的径向区域上对等离子体施加相应更大的径向磁压;所述最里面的电磁体在限定在所述室中央附近的区域内的对等离子体施加径向磁压。
24.一种等离子体反应器,包括:
真空腔,该真空腔包括限定真空室的侧壁和顶板,及在所述室内且面向顶板用于支撑平面工件的工件支座,所述工件支座和所述顶板共同限定工件支座和顶板间的工作区域;
工作气体进气口,用于将工作气体供入到所述反应室;
RF功率发生器和等离子源功率电极,该电极连到RF功率发生器上,用来将等离子源功率电容耦合到反应室以维持所述室内的等离子体;
高架螺线管磁体,该磁体在所述室的外部并面对所述顶板的外表面,且所述高架螺线管磁体、所述顶板、所述侧壁和所述工件支座位于一共同对称轴上;
所述高架螺线管磁体,该磁体在室内有一直流磁场,该磁场有一足够大的半径分量来增加所述工件支座的表面附近的所述对称轴周围的等离子体离子密度径向分布的均匀性。
25.一种等离子体反应器,包括:
真空腔,该真空腔包括限定真空室的侧壁和顶板,及在所述室内且面向顶板用于支撑平面工件的工件支座,所述工件支座和所述顶板共同限定工件支座和顶板间的工作区域;
工作气体进气口,用于将工作气体供入到所述反应室;
RF功率发生器和等离子源功率电极,该电极连到RF功率发生器上,用来将等离子源功率电容耦合到反应室以维持所述室内的等离子体;
多个邻近所述顶板的高架螺线管电磁体,用以在所述室内产生一合成磁场,该磁场含有由各个所述多个螺线管电磁体产生的单个磁场的总和,多个电流在所述螺线管电磁体中流动,所述合成磁场由所述多个电流确定,其中所述高架螺线管、所述顶板、所述侧壁和所述工件支座位于一共同对称轴上;所述多个螺线管电磁体同心并且在所述顶板上面按直径增大和轴向高度降低的方式顺序排列;
等离子体操纵控制器,该控制器连到所述多个螺线管电磁体上并为所述螺线管电磁体提供所述多个电流;
当等离子体被主要定向在所述晶片支座的晶片上时,所述多个电流构成第一组电流;
当等离子体被主要定向到所述顶板上时,所述多个电流构成不同于所述第一组电流的第二组电流。
26.如权利要求25所述的反应器,其中:所述最外面的电磁体比所述最里面的电磁体在更大的径向区域上的对等离子体施加相应更大的径向磁压;所述最里面的电磁体在限定在所述室中央附近的区域内对等离子体施加径向磁压。
27.如权利要求25所述的反应器,其中当等离子体被定向到所述侧壁时,所述多个电流构成不同于所述第一和第二组电流的第三组电流。
28.如权利要求27所述的反应器,其中在所述组电流的至少一组中,至少一电流的极性和其它极性相反。
29.如权利要求25所述的反应器,其中所述多个电流是直流电且所述合成磁场是静磁场。
30.如权利要求25所述的反应器,进一步包括:
多个螺线管旁磁体,有多个对称轴,其垂直于所述共同对称轴且一般从所述共同对称轴延伸,所述多个螺线管旁磁体用来在所述工作区域产生旋转磁场。
31.如权利要求30所述的反应器,其中所述多个螺线管旁磁体通常面向所述侧壁而所述多个高架螺线管磁体面对所述顶板。
32.如权利要求30所述的反应器,其中所述多个螺线管旁磁体是多个旁螺线管电磁体,所述反应器进一步包括电流发生器,将各个不同相位的各个低频螺线管电流施加到所述多个旁螺线管电磁体上因而产生所述旋转磁场。
CNB038168049A 2002-05-22 2003-05-22 磁等离子体控制电容耦合等离子体反应器 Expired - Fee Related CN100431086C (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US38319402P 2002-05-22 2002-05-22
US60/383,194 2002-05-22
US10/192,271 US6853141B2 (en) 2002-05-22 2002-07-09 Capacitively coupled plasma reactor with magnetic plasma control
US10/192,271 2002-07-09

Publications (2)

Publication Number Publication Date
CN1669108A true CN1669108A (zh) 2005-09-14
CN100431086C CN100431086C (zh) 2008-11-05

Family

ID=29552802

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB038168049A Expired - Fee Related CN100431086C (zh) 2002-05-22 2003-05-22 磁等离子体控制电容耦合等离子体反应器

Country Status (6)

Country Link
US (1) US6853141B2 (zh)
EP (1) EP1506563A1 (zh)
JP (1) JP4387299B2 (zh)
KR (1) KR100883875B1 (zh)
CN (1) CN100431086C (zh)
WO (1) WO2003100818A1 (zh)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101242702B (zh) * 2007-01-30 2013-01-16 应用材料公司 具有采用vhf源的离子分布均匀性控制器的等离子体反应器
CN102915902A (zh) * 2011-08-02 2013-02-06 中微半导体设备(上海)有限公司 一种电容耦合式的等离子体处理装置及其基片加工方法
CN103151235A (zh) * 2013-02-20 2013-06-12 上海华力微电子有限公司 一种提高刻蚀均匀性的装置
CN102150219B (zh) * 2008-07-28 2015-01-28 莱克公司 在射频场中使用网的离子操纵的方法和设备
CN104505327A (zh) * 2014-12-19 2015-04-08 中国科学院嘉兴微电子仪器与设备工程中心 一种应用于等离子体设备的腔室结构及等离子体设备
CN106104769A (zh) * 2014-04-09 2016-11-09 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
CN106664788A (zh) * 2014-08-19 2017-05-10 全面熔合有限公司 用于控制等离子体磁场的系统和方法
CN113924635A (zh) * 2019-05-29 2022-01-11 朗姆研究公司 用于均匀度调整的喷头插件

Families Citing this family (97)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070048882A1 (en) * 2000-03-17 2007-03-01 Applied Materials, Inc. Method to reduce plasma-induced charging damage
US8048806B2 (en) * 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
US8617351B2 (en) * 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
EP2506089A3 (en) * 2000-05-30 2012-12-19 Dai Nippon Printing Co., Ltd. Computer-generated hologram and its fabrication process, reflector using a computer-generated hologram, and reflective liquid crystal display
US7482757B2 (en) * 2001-03-23 2009-01-27 Tokyo Electron Limited Inductively coupled high-density plasma source
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US8048328B2 (en) * 2003-02-14 2011-11-01 Applied Materials, Inc. Method for shaping a magnetic field in a magnetic field-enhanced plasma reactor
US7883633B2 (en) * 2003-02-14 2011-02-08 Applied Materials, Inc. Method for shaping a magnetic field in a magnetic field-enhanced plasma reactor
US6921727B2 (en) * 2003-03-11 2005-07-26 Applied Materials, Inc. Method for modifying dielectric characteristics of dielectric layers
US7309448B2 (en) * 2003-08-08 2007-12-18 Applied Materials, Inc. Selective etch process of a sacrificial light absorbing material (SLAM) over a dielectric material
US7405521B2 (en) * 2003-08-22 2008-07-29 Lam Research Corporation Multiple frequency plasma processor method and apparatus
US7294224B2 (en) * 2003-12-01 2007-11-13 Applied Materials, Inc. Magnet assembly for plasma containment
US8317968B2 (en) * 2004-04-30 2012-11-27 Lam Research Corporation Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
JP4553247B2 (ja) * 2004-04-30 2010-09-29 東京エレクトロン株式会社 プラズマ処理装置
US20060118519A1 (en) * 2004-12-03 2006-06-08 Applied Materials Inc. Dielectric etch method with high source and low bombardment plasma providing high etch rates
US20060172542A1 (en) * 2005-01-28 2006-08-03 Applied Materials, Inc. Method and apparatus to confine plasma and to enhance flow conductance
CN100362619C (zh) 2005-08-05 2008-01-16 中微半导体设备(上海)有限公司 真空反应室的射频匹配耦合网络及其配置方法
CN1925074B (zh) * 2005-08-29 2010-08-11 北京北方微电子基地设备工艺研究中心有限责任公司 电感耦合线圈及其电感耦合等离子体装置
US7432210B2 (en) * 2005-10-05 2008-10-07 Applied Materials, Inc. Process to open carbon based hardmask
US7988872B2 (en) * 2005-10-11 2011-08-02 Applied Materials, Inc. Method of operating a capacitively coupled plasma reactor with dual temperature control loops
US8092638B2 (en) * 2005-10-11 2012-01-10 Applied Materials Inc. Capacitively coupled plasma reactor having a cooled/heated wafer support with uniform temperature distribution
US8157951B2 (en) * 2005-10-11 2012-04-17 Applied Materials, Inc. Capacitively coupled plasma reactor having very agile wafer temperature control
US8034180B2 (en) * 2005-10-11 2011-10-11 Applied Materials, Inc. Method of cooling a wafer support at a uniform temperature in a capacitively coupled plasma reactor
US7695633B2 (en) * 2005-10-18 2010-04-13 Applied Materials, Inc. Independent control of ion density, ion energy distribution and ion dissociation in a plasma reactor
US20070091540A1 (en) * 2005-10-20 2007-04-26 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor using multiple zone feed forward thermal control
TWI332532B (en) * 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7662723B2 (en) * 2005-12-13 2010-02-16 Lam Research Corporation Methods and apparatus for in-situ substrate processing
US7727413B2 (en) * 2006-04-24 2010-06-01 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source to control plasma ion density
US7780864B2 (en) * 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US7645357B2 (en) * 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US20070245958A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source for controlling ion radial distribution
US20070246163A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and inductive plasma sources
US8932430B2 (en) * 2011-05-06 2015-01-13 Axcelis Technologies, Inc. RF coupled plasma abatement system comprising an integrated power oscillator
US7932181B2 (en) * 2006-06-20 2011-04-26 Lam Research Corporation Edge gas injection for critical dimension uniformity improvement
US7837826B2 (en) * 2006-07-18 2010-11-23 Lam Research Corporation Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof
US7919722B2 (en) 2006-10-30 2011-04-05 Applied Materials, Inc. Method for fabricating plasma reactor parts
US7964818B2 (en) * 2006-10-30 2011-06-21 Applied Materials, Inc. Method and apparatus for photomask etching
US7780866B2 (en) * 2006-11-15 2010-08-24 Applied Materials, Inc. Method of plasma confinement for enhancing magnetic control of plasma radial distribution
US20080110567A1 (en) * 2006-11-15 2008-05-15 Miller Matthew L Plasma confinement baffle and flow equalizer for enhanced magnetic control of plasma radial distribution
US20080178803A1 (en) * 2007-01-30 2008-07-31 Collins Kenneth S Plasma reactor with ion distribution uniformity controller employing plural vhf sources
US7879731B2 (en) * 2007-01-30 2011-02-01 Applied Materials, Inc. Improving plasma process uniformity across a wafer by apportioning power among plural VHF sources
US7968469B2 (en) * 2007-01-30 2011-06-28 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with variable height ground return path to control plasma ion density uniformity
US7942965B2 (en) * 2007-03-19 2011-05-17 Applied Materials, Inc. Method of fabricating plasma reactor parts
US8375890B2 (en) 2007-03-19 2013-02-19 Micron Technology, Inc. Apparatus and methods for capacitively coupled plasma vapor processing of semiconductor wafers
US7972469B2 (en) * 2007-04-22 2011-07-05 Applied Materials, Inc. Plasma processing apparatus
US20080260966A1 (en) * 2007-04-22 2008-10-23 Applied Materials, Inc. Plasma processing method
US20080302303A1 (en) * 2007-06-07 2008-12-11 Applied Materials, Inc. Methods and apparatus for depositing a uniform silicon film with flow gradient designs
US7614871B2 (en) * 2007-07-12 2009-11-10 Husky Injection Molding Systems Ltd Rotary valve assembly for an injection nozzle
US8133819B2 (en) * 2008-02-21 2012-03-13 Applied Materials, Inc. Plasma etching carbonaceous layers with sulfur-based etchants
US8336891B2 (en) * 2008-03-11 2012-12-25 Ngk Insulators, Ltd. Electrostatic chuck
JP5551343B2 (ja) * 2008-05-14 2014-07-16 東京エレクトロン株式会社 誘導結合プラズマ処理装置
JP5292558B2 (ja) * 2008-12-24 2013-09-18 株式会社昭和真空 イオンガン
JP5391209B2 (ja) * 2009-01-15 2014-01-15 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP5705290B2 (ja) * 2009-01-15 2015-04-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP5572329B2 (ja) * 2009-01-15 2014-08-13 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ生成装置
JP5410950B2 (ja) * 2009-01-15 2014-02-05 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP5155235B2 (ja) * 2009-01-15 2013-03-06 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ生成装置
RU2503159C2 (ru) 2009-02-04 2013-12-27 Дженерал Фьюжен, Инк. Устройство для сжатия плазмы и способ сжатия плазмы
DK2251454T3 (da) 2009-05-13 2014-10-13 Sio2 Medical Products Inc Coating og inspektion af beholder
US9458536B2 (en) 2009-07-02 2016-10-04 Sio2 Medical Products, Inc. PECVD coating methods for capped syringes, cartridges and other articles
US8382939B2 (en) * 2009-07-13 2013-02-26 Applied Materials, Inc. Plasma processing chamber with enhanced gas delivery
JP5554099B2 (ja) * 2010-03-18 2014-07-23 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US11624115B2 (en) 2010-05-12 2023-04-11 Sio2 Medical Products, Inc. Syringe with PECVD lubrication
US8597462B2 (en) 2010-05-21 2013-12-03 Lam Research Corporation Movable chamber liner plasma confinement screen combination for plasma processing apparatuses
US8133809B2 (en) 2010-08-11 2012-03-13 Magic Technologies, Inc. Method to fabricate thin metal via interconnects on copper wires in MRAM devices
US9878101B2 (en) 2010-11-12 2018-01-30 Sio2 Medical Products, Inc. Cyclic olefin polymer vessels and vessel coating methods
US8431033B2 (en) * 2010-12-21 2013-04-30 Novellus Systems, Inc. High density plasma etchback process for advanced metallization applications
JP2012164766A (ja) * 2011-02-04 2012-08-30 Ulvac Japan Ltd エッチング装置
JP5720021B2 (ja) * 2011-03-15 2015-05-20 株式会社昭和真空 イオンガン
US9272095B2 (en) 2011-04-01 2016-03-01 Sio2 Medical Products, Inc. Vessels, contact surfaces, and coating and inspection apparatus and methods
TW202230814A (zh) 2011-05-05 2022-08-01 日商半導體能源研究所股份有限公司 半導體裝置及其製造方法
WO2012177900A1 (en) 2011-06-22 2012-12-27 Research Triangle Institute, International Bipolar microelectronic device
DE102011106433B4 (de) * 2011-07-04 2016-10-13 Integrated Dynamics Engineering Gmbh Integrierbare Magnetfeldkompensation für den Einsatz an Raster- und Transmissionselektronenmikroskopen, Schwingungsisolationssystem sowie Verfahren zum Abbilden, Untersuchen und / oder Bearbeiten einer Probe
KR101234594B1 (ko) * 2011-07-25 2013-02-19 피에스케이 주식회사 배플 및 이를 포함하는 기판 처리 장치
US20130105085A1 (en) * 2011-10-28 2013-05-02 Applied Materials, Inc. Plasma reactor with chamber wall temperature control
US11116695B2 (en) 2011-11-11 2021-09-14 Sio2 Medical Products, Inc. Blood sample collection tube
WO2013071138A1 (en) 2011-11-11 2013-05-16 Sio2 Medical Products, Inc. PASSIVATION, pH PROTECTIVE OR LUBRICITY COATING FOR PHARMACEUTICAL PACKAGE, COATING PROCESS AND APPARATUS
US9554968B2 (en) 2013-03-11 2017-01-31 Sio2 Medical Products, Inc. Trilayer coated pharmaceutical packaging
JP6009171B2 (ja) * 2012-02-14 2016-10-19 東京エレクトロン株式会社 基板処理装置
US9230779B2 (en) * 2012-03-19 2016-01-05 Lam Research Corporation Methods and apparatus for correcting for non-uniformity in a plasma processing system
CA2887352A1 (en) 2012-05-09 2013-11-14 Sio2 Medical Products, Inc. Saccharide protective coating for pharmaceutical package
US9664626B2 (en) 2012-11-01 2017-05-30 Sio2 Medical Products, Inc. Coating inspection method
WO2014078666A1 (en) 2012-11-16 2014-05-22 Sio2 Medical Products, Inc. Method and apparatus for detecting rapid barrier coating integrity characteristics
US9764093B2 (en) 2012-11-30 2017-09-19 Sio2 Medical Products, Inc. Controlling the uniformity of PECVD deposition
BR112015012470B1 (pt) 2012-11-30 2022-08-02 Sio2 Medical Products, Inc Método de produção de um tambor médico para um cartucho ou seringa médica
EP2961858B1 (en) 2013-03-01 2022-09-07 Si02 Medical Products, Inc. Coated syringe.
US9937099B2 (en) 2013-03-11 2018-04-10 Sio2 Medical Products, Inc. Trilayer coated pharmaceutical packaging with low oxygen transmission rate
WO2014144926A1 (en) 2013-03-15 2014-09-18 Sio2 Medical Products, Inc. Coating method
WO2015148471A1 (en) 2014-03-28 2015-10-01 Sio2 Medical Products, Inc. Antistatic coatings for plastic vessels
JP2018523538A (ja) 2015-08-18 2018-08-23 エスアイオーツー・メディカル・プロダクツ・インコーポレイテッド 低酸素透過速度を有する薬剤包装及び他の包装
US10811233B2 (en) * 2016-08-13 2020-10-20 Applied Materials, Inc. Process chamber having tunable showerhead and tunable liner
JP6462072B2 (ja) * 2017-09-01 2019-01-30 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US10811144B2 (en) 2017-11-06 2020-10-20 General Fusion Inc. System and method for plasma generation and compression
KR102065349B1 (ko) * 2018-05-31 2020-01-13 세메스 주식회사 기판 처리 방법 및 장치
US11127572B2 (en) * 2018-08-07 2021-09-21 Silfex, Inc. L-shaped plasma confinement ring for plasma chambers
CN109541084B (zh) * 2018-11-28 2021-11-16 湖北航天化学技术研究所 一种动态分析检测气相产物的装置
CN109518136B (zh) * 2019-01-24 2020-11-27 成都京东方光电科技有限公司 蒸镀结构、蒸镀系统及蒸镀结构的使用方法

Family Cites Families (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59175125A (ja) * 1983-03-24 1984-10-03 Toshiba Corp ドライエツチング装置
CN1027549C (zh) * 1985-10-14 1995-02-01 株式会社半导体能源研究所 利用磁场的微波增强型cvd系统和方法
US5006760A (en) 1987-01-09 1991-04-09 Motorola, Inc. Capacitive feed for plasma reactor
EP0343500B1 (en) 1988-05-23 1994-01-19 Nippon Telegraph And Telephone Corporation Plasma etching apparatus
JPH0227718A (ja) * 1988-07-15 1990-01-30 Mitsubishi Electric Corp プラズマ処理方法およびそれに用いるプラズマ処理装置
US5055853A (en) 1988-10-03 1991-10-08 Garnier Robert C Magnetic frill generator
US5107170A (en) * 1988-10-18 1992-04-21 Nissin Electric Co., Ltd. Ion source having auxillary ion chamber
US5089083A (en) 1989-04-25 1992-02-18 Tokyo Electron Limited Plasma etching method
US5225024A (en) 1989-05-08 1993-07-06 Applied Materials, Inc. Magnetically enhanced plasma reactor system for semiconductor processing
US5122251A (en) * 1989-06-13 1992-06-16 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
DE3923661A1 (de) 1989-07-18 1991-01-24 Leybold Ag Schaltungsanordnung fuer die anpassung der impedanz einer plasmastrecke an einen hochfrequenzgenerator
US5312778A (en) 1989-10-03 1994-05-17 Applied Materials, Inc. Method for plasma processing using magnetically enhanced plasma chemical vapor deposition
CN1028588C (zh) * 1990-03-23 1995-05-24 四川大学 微波等离子体的产生方法和装置
US5376211A (en) 1990-09-29 1994-12-27 Tokyo Electron Limited Magnetron plasma processing apparatus and processing method
US5246532A (en) 1990-10-26 1993-09-21 Mitsubishi Denki Kabushiki Kaisha Plasma processing apparatus
JP2501948B2 (ja) 1990-10-26 1996-05-29 三菱電機株式会社 プラズマ処理方法及びプラズマ処理装置
US6036877A (en) * 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US5175472A (en) 1991-12-30 1992-12-29 Comdel, Inc. Power monitor of RF plasma
US5273610A (en) 1992-06-23 1993-12-28 Association Institutions For Material Sciences, Inc. Apparatus and method for determining power in plasma processing
US5325019A (en) 1992-08-21 1994-06-28 Sematech, Inc. Control of plasma process by use of harmonic frequency components of voltage and current
JP2972477B2 (ja) 1993-01-27 1999-11-08 日本電気株式会社 Rf・ecrプラズマエッチング装置
TW249313B (zh) 1993-03-06 1995-06-11 Tokyo Electron Co
US5662770A (en) 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
WO1995008182A1 (en) 1993-09-17 1995-03-23 Isis Innovation Limited Rf plasma reactor
US5467013A (en) 1993-12-07 1995-11-14 Sematech, Inc. Radio frequency monitor for semiconductor process control
US5463525A (en) 1993-12-20 1995-10-31 International Business Machines Corporation Guard ring electrostatic chuck
US5685914A (en) 1994-04-05 1997-11-11 Applied Materials, Inc. Focus ring for semiconductor wafer processing in a plasma reactor
US5556549A (en) 1994-05-02 1996-09-17 Lsi Logic Corporation Power control and delivery in plasma processing equipment
US5474648A (en) 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
US5576629A (en) 1994-10-24 1996-11-19 Fourth State Technology, Inc. Plasma monitoring and control method and system
US5792376A (en) 1995-01-06 1998-08-11 Kabushiki Kaisha Toshiba Plasma processing apparatus and plasma processing method
JP3778299B2 (ja) 1995-02-07 2006-05-24 東京エレクトロン株式会社 プラズマエッチング方法
US5997962A (en) 1995-06-30 1999-12-07 Tokyo Electron Limited Plasma process utilizing an electrostatic chuck
US5907221A (en) * 1995-08-16 1999-05-25 Applied Materials, Inc. Inductively coupled plasma reactor with an inductive coil antenna having independent loops
JP3238082B2 (ja) 1996-05-16 2001-12-10 シャープ株式会社 電子デバイス製造装置
US5770922A (en) 1996-07-22 1998-06-23 Eni Technologies, Inc. Baseband V-I probe
US6113731A (en) 1997-01-02 2000-09-05 Applied Materials, Inc. Magnetically-enhanced plasma chamber with non-uniform magnetic field
JP3582287B2 (ja) 1997-03-26 2004-10-27 株式会社日立製作所 エッチング装置
US6174450B1 (en) 1997-04-16 2001-01-16 Lam Research Corporation Methods and apparatus for controlling ion energy and plasma density in a plasma processing system
US6291999B1 (en) 1997-09-30 2001-09-18 Daihen Corp. Plasma monitoring apparatus
US5971591A (en) 1997-10-20 1999-10-26 Eni Technologies, Inc. Process detection system for plasma process
US6251216B1 (en) 1997-12-17 2001-06-26 Matsushita Electronics Corporation Apparatus and method for plasma processing
US5929717A (en) 1998-01-09 1999-07-27 Lam Research Corporation Method of and apparatus for minimizing plasma instability in an RF processor
US6449568B1 (en) 1998-02-27 2002-09-10 Eni Technology, Inc. Voltage-current sensor with high matching directivity
JP3818561B2 (ja) 1998-10-29 2006-09-06 エルジー フィリップス エルシーディー カンパニー リミテッド シリコン酸化膜の成膜方法および薄膜トランジスタの製造方法
US6213050B1 (en) * 1998-12-01 2001-04-10 Silicon Genesis Corporation Enhanced plasma mode and computer system for plasma immersion ion implantation
US6188564B1 (en) 1999-03-31 2001-02-13 Lam Research Corporation Method and apparatus for compensating non-uniform wafer processing in plasma processing chamber
JP3374796B2 (ja) 1999-08-06 2003-02-10 松下電器産業株式会社 プラズマ処理方法及び装置
US6262538B1 (en) 1999-08-26 2001-07-17 International Business Machines Corporation High density plasma tool with adjustable uniformity and stochastic electron heating for reduced gas cracking
US6451703B1 (en) 2000-03-10 2002-09-17 Applied Materials, Inc. Magnetically enhanced plasma etch process using a heavy fluorocarbon etching gas
US6528751B1 (en) 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US6325019B1 (en) * 2000-06-19 2001-12-04 Bruce E. Taber Squirrel-proof birdfeeder
US6462481B1 (en) * 2000-07-06 2002-10-08 Applied Materials Inc. Plasma reactor having a symmetric parallel conductor coil antenna

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101242702B (zh) * 2007-01-30 2013-01-16 应用材料公司 具有采用vhf源的离子分布均匀性控制器的等离子体反应器
CN102150219B (zh) * 2008-07-28 2015-01-28 莱克公司 在射频场中使用网的离子操纵的方法和设备
CN102915902A (zh) * 2011-08-02 2013-02-06 中微半导体设备(上海)有限公司 一种电容耦合式的等离子体处理装置及其基片加工方法
CN102915902B (zh) * 2011-08-02 2015-11-25 中微半导体设备(上海)有限公司 一种电容耦合式的等离子体处理装置及其基片加工方法
CN103151235A (zh) * 2013-02-20 2013-06-12 上海华力微电子有限公司 一种提高刻蚀均匀性的装置
CN103151235B (zh) * 2013-02-20 2016-01-27 上海华力微电子有限公司 一种提高刻蚀均匀性的装置
CN106104769A (zh) * 2014-04-09 2016-11-09 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
CN106104769B (zh) * 2014-04-09 2019-07-19 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
CN106664788A (zh) * 2014-08-19 2017-05-10 全面熔合有限公司 用于控制等离子体磁场的系统和方法
CN106664788B (zh) * 2014-08-19 2019-01-08 全面熔合有限公司 用于控制等离子体磁场的系统和方法
CN104505327A (zh) * 2014-12-19 2015-04-08 中国科学院嘉兴微电子仪器与设备工程中心 一种应用于等离子体设备的腔室结构及等离子体设备
CN113924635A (zh) * 2019-05-29 2022-01-11 朗姆研究公司 用于均匀度调整的喷头插件

Also Published As

Publication number Publication date
KR20040111674A (ko) 2004-12-31
JP2005527119A (ja) 2005-09-08
US20030218427A1 (en) 2003-11-27
WO2003100818A1 (en) 2003-12-04
KR100883875B1 (ko) 2009-02-17
JP4387299B2 (ja) 2009-12-16
CN100431086C (zh) 2008-11-05
US6853141B2 (en) 2005-02-08
EP1506563A1 (en) 2005-02-16

Similar Documents

Publication Publication Date Title
CN1669108A (zh) 磁等离子体控制电容耦合等离子体反应器
CN1812683A (zh) 改善等离子体均匀性和减少器件损伤的等离子体反应室
CN1314072C (zh) 抑制电弧放电的对应等离子体喷头rf顶电极调谐的merie等离子体反应器
US9945033B2 (en) High efficiency inductively coupled plasma source with customized RF shield for plasma profile control
US6568346B2 (en) Distributed inductively-coupled plasma source and circuit for coupling induction coils to RF power supply
CN1823180A (zh) 具有均匀轴向分布的等离子体的电容耦合等离子体反应器
CN103681304B (zh) 电容耦合等离子体处理装置中的喷头电极组件
JP5580512B2 (ja) プラズマ放射分布の磁気コントロール増強のためのプラズマ閉じ込めバッフルおよび流量平衡器
TWI283899B (en) Capacitively coupled plasma reactor with magnetic plasma control
CN101194338B (zh) 具有多个异相电极的高频等离子体源
CN102106192B (zh) 场加强感应耦合等离子体(fe-icp)反应器
US7776156B2 (en) Side RF coil and side heater for plasma processing apparatus
US20130154175A1 (en) Process kit components for use with an extended and independent rf powered cathode substrate for extreme edge tunability
CN101038859A (zh) 等离子体处理装置及其所使用的电极
CN1896301A (zh) 溅射源、包含该溅射源的装置以及用其制造平板的方法
CN1614746A (zh) 螺旋谐振器型等离子体处理设备
US10115566B2 (en) Method and apparatus for controlling a magnetic field in a plasma chamber
CN1292623C (zh) 产生等离子的等离子源线圈和使用等离子源的等离子腔
CN106463449A (zh) 具有凸起的顶板及冷却通道的静电夹盘
CN101064986A (zh) 结合有多重磁芯的电感耦合等离子体反应器
CN1745463A (zh) 等离子体处理装置和等离子体处理装置用的电极板和电极板制造方法
CN101188189A (zh) 增强磁控制等离子体径向分布的约束挡板和流动均衡器
US20190043698A1 (en) Electrostatic shield for substrate support
CN1870851A (zh) 具有放电感应电桥的等离子源及利用其的等离子处理系统
US20230203659A1 (en) Pedestal for substrate processing chambers

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20081105

Termination date: 20150522

EXPY Termination of patent right or utility model