CN1671466A - 蒸发器输送安瓿 - Google Patents

蒸发器输送安瓿 Download PDF

Info

Publication number
CN1671466A
CN1671466A CNA038177161A CN03817716A CN1671466A CN 1671466 A CN1671466 A CN 1671466A CN A038177161 A CNA038177161 A CN A038177161A CN 03817716 A CN03817716 A CN 03817716A CN 1671466 A CN1671466 A CN 1671466A
Authority
CN
China
Prior art keywords
container
ampoule
delivery system
carrier gas
vapor delivery
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA038177161A
Other languages
English (en)
Other versions
CN100438960C (zh
Inventor
约翰·格雷格
斯科特·巴特勒
杰弗里·I·邦东
多恩·纳伊托
玛丽安娜·富耶雷尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Entegris Inc
Original Assignee
Advanced Technology Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Technology Materials Inc filed Critical Advanced Technology Materials Inc
Publication of CN1671466A publication Critical patent/CN1671466A/zh
Application granted granted Critical
Publication of CN100438960C publication Critical patent/CN100438960C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • C23C16/4483Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material using a porous body
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F17STORING OR DISTRIBUTING GASES OR LIQUIDS
    • F17CVESSELS FOR CONTAINING OR STORING COMPRESSED, LIQUEFIED OR SOLIDIFIED GASES; FIXED-CAPACITY GAS-HOLDERS; FILLING VESSELS WITH, OR DISCHARGING FROM VESSELS, COMPRESSED, LIQUEFIED, OR SOLIDIFIED GASES
    • F17C11/00Use of gas-solvents or gas-sorbents in vessels
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F17STORING OR DISTRIBUTING GASES OR LIQUIDS
    • F17CVESSELS FOR CONTAINING OR STORING COMPRESSED, LIQUEFIED OR SOLIDIFIED GASES; FIXED-CAPACITY GAS-HOLDERS; FILLING VESSELS WITH, OR DISCHARGING FROM VESSELS, COMPRESSED, LIQUEFIED, OR SOLIDIFIED GASES
    • F17C3/00Vessels not under pressure
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F17STORING OR DISTRIBUTING GASES OR LIQUIDS
    • F17CVESSELS FOR CONTAINING OR STORING COMPRESSED, LIQUEFIED OR SOLIDIFIED GASES; FIXED-CAPACITY GAS-HOLDERS; FILLING VESSELS WITH, OR DISCHARGING FROM VESSELS, COMPRESSED, LIQUEFIED, OR SOLIDIFIED GASES
    • F17C3/00Vessels not under pressure
    • F17C3/02Vessels not under pressure with provision for thermal insulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F17STORING OR DISTRIBUTING GASES OR LIQUIDS
    • F17CVESSELS FOR CONTAINING OR STORING COMPRESSED, LIQUEFIED OR SOLIDIFIED GASES; FIXED-CAPACITY GAS-HOLDERS; FILLING VESSELS WITH, OR DISCHARGING FROM VESSELS, COMPRESSED, LIQUEFIED, OR SOLIDIFIED GASES
    • F17C2203/00Vessel construction, in particular walls or details thereof
    • F17C2203/03Thermal insulations
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E60/00Enabling technologies; Technologies with a potential or indirect contribution to GHG emissions mitigation
    • Y02E60/30Hydrogen technology
    • Y02E60/32Hydrogen storage
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S261/00Gas and liquid contact apparatus
    • Y10S261/65Vaporizers

Abstract

本发明公开一种半导体制造过程中所用的蒸发器输送系统(10),其包括用于容纳可蒸发的源材料的多个垂直堆叠的容器(22)。每个垂直堆叠的容器包括延伸进入每个堆叠容器(22)内部(27)中的多个通风突起物(30),从而提供用于使载气在相邻的垂直堆叠容器(22)之间通过的通道。

Description

蒸发器输送安瓿
发明背景
发明领域
本发明涉及一种蒸发器,特别涉及一种蒸发器输送系统,其包括多个容器以增大用于蒸发液体和固体材料的表面积,该材料例如是在化学气相沉积(CVD)、原子层化学气相沉积(ALCVD)和离子注入方法中所用的液体和固体反应物。
相关领域说明
在半导体晶片处理中,化学气相沉积(CVD)被广泛用于制备薄膜和涂层。由于CVD例如具有可提供高保形和高质量薄膜的能力,并且处理时间相对较高,所以在许多方面中其是有利的沉积方法。此外,CVD在涂覆不规则形状基底中也是有利的,包括即使对于深接触和其他开口时也可提供高保形薄膜。
一般地,CVD技术包括在有利于所需反应的温度和压力条件下,将气态反应物输送至发生化学反应的基底表面上。可利用CVD形成的各层的类型和组分受将反应物或反应物前体输送至基底表面上的能力的限制。通过在载气中输送液体反应物可将各种液体反应物和前体成功地用于CVD应用中。在液体反应物CVD系统中,通常以可控制的速率使载气鼓泡通过液体反应物容,从而用液体反应物饱和载气,然后将饱和的载气输送至反应室。
对将固体反应物输送到CVD反应室进行了相似的试验,但成功更小。在CVD处理中使用升华器/起泡器方法输送固体前体,其中前体通常置于升华器/起泡器存储器中,然后将前体加热至其升华温度,从而使其转变成可用载气如氢、氦、氩或氮输送至CVD反应器的气态化合物。然而,由于多种原因,这种过程在将固体前体输送至反应室时的可靠性和再现性方面没有取得成功。这种方法的主要问题集中在不能以可控制的速率均匀地蒸发固体,以使蒸发的固体前体的可再现流被输送到处理室。此外,由于固体前体在蒸发器系统中有限的暴露表面积及缺少均匀温度以提供最大程度的升华,所以难于使快速流动的载气流完全饱和。
在常规离子注入系统中固有存在相似的问题,该系统包括离子源,其中掺杂剂元素被离子化,随后被加速,形成定向于被注入的工作表面的离子束。当使用固体掺杂剂材料,其通常置于待加热的蒸发器内,随后形成的蒸气被输送到用于离子化的离子源的内部,随后形成离子束。
由于安全原因,固体离子源材料是极为优选的,然而,固体半导体掺杂剂存在严重的技术和使用问题。例如,在蒸发器中使用固体前体材料会增长仪器的故障时间,产品质量较差,蒸发器内会积聚沉积物。
现有技术中的蒸发器系统有许多缺点,包括蒸发器内冷凝材料的积聚,由于蒸发器中没有均匀加热在其内部形成“冷点”。不需要的沉积物的积聚在蒸发器系统中加剧,其需要用以旋转小瓶的内部移动表面和/或源材料的壁。这些内部结构会在蒸发器内产生额外的“冷点”,并使蒸发的材料进一步沉积。此外,由于沉积物在内部移动结构上的积聚,所以不能有效和可靠地操作这些蒸发器。对于在低蒸气压下温度敏感性的固体源材料而言,现有技术的蒸发器的缺点特别明显。因此,难于以可控制的速率蒸发固体,以使蒸发的固体前体的可再现流被输送到下流沉积系统。
因此,本领域中需要可有效地蒸发固体和/或液体化学物质而不会出现现有技术中的缺点的蒸发器系统,如源材料的热分离,由于蒸发器内沉积物的积聚而不可操作内部移动组件,由于蒸发器内的“冷点”低蒸气压化合物的冷凝,和/或不均匀的蒸气流至下流沉积系统。
发明概述
本发明涉及一种特别适用于半导体制造应用的用于蒸发固体和液体化学物质的蒸发器系统和方法。
在一个方面中,本发明涉及一种用于蒸发和输送源材料的蒸气输送系统,其可提供充足的表面积及均匀的载气流动,从而满足通常沉积应用所需的流速,其包括:
a)至少一个用于容纳可蒸发的源材料的容器;
b)位于该容器中的多个通风突起物,其中该通风突起物包括用于使该可蒸发的源材料通过的通道;及
c)用于使载气流通过该容器的载气管道。
在另一方面中,本发明提供一种用于蒸发和输送前体的蒸气输送系统,其包括:
a)安瓿,其包括安瓿底部、侧壁和可拆卸的顶部,以形成内部安瓿室;
b)与该安瓿连接的气体进口和气体出口;
c)位于该内部安瓿室中的至少一个容器,其中该容器包括容器底部和侧壁,以形成容器腔;及
d)至少位于容器底部上的多个通风突起物,以提供通过其中的通道,其中该通风突起物延伸进该容器腔。
如下文更充分说明的那样,该前体可以包括固体或液体源材料。优选地,该前体是固体前体,包括但不限于癸硼烷、硼、磷、镓、铟、铜、锑、四氯化铪、四氯化锆、砷、三氯化铟、金属有机β-二酮酸酯配合物、环戊二烯基环庚三烯基钛(CpTiCht)、三氯化铝、碘化钛、环辛四烯基环戊二烯基钛、双环戊二烯基钛二叠氮化物及羰基钨。
在另一方面中,本发明涉及一种蒸发器单元,其可在使处理工具改变最小的情况下插进常规安瓿中,其包括:
a)多个垂直堆叠的容器,其中每个容器包括用于容纳源材料的腔;
b)位于每个垂直堆叠的容器中并延伸进每个各自的腔中的多个通风突起物,其中该通风突起物在相邻的垂直堆叠的容器间形成用于使载气通过的通道;及
c)与多个垂直堆叠的容器接触并延伸通过每个垂直堆叠的容器的腔的载气管道。
在另一方面中,本发明提供一种蒸发器,其包括:
a)安瓿,其包括安瓿底部和安瓿侧壁,以形成内室;
b)封闭该内室的安瓿顶部;
c)与该安瓿顶部连接的气体进口和出口;
d)位于该内室中多个垂直堆叠的接触容器,其中每个容器包括容器底部和容器侧壁,以形成用于容纳固体前体材料的容器腔,及其中每个容器侧壁与该安瓿侧壁接触;
e)位于每个容器底部上的多个通风突起物,以在相邻的垂直堆叠的接触容器间提供通道,其中该通风突起物延伸进容器腔;
f)位于该内室中并与该气体进口连接用于将载气输送至该垂直堆叠的接触容器下面的载气汲取管;及
g)用于加热该安瓿的装置。
在另一方面中,本发明涉及一种容器,其包括随着锥形突起物延伸进该容器腔而缩窄的圆锥形孔。这种突起物结构基本上可使载气单向通过位于该安瓿中的多个垂直堆叠的容器。
在另一方面中,本发明提供一种将源材料蒸发和输送至下流处理室的方法,该方法包括:
a)提供多个相互连接的垂直堆叠的容器,其中含有可蒸发的源材料,其中每个相互连接的垂直堆叠的容器包括多个带通道的突起物;
b)将该相互连接的垂直堆叠的容器置于可密封的安瓿内;
c)加热该可密封的安瓿,热量足以蒸发其中的源材料;及
d)使载气通过该可密封的安瓿,以使该蒸发的源材料通过该可密封的安瓿进入该处理室。
从下面的说明书和所附的权利要求书中,可以更清楚本发明的其他各方面和特征。
附图简要说明
图1是本发明一个实施方案的蒸发器的立体图。
图2是本发明位于安瓿中的容器中的多个通风突起物的俯视图。
图3是容器的侧视图,表明本发明的多个圆柱形突起物。
图4是容器的侧视图,表明多个本发明的圆锥形突起物。
图5是本发明多个垂直堆叠的容器的侧视图。
图6是本发明蒸发器输送系统的简化示意图。
图7是曲线图,表明当载气流过本发明的安瓿时,加热安瓿和感测容器单元内的温度的结果。
图8是曲线图,表明加热安瓿和感测容器单元内和本发明安瓿内的突起物的温度的结果。
图9是容器的侧视图,表明多个包括侧面通风孔的圆锥形突起物。
图10表明本发明可选择的实施方案。
发明详细说明及其优选实施方案
本发明是基于下述的观察:在蒸发器系统中所用的某些源材料不能以足量被充分蒸发以满足的通常沉积应用所需的流速。在某些情况下,为实现所需的流速,超过200℃的高温已被用来提供升华速率,这会导致源材料分解。
本发明一个实施方案的蒸发器如图1所示,其克服了现有技术中的蒸发器的缺陷。蒸发器输送系统10包括由适合的导热材料制成的安瓿12,例如银、银合金、铜、铜合金、铝、铝合金、铅、包镍、不锈钢、石墨和/或陶瓷材料。安瓿包括底部14和侧壁16,从而形成内室。安瓿可以是有助于载气通过安瓿均匀流动的任何形状,如所示的圆柱形。安瓿基座优选的形状是制成极精确公差的圆柱形,从而仅允许精密制造的容器具有1/1000th~3/1000th英寸的间隙。容器安装需要各壁及为平行以确保容器侧壁与安瓿基座内壁有良好的热接触。可以理解,需要封闭公差确保容器与安瓿内壁均匀接触,容器单元的安装和取出过程可能分别需要加热和/或冷却基座和容器,以便容易安装。
载气进口20优选位于安瓿顶部18上,并与安瓿连接以将载气输送到安瓿。
多个垂直堆叠的容器22位于安瓿内室中。堆叠的容器彼此分开,并可从安瓿中取出,以便于清洗和再充填。内部载气组件23位于安瓿内,其与气体进口20连接(焊接),并将载气输送到内室底部和垂直堆叠的容器的最低容器下面。在图1中,内部载气组件23通过每个容器腔27(图3所示)和容器底部24。然而,应该理解为确保在内部载气组件与容器底部交叉的位置防漏密封,密封O-环38(如图5所示)可位于各容器之间,特别是在源材料是液体时。也可在每个容器(塔板)侧壁的上表面上提供外部o-环以在各容器间密封。
此外,如果使用固体前体,需要阻止载气流中夹带固体。高纯的不锈玻璃料(孔尺寸可能为1~100微米)可加到载气流中的任何位置。玻璃料可设置在每个突起物的“进口”,较大的圆盘玻璃料可加到上面塔板上,并通过在安瓿上安装的盖子产生的压力来密封,或加到出口气体通道上。
如图3所示,每个容器22包括底部24和侧壁26,从而形成容纳优选的源材料28的容器腔27。容器优选由非反应性的导热材料制成,例如银、银合金、铜、铜合金、铝、铝合金、铅、包镍、不锈钢、石墨和/或陶瓷材料。
每个容器还包括多个突起物30,其中每个突起物包括用以使载气通过突起物的通道32。突起物的形状可以是能够使气体容易流过其中的任何构形。优选地,突起物是如图3和图4所示的圆柱或圆锥形。
图4表明具有普通漏斗状构形的突起物30,其包括随着锥形突起物延伸进容器腔27而缩窄的圆锥形孔。这种圆锥形孔可使载气从相邻的低容器通过较大的孔开口34进入容器腔(较小孔36),同时减小载气回流进较低的相邻容器。重要的是,保持以多种半导体处理系统所需的流速单向流过堆叠的容器,可提高用蒸发的源材料饱和的载气的流动。随着含有蒸发的源材料的载气从安瓿底部向上流过锥形突起物,当气体分子压向突起物壁时,产生涡流作用。这种涡流作用使载气快速通过突起物,从而使蒸发的源材料与锥形突起物的加热的壁接触。因此,蒸发的源材料与加热的容器保持接触,并减小蒸发的材料的沉积和/或阻塞突起物中的通道。
可以延长突起物,使其与容器表面连接,如商业上可得到的通风不锈钢螺孔,这样可最优化处理或升华速率。可选择地,突起物形成作为容器底部的一部分,这样对突起物的热传递最大。优选地,每个突起物的高度约与容器侧壁相同高度或更小。更优选地,每个突起物的高度小于容器侧壁的高度,从而在突起物未端上方提供用于在各容器内气体分散和循环的顶部空间。可选择地,容器和突起物可在每个容器中形成流化床。载气流可以进入固体表面之下的容器,可以理解如图10所示每个容器中的孔尺寸足以容纳固体前体。另一个实施方案涉及如图9所示的突起物,其侧壁包括用以使载气通过固体前体的通风孔,用以将其流化。
突起物其高度应可提供容纳足量源材料的防漏区,而不论是液体或固体,从而提供所需的蒸发的材料,而不会通过突起物的开孔32泄漏进下面的容器。优选地,每个突起物从容器底部垂直延伸约0mm~约5mm,更优选约为1.5mm~3.0mm。
如图1所示,每个容器中突起物的配置与相邻容器中的突起物略微偏移,从而使载气在容器内循环,以在蒸发的源材料通过突起物被输送至下一级容器之前与其接触。有利的是,由于蒸发器系统中源材料的量得以提高,所以载气的多级接触使载气的饱和速率提高。
取决于输送至下流CVD装置或离子注入系统的蒸发的气体的量,蒸发器输送系统10的尺寸不同。蒸发器其尺寸通常与内径约为3~6英寸、优选约为3.75英寸的圆柱体相应。包括堆叠容器的容器数量由蒸发器尺寸来确定,优选在安瓿中带有3~5个容器。
根据源材料的种类和所供应的量、蒸发的气体的浓度及其他操作条件,本发明包括多个内部容器的安瓿可被加热并保持在所需的温度。可以使用环绕蒸发器的条带式加热器、具有包围蒸发器形状的块状加热器、或循环热空气、或液体加热介质进行加热。对加热方法没有特别限制,只要蒸发器能被加热并能精确地保持在所需的温度。需要从侧壁和底部加热安瓿,以减小较低容器在高温升华并形成冷却的顶部容器,而在冷却容器中可能冷凝引起阻塞。优选的热传递是从安瓿侧壁到容器侧壁。取决于加热方法、加热的载气进口管的长度及载气流速,也可能需要预加热载气。
有利的是,本发明的蒸发器输送系统可提供一系列加热的容器,其还提供用于提高加热的表面积的多个加热的突起物,从而由于热量分布被提高而使固体源材料升华。优选的是安瓿具有较大的热质,从而在处理过程中保持更均匀的温度。由于较大热质可使固体源材料保持从固体态至蒸气态的升华,所以本发明被设计成具有较大的热质。在给定温度下,固体的蒸气压是界面处的材料的分压,即在给定时间时,在固体表面冷凝的多个分子与从表面升华的分子数量相同。如果通过载气从固体/气体界面除去气态分子,那么平衡被破坏。如果在足够热量供应至固体表面以补充升华所需的潜在热量,那么升华以较高速率发生以恢复平衡。通过提供多个加热的突起物,全部导热的容器用作加热的表面,从而提高升华速率,使饱和载气的流速增加,并降低蒸发的源材料沉积而阻塞带通道的突起物。
取决于下流CVD装置或离子注入系统的操作条件、蒸气压和源材料的量,蒸发器的温度不同。温度通常约为40~300℃。
本发明的蒸发器输送系统还可以包括:用以供应载气和输送蒸发的气体并与蒸发器连接的管道;及用于测量压力和温度的调节阀。可以提供加热器以保持气体供应管道和将蒸发的材料输送至下流半导体制造处理室的管道中的温度。优选下流管道比安瓿热5-10℃,以防止在管道中冷凝。
本发明蒸发器系统的方法包括在安瓿内堆叠容器前将源材料加到容器中。源材料可以是固体、液体或溶解在溶剂中的固体。此外,可利用任何方法以薄膜形式将源材料涂覆在容器和容器腔内突起物的表面,包括通过加热熔融金属配合物、将熔融的金属配合物涂覆至支撑物上、然后冷却的方法。此外,金属配合物可被溶解在溶剂中,并涂覆到容器和突起物的表面,然后减压下除去溶剂。如果在下流处理系统中使用不同的源材料,那么本发明具有将不同源材料加到垂直堆叠的容器中的不同容器中的能力。
如图5所示,蒸发器单元44包括与内部气体输送组件23接触的多个垂直堆叠的容器,并置于安瓿中。安瓿顶部盖子18(如图1和图2所示)位于所示导热安瓿的顶部,并通过如o-环组件(o-环可由聚四氟乙烯、弹性体或金属密封件制成)和/或机械扣件如螺丝与其密封。安装加热安瓿的装置,提高安瓿和接触容器内的内温至足以蒸发所含的源材料的温度。由于纯载体进入塔板,而部分或完全饱和的载气进入其上的容器,所以在第一或最低容器上升华速率最大。同样,需要在底部容器上加载更多的前体和/或提高容器的高度尺寸,以使处理工具中的安瓿具有更均匀的表面积。
用作源材料的固体通过升华过程被蒸发,这通过加热导热安瓿的壁来实现,其优选与由导热材料制成的多个垂直堆叠的容器接触。升华过程使固体例如癸硼烷从固态转变到蒸气态而没有经过中间的液态。本发明对于适用的固体源材料是有效的,例如升华温度约为20℃~约300℃、蒸气压约为10-2约103Torr的固体材料。
可通过设置可控制温度操作的任何热量调节系统来控制蒸发器内的温度,包括但不限于电热丝式加热器、辐射加热器、加热套、循环流体加热器、电阻加热系统、感应加热系统等。此外,可通过设置与导热安瓿和/或容器表面接触的热电偶、热敏电阻或任何其他适合的温度感测接头或装置来感测安瓿内的温度。
为在容器缺少源材料时进行测定,发明人设计了传感监测系统以测定顶部塔板和/或底部塔板中的固体或液体量,如在容器底部表面上与反射表面连接的光学传感器,从而当容器接近空或空的时信号发生变化。
图6表明用于将载气供应至蒸发器10的简化蒸发输送系统66。载气源64与蒸发器10连接,从而载气。在加入源材料的可选择的方式中,液体源材料可从液体源容器67加入。通过设置在载气输送管道和用于将蒸发的源材料输送到处理室70的管道中的流量计68可以监测和控制载气流速。气体输送管道优选由低摩擦系数的材料制成,如聚合物,从而具有较高流速。优选地,蒸发器输送系统由导热材料制成,从而输送与蒸发器单元10连接的至少一个加热装置72产生的热能。
完全蒸发所需的能量是源材料和载气、混合物流速的函数。在本发明中,输送至蒸发器的热能约为100W~3000W,从而提供最优化的等温温度。按这种方式,流动混合物吸收的热能是可用热能的一小部分。因此,气体蒸气所吸收的能量相对于可用的热能没有明显变化,从而使导热表面基本上保持在理想的等温温度。
操作时,前体源材料优选在干燥箱或手套箱中加到容器单元内,以避免当安瓿打开时前体与氧或水份反应。从气体源64来的载气被输送到蒸发器单元的气体进口20,气体流速约为1sccm~500sccm。以可使载气稳定流动的压力将载气输送到蒸发器中,然后载气通过垂直堆叠的容器的突起物。随着载气向上通过不同级的垂直堆叠的容器,载气被蒸发的源材料所饱和,并从蒸发器单元经气体出口阀40输送至处理室70。
结合下面的实施例可以更充分理解本发明的优点。
实施例I
如图1所示,本发明的蒸发器包括在本发明的安瓿内具有5个堆叠的容器的容器单元,测试来确定从安瓿到容器的热导效率。安瓿由高级不锈钢制成,并与环绕在安瓿外围的块状加热器连接。加热器与变压器连接,其是AC变压源,用以控制加热程度。位于安瓿内的各容器(容器1和容器5)与温度传感器连接,以测定加热均匀度及从安瓿到容器的热传递功效。安瓿与载气源连接,用于将载气以约500sccm的速率输送到安瓿并通过容器单元。如图7所示,随着与安瓿连接的加热器温度的提高,容器中感测的内温也提高,这反应出热导功效。将容器1和容器5中感测的温度与安瓿的温度相比,表明全部堆叠的容器中的加热均匀性。因此,各容器都可提供均匀加热以蒸发前体材料的额外表面积。
图8表明热量从安瓿到容器5中的突起物的分布。在蒸发1小时后,温度升至130℃,安瓿内的热量分布接近恒定。由于多个容器使容纳前体材料的面积增大,所以不需再充填安瓿就可制备更多的产物,从而降低了装置的不工作时间。

Claims (32)

1.一种用于蒸发和输送源材料的蒸气输送系统,其包括:
a)安瓿,其包括安瓿底部、侧壁和可拆卸的顶部,以形成内部安瓿室;
b)与所述安瓿连通的气体进口和气体出口;
c)位于所述内部安瓿室中的至少一个源材料容器,其中所述容器包括容器底部和侧壁,以形成容器腔;及
d)至少位于容器底部上的多个通风突起物,以提供通过其中的通道,其中所述通风突起物延伸进入所述容器腔。
2.如权利要求1所述的蒸气输送系统,还包括位于所述内室中的内部气体载带组件,其延伸通过所述容器底部并与所述气体进口连通。
3.如权利要求2所述的蒸气输送系统,其中所述内部气体载带组件延伸通过所述容器底部的中心。
4.如权利要求2所述的蒸气输送系统,其中所述容器侧壁足够高以在其中容纳固体前体。
5.如权利要求2所述的蒸气输送系统,其中所述多个通风突起物位于所述容器侧壁上。
6.如权利要求1所述的蒸气输送系统,其中所述容器底部、侧壁和多个通风突起物的表面积约等于所述安瓿的内室的表面积。
7.如权利要求5所述的蒸气输送系统,包括位于所述内室中的多个垂直堆叠的容器。
8.如权利要求7所述的蒸气输送系统,其中每个容器侧壁足够高以接触其上面垂直相邻的容器,从而于其间形成容纳气体的空间。
9.如权利要求8所述的蒸气输送系统,其中所述通风突起物的高度低于所述容器侧壁的高度。
10.如权利要求9所述的蒸气输送系统其中所述内部气体载带组件延伸通过所述多个垂直堆叠的容器。
11.如权利要求10所述的蒸气输送系统,其中多个垂直堆叠的容器的每个容器的侧壁与所述安瓿侧壁接触。
12.如权利要求11所述的蒸气输送系统,还包括位于所述内部气体载带组件和延伸通过多个垂直堆叠容器每一个点之间的密封o-环。
13.如权利要求12所述的蒸气输送系统,其中所述气体进口和气体出口位于所述安瓿顶部。
14.如权利要求13所述的蒸气输送系统,其中所述气体进口约位于所述安瓿顶部的中心。
15.如权利要求14所述的蒸气输送系统,其中所述内部气体载带组件是载气汲取管。
16.如权利要求15所述的蒸气输送系统,其中所述载气汲取管通过多个垂直堆叠的容器延伸到所述内室的底部。
17.如权利要求15所述的蒸气输送系统,其中所述通风突起物是漏斗形状,其第一端比第二端的直径小。
18.如权利要求17所述的蒸气输送系统,其中所述直径较小的第一端延伸进入所述容器腔,从而将载气输导向所述气体出口并减小载气回流。
19.如权利要求15所述的蒸气输送系统,其中所述安瓿和多个垂直堆叠的容器由导热材料制成。
20.如权利要求1所述的蒸气输送系统,还包括用于加热所述安瓿的装置。
21.一种蒸发器,其包括:
a)安瓿,其包括安瓿底部和安瓿侧壁,以形成内室;
b)封闭所述内室的安瓿顶部;
c)与所述安瓿顶部连通的气体进口和气体出口;
d)位于所述内室中多个垂直堆叠的接触容器,其中每个容器包括容器底部和容器侧壁,以形成用于容纳固体前体材料的容器腔,及其中每个容器侧壁与所述安瓿侧壁接触;
e)位于每个容器底部上的多个通风突起物,以在相邻的垂直堆叠的接触容器之间提供通道,其中所述通风突起物延伸进入容器腔;
f)位于所述内室中并与所述气体进口连通用于将载气导入至所述垂直堆叠的接触容器下面的载气汲取管;及
g)用于加热所述安瓿的装置。
22.一种蒸发器单元,其包括:
a)多个垂直堆叠的容器,其中每个容器包括用于容纳源材料的腔;
b)位于每个垂直堆叠的容器中并延伸进入每个各自的腔中的多个通风突起物,其中所述通风突起物在相邻的垂直堆叠的容器之间形成用于使载气通过的通道;及
c)与多个垂直堆叠的容器接触并延伸通过每个垂直堆叠容器的腔的载气管道。
23.如权利要求22所述的蒸发器单元,其中每个垂直堆叠的容器与至少一个相邻的容器接触。
24.如权利要求22所述的蒸发器单元,其中所述突起物是漏斗状,并且随延伸进入所述容器腔而变窄。
25.如权利要求22所述的蒸发器单元,其中所述源材料是固体前体。
26.如权利要求25所述的蒸发器单元,其中所述突起物的侧壁包括其中的用以使载气通过固体前体的通风孔,用以将其流化。
27.一种蒸发器单元,其包括:
a)至少一个用于容纳可蒸发的源材料的容器;
b)位于所述容器中的多个通风突起物,其中所述通风突起物提供用于使所述可蒸发的源材料通过的通道;及
c)用于引入载气流以通过所述容器的载气管道。
28.一种将蒸发的源材料输送至下流处理室的方法,所述方法包括:
a)提供多个相互连接的垂直堆叠的容器,其中含有可蒸发的源材料,其中每个相互连接的垂直堆叠的容器包括多个带通道的突起物;
b)将所述相互连接的垂直堆叠的容器置于可密封的安瓿内;
c)给所述可密封的安瓿施加足够量的热以蒸发其中的源材料;及
d)将载气引入所述可密封的安瓿,以使所述蒸发的源材料通过所述可密封的安瓿进入所述处理室。
29.如权利要求28所述的方法,其中所述通风容器包括多个通风突起物以在相邻的垂直堆叠的容器之间形成通道。
30.如权利要求28所述的方法,其中每个垂直堆叠的容器与至少一个相邻的容器接触。
31.如权利要求28所述的方法,其中所述源材料是固体前体。
32.一种蒸发器,其包括:
a)安瓿,其包括安瓿底部和安瓿侧壁,以形成内室;
b)封闭所述内室的安瓿顶部;
c)与所述安瓿顶部连通的气体进口和气体出口;
d)位于所述内室中多个垂直堆叠的接触容器,其中每个容器包括容器底部和容器侧壁,以形成用于容纳固体前体材料的容器腔,及其中每个容器侧壁与所述安瓿侧壁接触;
e)位于每个容器底部上的多个通风突起物,以在相邻的垂直堆叠的接触容器间提供通道;
f)位于所述内室中并与所述气体进口连通用于将载气导入至所述垂直堆叠的接触容器下面的载气汲取管;及
g)用于加热所述安瓿的装置。
CNB038177161A 2002-07-23 2003-07-01 蒸发器输送安瓿 Expired - Lifetime CN100438960C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/201,518 US6921062B2 (en) 2002-07-23 2002-07-23 Vaporizer delivery ampoule
US10/201,518 2002-07-23

Related Child Applications (2)

Application Number Title Priority Date Filing Date
CNA2007100063142A Division CN101033537A (zh) 2002-07-23 2003-07-01 蒸发器输送安瓿
CNA2008101661966A Division CN101476115A (zh) 2002-07-23 2003-07-01 蒸发器输送安瓿

Publications (2)

Publication Number Publication Date
CN1671466A true CN1671466A (zh) 2005-09-21
CN100438960C CN100438960C (zh) 2008-12-03

Family

ID=30769655

Family Applications (3)

Application Number Title Priority Date Filing Date
CNB038177161A Expired - Lifetime CN100438960C (zh) 2002-07-23 2003-07-01 蒸发器输送安瓿
CNA2008101661966A Pending CN101476115A (zh) 2002-07-23 2003-07-01 蒸发器输送安瓿
CNA2007100063142A Pending CN101033537A (zh) 2002-07-23 2003-07-01 蒸发器输送安瓿

Family Applications After (2)

Application Number Title Priority Date Filing Date
CNA2008101661966A Pending CN101476115A (zh) 2002-07-23 2003-07-01 蒸发器输送安瓿
CNA2007100063142A Pending CN101033537A (zh) 2002-07-23 2003-07-01 蒸发器输送安瓿

Country Status (9)

Country Link
US (8) US6921062B2 (zh)
EP (2) EP2361672A3 (zh)
JP (5) JP4843218B2 (zh)
KR (4) KR20080098448A (zh)
CN (3) CN100438960C (zh)
AU (1) AU2003245757A1 (zh)
SG (3) SG10201502354RA (zh)
TW (1) TWI303461B (zh)
WO (1) WO2004010463A2 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103858212A (zh) * 2011-09-30 2014-06-11 株式会社富士金 气化器
CN105003825A (zh) * 2014-04-18 2015-10-28 应用材料公司 自动再填充安瓿及其使用方法
US11505863B2 (en) * 2019-05-31 2022-11-22 Applied Materials, Inc. Methods for forming films on substrates

Families Citing this family (520)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6671223B2 (en) * 1996-12-20 2003-12-30 Westerngeco, L.L.C. Control devices for controlling the position of a marine seismic streamer
US6878206B2 (en) * 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
JP2005504885A (ja) * 2001-07-25 2005-02-17 アプライド マテリアルズ インコーポレイテッド 新規なスパッタ堆積方法を使用したバリア形成
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US20080268635A1 (en) * 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US7085616B2 (en) 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
AU2003238853A1 (en) 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6866746B2 (en) * 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US6972267B2 (en) * 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7601225B2 (en) * 2002-06-17 2009-10-13 Asm International N.V. System for controlling the sublimation of reactants
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US6921062B2 (en) * 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
US7300038B2 (en) * 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US6915592B2 (en) * 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
KR101183109B1 (ko) * 2002-07-30 2012-09-24 에이에스엠 아메리카, 인코포레이티드 캐리어 가스를 이용하는 승화 시스템
US20040065255A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US20040069227A1 (en) * 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US6905737B2 (en) * 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
EP1420080A3 (en) * 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
US6868869B2 (en) * 2003-02-19 2005-03-22 Advanced Technology Materials, Inc. Sub-atmospheric pressure delivery of liquids, solids and low vapor pressure gases
US20040177813A1 (en) 2003-03-12 2004-09-16 Applied Materials, Inc. Substrate support lift mechanism
US7342984B1 (en) 2003-04-03 2008-03-11 Zilog, Inc. Counting clock cycles over the duration of a first character and using a remainder value to determine when to sample a bit of a second character
US7547363B2 (en) * 2003-07-08 2009-06-16 Tosoh Finechem Corporation Solid organometallic compound-filled container and filling method thereof
US6909839B2 (en) * 2003-07-23 2005-06-21 Advanced Technology Materials, Inc. Delivery systems for efficient vaporization of precursor source material
US7211454B2 (en) * 2003-07-25 2007-05-01 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of a light emitting device including moving the source of the vapor deposition parallel to the substrate
US7261118B2 (en) * 2003-08-19 2007-08-28 Air Products And Chemicals, Inc. Method and vessel for the delivery of precursor materials
US7494905B2 (en) * 2003-08-21 2009-02-24 Texas Instruments Incorporated Method for preparing a source material including forming a paste for ion implantation
US7156380B2 (en) * 2003-09-29 2007-01-02 Asm International, N.V. Safe liquid source containers
US20050095859A1 (en) * 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US20080073559A1 (en) * 2003-12-12 2008-03-27 Horsky Thomas N Controlling the flow of vapors sublimated from solids
EP1695038B1 (en) * 2003-12-12 2013-02-13 Semequip, Inc. Controlling the flow of vapors sublimated from solids
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US20060153995A1 (en) * 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US7741621B2 (en) * 2004-07-14 2010-06-22 City University Of Hong Kong Apparatus and method for focused electric field enhanced plasma-based ion implantation
US7819981B2 (en) * 2004-10-26 2010-10-26 Advanced Technology Materials, Inc. Methods for cleaning ion implanter components
US7488512B2 (en) * 2004-11-29 2009-02-10 Tokyo Electron Limited Method for preparing solid precursor tray for use in solid precursor evaporation system
US7708835B2 (en) * 2004-11-29 2010-05-04 Tokyo Electron Limited Film precursor tray for use in a film precursor evaporation system and method of using
US20060185597A1 (en) * 2004-11-29 2006-08-24 Kenji Suzuki Film precursor evaporation system and method of using
US7484315B2 (en) * 2004-11-29 2009-02-03 Tokyo Electron Limited Replaceable precursor tray for use in a multi-tray solid precursor delivery system
US7638002B2 (en) * 2004-11-29 2009-12-29 Tokyo Electron Limited Multi-tray film precursor evaporation system and thin film deposition system incorporating same
FR2878453B1 (fr) * 2004-11-30 2007-03-16 Centre Nat Rech Scient Cnrse Dispositif de fourniture de vapeurs d'un precurseur solide a un appareil de traitement
US20080191153A1 (en) * 2005-03-16 2008-08-14 Advanced Technology Materials, Inc. System For Delivery Of Reagents From Solid Sources Thereof
US8197898B2 (en) 2005-03-29 2012-06-12 Tokyo Electron Limited Method and system for depositing a layer from light-induced vaporization of a solid precursor
US7132128B2 (en) 2005-03-31 2006-11-07 Tokyo Electron Limited Method and system for depositing material on a substrate using a solid precursor
US7485338B2 (en) 2005-03-31 2009-02-03 Tokyo Electron Limited Method for precursor delivery
US7651570B2 (en) * 2005-03-31 2010-01-26 Tokyo Electron Limited Solid precursor vaporization system for use in chemical vapor deposition
US7566477B2 (en) 2005-03-31 2009-07-28 Tokyo Electron Limited Method for saturating a carrier gas with precursor vapor
US7345184B2 (en) 2005-03-31 2008-03-18 Tokyo Electron Limited Method and system for refurbishing a metal carbonyl precursor
DE102005030862B4 (de) * 2005-07-01 2009-12-24 Sintec Keramik Gmbh Erstbenetzungshilfsmaterial für einen Verdampferkörper, seine Verwendung zum Herrichten der Verdampferfläche eines Verdampferkörpers und ein elektrisch beheizbarer keramischer Verdampferkörper
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US20070049043A1 (en) * 2005-08-23 2007-03-01 Applied Materials, Inc. Nitrogen profile engineering in HI-K nitridation for device performance enhancement and reliability improvement
US7402534B2 (en) * 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
KR101297917B1 (ko) 2005-08-30 2013-08-27 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 대안적인 불화 붕소 전구체를 이용한 붕소 이온 주입 방법,및 주입을 위한 대형 수소화붕소의 형성 방법
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US7459395B2 (en) 2005-09-28 2008-12-02 Tokyo Electron Limited Method for purifying a metal carbonyl precursor
US7464917B2 (en) 2005-10-07 2008-12-16 Appiled Materials, Inc. Ampoule splash guard apparatus
US7850779B2 (en) * 2005-11-04 2010-12-14 Applied Materisals, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
GB2432371B (en) * 2005-11-17 2011-06-15 Epichem Ltd Improved bubbler for the transportation of substances by a carrier gas
JP4960720B2 (ja) * 2006-02-10 2012-06-27 東京エレクトロン株式会社 膜前駆体蒸発システムにおいて使用される膜前駆体のトレーおよびその使用方法
US7297719B2 (en) 2006-03-29 2007-11-20 Tokyo Electron Limited Method and integrated system for purifying and delivering a metal carbonyl precursor
US7432195B2 (en) * 2006-03-29 2008-10-07 Tokyo Electron Limited Method for integrating a conformal ruthenium layer into copper metallization of high aspect ratio features
US8951478B2 (en) * 2006-03-30 2015-02-10 Applied Materials, Inc. Ampoule with a thermally conductive coating
US7562672B2 (en) * 2006-03-30 2009-07-21 Applied Materials, Inc. Chemical delivery apparatus for CVD or ALD
US7967911B2 (en) * 2006-04-11 2011-06-28 Applied Materials, Inc. Apparatus and methods for chemical vapor deposition
SG171606A1 (en) * 2006-04-26 2011-06-29 Advanced Tech Materials Cleaning of semiconductor processing systems
US20070252299A1 (en) * 2006-04-27 2007-11-01 Applied Materials, Inc. Synchronization of precursor pulsing and wafer rotation
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US20070259111A1 (en) * 2006-05-05 2007-11-08 Singh Kaushal K Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film
TW200745140A (en) * 2006-06-02 2007-12-16 Advanced Tech Materials Copper (I) amidinates and guanidinates, mixed ligand copper complexes, and compositions for chemical vapor deposition, atomic layer deposition, and rapid vapor deposition of copper
US7601648B2 (en) 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
US20080241805A1 (en) * 2006-08-31 2008-10-02 Q-Track Corporation System and method for simulated dosimetry using a real time locating system
US7473634B2 (en) * 2006-09-28 2009-01-06 Tokyo Electron Limited Method for integrated substrate processing in copper metallization
JP5073751B2 (ja) 2006-10-10 2012-11-14 エーエスエム アメリカ インコーポレイテッド 前駆体送出システム
US8986456B2 (en) * 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US9109287B2 (en) * 2006-10-19 2015-08-18 Air Products And Chemicals, Inc. Solid source container with inlet plenum
US7775508B2 (en) 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US20090223441A1 (en) * 2006-11-22 2009-09-10 Chantal Arena High volume delivery system for gallium trichloride
JP5575482B2 (ja) 2006-11-22 2014-08-20 ソイテック 単結晶iii−v族半導体材料のエピタキシャル堆積法、及び堆積システム
US8545628B2 (en) * 2006-11-22 2013-10-01 Soitec Temperature-controlled purge gate valve for chemical vapor deposition chamber
US8382898B2 (en) 2006-11-22 2013-02-26 Soitec Methods for high volume manufacture of group III-V semiconductor materials
KR101379410B1 (ko) * 2006-11-22 2014-04-11 소이텍 3-5족 반도체 재료들의 대량생산을 위한 설비
US9481944B2 (en) 2006-11-22 2016-11-01 Soitec Gas injectors including a funnel- or wedge-shaped channel for chemical vapor deposition (CVD) systems and CVD systems with the same
US9481943B2 (en) 2006-11-22 2016-11-01 Soitec Gallium trichloride injection scheme
KR101330156B1 (ko) 2006-11-22 2013-12-20 소이텍 삼염화 갈륨 주입 구조
US20080141937A1 (en) * 2006-12-19 2008-06-19 Tokyo Electron Limited Method and system for controlling a vapor delivery system
US7955649B2 (en) * 2007-01-17 2011-06-07 Visichem Technology, Ltd. Forming thin films using a resealable vial carrier of amphiphilic molecules
US8524931B2 (en) * 2007-01-17 2013-09-03 Advanced Technology Materials, Inc. Precursor compositions for ALD/CVD of group II ruthenate thin films
US7833353B2 (en) * 2007-01-24 2010-11-16 Asm Japan K.K. Liquid material vaporization apparatus for semiconductor processing apparatus
US20080206987A1 (en) 2007-01-29 2008-08-28 Gelatos Avgerinos V Process for tungsten nitride deposition by a temperature controlled lid assembly
US7846256B2 (en) * 2007-02-23 2010-12-07 Tokyo Electron Limited Ampule tray for and method of precursor surface area
WO2009039382A1 (en) * 2007-09-21 2009-03-26 Semequip. Inc. Method for extending equipment uptime in ion implantation
US20130249125A1 (en) * 2007-11-13 2013-09-26 James J. McKinley Variable concentration dynamic headspace vapor source generator
US8899556B2 (en) * 2007-11-15 2014-12-02 Lawrence Livermore National Security, Llc. Systems and methods for generation of hydrogen peroxide vapor
US9034105B2 (en) * 2008-01-10 2015-05-19 American Air Liquide, Inc. Solid precursor sublimator
WO2009102762A2 (en) 2008-02-11 2009-08-20 Sweeney Joseph D Ion source cleaning in semiconductor processing systems
US20090214777A1 (en) * 2008-02-22 2009-08-27 Demetrius Sarigiannis Multiple ampoule delivery systems
CN101960564B (zh) * 2008-03-17 2012-11-21 应用材料公司 用于安瓿的加热阀歧管
US8343583B2 (en) 2008-07-10 2013-01-01 Asm International N.V. Method for vaporizing non-gaseous precursor in a fluidized bed
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8012876B2 (en) * 2008-12-02 2011-09-06 Asm International N.V. Delivery of vapor precursor from solid source
US8663735B2 (en) * 2009-02-13 2014-03-04 Advanced Technology Materials, Inc. In situ generation of RuO4 for ALD of Ru and Ru related materials
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8568529B2 (en) * 2009-04-10 2013-10-29 Applied Materials, Inc. HVPE chamber hardware
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) * 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9117773B2 (en) * 2009-08-26 2015-08-25 Asm America, Inc. High concentration water pulses for atomic layer deposition
US8598022B2 (en) 2009-10-27 2013-12-03 Advanced Technology Materials, Inc. Isotopically-enriched boron-containing compounds, and methods of making and using same
EP3922751A1 (en) 2009-11-02 2021-12-15 Sigma-Aldrich Co. LLC Solid precursor delivery assemblies and related methods
US8555809B2 (en) * 2010-01-14 2013-10-15 Rohm And Haas Electronic Materials, Llc Method for constant concentration evaporation and a device using the same
US8758515B2 (en) 2010-08-09 2014-06-24 Rohm And Haas Electronic Materials Llc Delivery device and method of use thereof
US8133806B1 (en) 2010-09-30 2012-03-13 S.O.I.Tec Silicon On Insulator Technologies Systems and methods for forming semiconductor materials by atomic layer deposition
US8486192B2 (en) 2010-09-30 2013-07-16 Soitec Thermalizing gas injectors for generating increased precursor gas, material deposition systems including such injectors, and related methods
US8776821B2 (en) 2011-05-24 2014-07-15 Rohm And Haas Electronic Materials Llc Vapor delivery device, methods of manufacture and methods of use thereof
US8997775B2 (en) 2011-05-24 2015-04-07 Rohm And Haas Electronic Materials Llc Vapor delivery device, methods of manufacture and methods of use thereof
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
JP2012255193A (ja) * 2011-06-09 2012-12-27 Air Liquide Japan Ltd 固体材料ガスの供給装置および供給方法
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP2013028854A (ja) * 2011-07-29 2013-02-07 Air Liquide Japan Ltd 固体材料ガスの供給装置および供給方法
US8724974B2 (en) * 2011-09-30 2014-05-13 Fujikin Incorporated Vaporizer
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9238865B2 (en) 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
US20130220221A1 (en) * 2012-02-23 2013-08-29 Applied Materials, Inc. Method and apparatus for precursor delivery
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US9598766B2 (en) 2012-05-27 2017-03-21 Air Products And Chemicals, Inc. Vessel with filter
EP2855730B1 (en) 2012-05-31 2020-08-12 Entegris Inc. Source reagent-based delivery of fluid with high material flux for batch deposition
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9243325B2 (en) 2012-07-18 2016-01-26 Rohm And Haas Electronic Materials Llc Vapor delivery device, methods of manufacture and methods of use thereof
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
FR2996628B1 (fr) * 2012-10-04 2014-12-26 Commissariat Energie Atomique Reservoir de stockage d'hydrogene a hydrures metalliques de fabrication simplifiee et dispositif de stockage comportant au moins un tel reservoir
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP5837869B2 (ja) * 2012-12-06 2015-12-24 株式会社フジキン 原料気化供給装置
US20140174955A1 (en) * 2012-12-21 2014-06-26 Qualcomm Mems Technologies, Inc. High flow xef2 canister
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
JP6078335B2 (ja) * 2012-12-27 2017-02-08 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、気化システム、気化器およびプログラム
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
KR102024830B1 (ko) * 2013-05-09 2019-09-25 (주)지오엘리먼트 기화기
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
JP6111171B2 (ja) * 2013-09-02 2017-04-05 東京エレクトロン株式会社 成膜方法及び成膜装置
JP2016529281A (ja) * 2013-09-02 2016-09-23 日本エア・リキード株式会社 ピロメリット酸二無水物の製造方法、該方法により製造されたピロメリット酸二無水物、ピロメリット酸二無水物の供給方法および供給装置
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US9334566B2 (en) 2013-11-25 2016-05-10 Lam Research Corporation Multi-tray ballast vapor draw systems
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9343315B2 (en) * 2013-11-27 2016-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating semiconductor structure, and solid precursor delivery system
US9957612B2 (en) 2014-01-17 2018-05-01 Ceres Technologies, Inc. Delivery device, methods of manufacture thereof and articles comprising the same
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10392700B2 (en) * 2014-04-21 2019-08-27 Entegris, Inc. Solid vaporizer
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9970108B2 (en) * 2014-08-01 2018-05-15 Lam Research Corporation Systems and methods for vapor delivery in a substrate processing system
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US20160052651A1 (en) * 2014-08-22 2016-02-25 Lam Research Corporation Fill on demand ampoule
US10094018B2 (en) 2014-10-16 2018-10-09 Lam Research Corporation Dynamic precursor dosing for atomic layer deposition
US11072860B2 (en) 2014-08-22 2021-07-27 Lam Research Corporation Fill on demand ampoule refill
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9982341B2 (en) * 2015-01-30 2018-05-29 Lam Research Corporation Modular vaporizer
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6301867B2 (ja) * 2015-03-31 2018-03-28 東芝メモリ株式会社 気化システム
WO2016175259A1 (ja) * 2015-04-30 2016-11-03 芝浦メカトロニクス株式会社 錠剤印刷装置および錠剤印刷方法
KR102299892B1 (ko) * 2015-05-07 2021-09-10 (주)지오엘리먼트 모세관 현상을 이용한 고효율 기화기
KR102269079B1 (ko) * 2015-05-08 2021-06-25 (주)지오엘리먼트 충진이 용이하고 기화 효율이 향상된 기화기
KR101725959B1 (ko) 2015-05-08 2017-04-21 (주)지오엘리먼트 충진이 용이하고 기화 효율이 향상된 기화기
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US20170023235A1 (en) * 2015-07-21 2017-01-26 Apple Inc. Sublimator/vaporizer
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
TWI624554B (zh) * 2015-08-21 2018-05-21 弗里松股份有限公司 蒸發源
WO2017033053A1 (en) 2015-08-21 2017-03-02 Flisom Ag Homogeneous linear evaporation source
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
WO2017184368A1 (en) * 2016-04-19 2017-10-26 Entegris, Inc. Tamper-resistant chemical reagent package
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9928983B2 (en) * 2016-06-30 2018-03-27 Varian Semiconductor Equipment Associates, Inc. Vaporizer for ion source
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US11926894B2 (en) 2016-09-30 2024-03-12 Asm Ip Holding B.V. Reactant vaporizer and related systems and methods
US10876205B2 (en) 2016-09-30 2020-12-29 Asm Ip Holding B.V. Reactant vaporizer and related systems and methods
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10676370B2 (en) 2017-06-05 2020-06-09 Axcelis Technologies, Inc. Hydrogen co-gas when using aluminum iodide as an ion source material
JP6324609B1 (ja) * 2017-06-21 2018-05-16 日本エア・リキード株式会社 固体材料容器およびその固体材料容器に固体材料が充填されている固体材料製品
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US11104993B2 (en) * 2017-07-28 2021-08-31 Entegris, Inc. Modular tray ampoule
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
KR102344996B1 (ko) 2017-08-18 2021-12-30 삼성전자주식회사 전구체 공급 유닛, 기판 처리 장치 및 그를 이용한 반도체 소자의 제조방법
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
US10597773B2 (en) * 2017-08-22 2020-03-24 Praxair Technology, Inc. Antimony-containing materials for ion implantation
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
JP6806419B2 (ja) * 2017-09-21 2021-01-06 株式会社Kokusai Electric 貯留容器、気化器、基板処理装置および半導体装置の製造方法
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10895347B2 (en) * 2017-10-20 2021-01-19 Entegris, Inc. Heat transfer to ampoule trays
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
JP6895372B2 (ja) * 2017-12-12 2021-06-30 東京エレクトロン株式会社 原料容器
US20190186003A1 (en) * 2017-12-14 2019-06-20 Entegris, Inc. Ampoule vaporizer and vessel
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10832913B2 (en) * 2018-02-14 2020-11-10 Taiwan Semiconductor Manufacturing Company Ltd. Method and apparatus for forming semiconductor structure
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
EP3841388A4 (en) 2018-05-23 2022-07-27 Senseer Ltd DEVICE, SYSTEM AND METHOD FOR MONITORING THE OPERATION OF A PROJECTILE LAUNCHER
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
JP7376278B2 (ja) 2018-08-16 2023-11-08 エーエスエム・アイピー・ホールディング・ベー・フェー 固体原料昇華器
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20210041104A (ko) * 2018-09-03 2021-04-14 어플라이드 머티어리얼스, 인코포레이티드 박막 증착을 위한 직접 액체 주입 시스템
KR102576431B1 (ko) * 2018-09-10 2023-09-08 삼성디스플레이 주식회사 유기물 제조장치 및 이를 이용한 제조방법
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
JP7240881B2 (ja) * 2019-01-18 2023-03-16 Jx金属株式会社 塩化金属の昇華容器
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11492701B2 (en) * 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
US11821087B2 (en) * 2019-04-26 2023-11-21 Entegris, Inc. Vaporization vessel and method
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
US11788190B2 (en) 2019-07-05 2023-10-17 Asm Ip Holding B.V. Liquid vaporizer
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11624113B2 (en) 2019-09-13 2023-04-11 Asm Ip Holding B.V. Heating zone separation for reactant evaporation system
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210048408A (ko) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
US20210123134A1 (en) * 2019-10-24 2021-04-29 Entegris, Inc. Sublimation ampoule with level sensing
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US20230037208A1 (en) * 2019-12-11 2023-02-02 Lam Research Corporation Liquid precursor vaporizer
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
US20220162741A1 (en) * 2020-01-28 2022-05-26 Ulvac, Inc. Evaporator and deposition apparatus
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
CN112097114A (zh) * 2020-08-07 2020-12-18 安徽亚格盛电子新材料有限公司 一种精确制备液态mo源和氢气混合气的装置
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11834740B2 (en) * 2020-11-10 2023-12-05 Applied Materials, Inc. Apparatus, system, and method for generating gas for use in a process chamber
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11578406B2 (en) * 2020-12-08 2023-02-14 Applied Materials, Inc. Ampoule for a semiconductor manufacturing precursor
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
EP4056730A1 (en) 2021-03-10 2022-09-14 UMICORE AG & Co. KG Container for feeding a precursor material
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11584990B2 (en) 2021-07-02 2023-02-21 Applied Materials, Inc. Bottom fed sublimation bed for high saturation efficiency in semiconductor applications
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN113897593B (zh) * 2021-09-13 2023-08-11 浙江陶特容器科技股份有限公司 一种固态前驱体源存储升华器
JP7045743B1 (ja) * 2021-10-11 2022-04-01 株式会社リンテック 気化器
CN114318300A (zh) * 2021-12-30 2022-04-12 拓荆科技股份有限公司 一种半导体加工设备及其反应腔室、工艺管路穿腔模块

Family Cites Families (159)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1265863A (en) 1915-01-13 1918-05-14 William G Abbott Jr Evaporator.
US2447789A (en) 1945-03-23 1948-08-24 Polaroid Corp Evaporating crucible for coating apparatus
US2721064A (en) * 1951-10-03 1955-10-18 Hugo O Reichardt Carbonating device
US2769624A (en) * 1953-07-16 1956-11-06 Okey S Burnside Air cleaner and moistener for carburetors
US2902574A (en) 1958-02-03 1959-09-01 Hughes Aircraft Co Source for vapor deposition
US3405251A (en) 1966-05-31 1968-10-08 Trw Inc Vacuum evaporation source
US3647197A (en) 1970-04-27 1972-03-07 Ford Motor Co Vacuum deposition
US3740043A (en) 1970-05-26 1973-06-19 Republic Steel Corp Apparatus for vaporizing molten metal
US3834682A (en) * 1972-06-19 1974-09-10 American Hospital Supply Corp Mixing column for medical humidifier and method of humidifying inhalable gases
US3920882A (en) * 1973-04-16 1975-11-18 Owens Illinois Inc N-type dopant source
JPS58519B2 (ja) 1975-07-25 1983-01-06 タナカキキンゾクコウギヨウ カブシキガイシヤ ロジウムメツキヨク
DE2536013A1 (de) 1975-08-13 1977-03-03 Bosch Gmbh Robert Verfahren zur verbesserung der haltbarkeit von aus siliciumoxiden bestehenden schutzschichten
GB1559978A (en) 1976-12-01 1980-01-30 Gen Electric Co Ltd Chemical vapour deposition processes
US4190965A (en) * 1979-01-15 1980-03-04 Alternative Pioneering Systems, Inc. Food dehydrator
JPS55160424A (en) * 1979-05-31 1980-12-13 Fujitsu Ltd Vapor phase epitaxial device
JPS58126973A (ja) * 1982-01-22 1983-07-28 Hitachi Ltd 薄膜形成用ソ−ス供給装置
JPS6070176A (ja) * 1983-09-27 1985-04-20 Fujitsu Ltd 固体ソ−ス蒸発ボンベ
JPH01147254A (ja) 1987-12-04 1989-06-08 Matsushita Electric Ind Co Ltd 強制給排気式温風機
JPH0817804B2 (ja) * 1987-12-23 1996-02-28 雪印乳業株式会社 殺菌剤気化装置
DE3801147A1 (de) * 1988-01-16 1989-07-27 Philips Patentverwaltung Vorrichtung zum erzeugen eines mit dem dampf eines wenig fluechtigen stoffes angereicherten gasstroms
JPH0623565Y2 (ja) * 1988-03-28 1994-06-22 日本電気株式会社 Cvd装置用原料収納容器
JPH0269389A (ja) * 1988-08-31 1990-03-08 Toyo Stauffer Chem Co 有機金属気相成長法における固体有機金属化合物の飽和蒸気生成方法
JP2711327B2 (ja) 1988-10-14 1998-02-10 住友電気工業株式会社 気相エピタキシヤル成長用クラスト形成装置
JPH0726364Y2 (ja) * 1989-06-23 1995-06-14 日本酸素株式会社 気相成長装置用の固形原料供給装置
JPH0372387A (ja) 1989-08-11 1991-03-27 Brother Ind Ltd 現像電極清掃装置
US5104695A (en) 1989-09-08 1992-04-14 International Business Machines Corporation Method and apparatus for vapor deposition of material onto a substrate
JP2611009B2 (ja) * 1989-09-12 1997-05-21 株式会社エステック 有機金属化合物の気化供給装置
DE3931189A1 (de) 1989-09-19 1991-03-28 Philips Patentverwaltung Vorrichtung und verfahren zum erzeugen eines mit dem dampf eines wenig fluechtigen stoffes angereicherten gasstroms
ATE139580T1 (de) * 1989-09-26 1996-07-15 Canon Kk Gasversorgungsvorrichtung und ihre verwendung für eine filmabscheidungsanlage
JP2614338B2 (ja) * 1990-01-11 1997-05-28 株式会社東芝 液体ソース容器
US5020476A (en) 1990-04-17 1991-06-04 Ds Research, Inc. Distributed source assembly
JPH0436469A (ja) * 1990-06-01 1992-02-06 Sharp Corp Cvd原料供給方法及びこれに用いる固体原料
US5840897A (en) * 1990-07-06 1998-11-24 Advanced Technology Materials, Inc. Metal complex source reagents for chemical vapor deposition
US5362328A (en) 1990-07-06 1994-11-08 Advanced Technology Materials, Inc. Apparatus and method for delivering reagents in vapor form to a CVD reactor, incorporating a cleaning subsystem
US5711816A (en) 1990-07-06 1998-01-27 Advanced Technolgy Materials, Inc. Source reagent liquid delivery apparatus, and chemical vapor deposition system comprising same
JPH04228562A (ja) 1990-12-27 1992-08-18 Mitsubishi Electric Corp 薄膜形成装置
JP3174351B2 (ja) * 1991-03-19 2001-06-11 三菱電線工業株式会社 超電導mocvd用ガス化容器
JPH04333572A (ja) 1991-05-10 1992-11-20 Chodendo Hatsuden Kanren Kiki Zairyo Gijutsu Kenkyu Kumiai 酸化物超電導体用mo原料の気化方法
JPH0598445A (ja) 1991-07-05 1993-04-20 Chodendo Hatsuden Kanren Kiki Zairyo Gijutsu Kenkyu Kumiai 有機金属化学気相蒸着用原料容器
JP2885969B2 (ja) 1991-07-08 1999-04-26 富士写真フイルム株式会社 マイクロフィルムリーダ
JP2559880Y2 (ja) * 1991-08-15 1998-01-19 三菱電線工業株式会社 超電導mocvd用蒸発器
US5336324A (en) 1991-12-04 1994-08-09 Emcore Corporation Apparatus for depositing a coating on a substrate
JP3393135B2 (ja) 1991-12-13 2003-04-07 アドバンスド テクノロジィ マテリアルズ,インコーポレイテッド 不揮発性反応物を送り出す装置及び方法
JPH05214537A (ja) * 1992-01-30 1993-08-24 Nec Corp 固体昇華用の気化器
WO1994021840A1 (en) 1993-03-18 1994-09-29 Advanced Technology Materials, Inc. Apparatus and method for delivering reagents in vapor form to a cvd reactor
US5377429A (en) 1993-04-19 1995-01-03 Micron Semiconductor, Inc. Method and appartus for subliming precursors
US5607002A (en) 1993-04-28 1997-03-04 Advanced Delivery & Chemical Systems, Inc. Chemical refill system for high purity chemicals
KR960010901A (ko) 1994-09-30 1996-04-20 김광호 고체 유기화합물 전용 버블러 장치
FR2727322B1 (fr) 1994-11-30 1996-12-27 Kodak Pathe Procede pour la sublimation d'un materiau solide et dispositif pour la mise en oeuvre du procede
US5553188A (en) 1995-02-24 1996-09-03 Mks Instruments, Inc. Vaporizer and liquid delivery system using same
JPH0940489A (ja) 1995-03-30 1997-02-10 Pioneer Electron Corp Mocvdの固体原料供給方法及び供給装置
JPH08279497A (ja) * 1995-04-07 1996-10-22 Hitachi Ltd 半導体製造装置および半導体装置
US5553395A (en) 1995-05-31 1996-09-10 Hughes Aircraft Company Bubbler for solid metal organic source material and method of producing saturated carrying gas
US5764849A (en) 1996-03-27 1998-06-09 Micron Technology, Inc. Solid precursor injector apparatus and method
JPH1025576A (ja) * 1996-04-05 1998-01-27 Dowa Mining Co Ltd Cvd成膜法における原料化合物の昇華方法
US5917140A (en) 1996-05-21 1999-06-29 Advanced Technology Materials, Inc. Sorbent-based fluid storage and dispensing vessel with enhanced heat transfer means
DE19638100C1 (de) 1996-09-18 1998-03-05 Fraunhofer Ges Forschung Vorrichtung zum Erzeugen eines dampfförmigen Reaktionsproduktes aus Feststoffteilen
US6130160A (en) * 1996-10-02 2000-10-10 Micron Technology, Inc. Methods, complexes and system for forming metal-containing films
US5874131A (en) * 1996-10-02 1999-02-23 Micron Technology, Inc. CVD method for forming metal-containing films
US6413476B1 (en) 1996-12-05 2002-07-02 Mary F. Barnhart Aromatic diffuser with replaceable cartridge
JP3645682B2 (ja) 1997-03-18 2005-05-11 三菱電機株式会社 Cu成膜用CVD装置
US6409839B1 (en) 1997-06-02 2002-06-25 Msp Corporation Method and apparatus for vapor generation and film deposition
WO1999004061A1 (en) * 1997-07-18 1999-01-28 Advanced Technology Materials, Inc. Liquid delivery system comprising upstream pressure control means
US6143191A (en) 1997-11-10 2000-11-07 Advanced Technology Materials, Inc. Method for etch fabrication of iridium-based electrode structures
US6018065A (en) 1997-11-10 2000-01-25 Advanced Technology Materials, Inc. Method of fabricating iridium-based materials and structures on substrates, iridium source reagents therefor
JP3967455B2 (ja) * 1998-03-30 2007-08-29 Dowaホールディングス株式会社 カリウム含有薄膜及びその製法
US6136725A (en) 1998-04-14 2000-10-24 Cvd Systems, Inc. Method for chemical vapor deposition of a material on a substrate
JPH11302286A (ja) * 1998-04-17 1999-11-02 Kojundo Chem Lab Co Ltd バリウムストロンチウムβ−ジケトネートとその製造 方法及びそれを用いたバリウムストロンチウム含有酸 化物誘電体薄膜の製造方法
US6620256B1 (en) 1998-04-28 2003-09-16 Advanced Technology Materials, Inc. Non-plasma in-situ cleaning of processing chambers using static flow methods
US6107634A (en) 1998-04-30 2000-08-22 Eaton Corporation Decaborane vaporizer
JPH11342328A (ja) * 1998-06-01 1999-12-14 Japan Pionics Co Ltd 気化器及び気化供給方法
JP2000012218A (ja) 1998-06-23 2000-01-14 Tdk Corp 有機el素子の製造装置および製造方法
US6210485B1 (en) 1998-07-21 2001-04-03 Applied Materials, Inc. Chemical vapor deposition vaporizer
US20010003603A1 (en) 1998-07-28 2001-06-14 Kabushiki Kaisha Toshiba Cvd film formation method and apparatus using molded solid body and the molded solid body
JP2000104172A (ja) 1998-07-28 2000-04-11 Toshiba Corp 成膜方法,成膜装置及び固形原料
US6225237B1 (en) * 1998-09-01 2001-05-01 Micron Technology, Inc. Method for forming metal-containing films using metal complexes with chelating O- and/or N-donor ligands
US6281124B1 (en) * 1998-09-02 2001-08-28 Micron Technology, Inc. Methods and systems for forming metal-containing films on substrates
US20030101938A1 (en) 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
US6454860B2 (en) 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
US6202591B1 (en) 1998-11-12 2001-03-20 Flex Products, Inc. Linear aperture deposition apparatus and coating process
JP2000192243A (ja) * 1998-12-24 2000-07-11 Nissin Electric Co Ltd 気化器メンテナンス方法
JP2000239843A (ja) * 1999-02-17 2000-09-05 Nippon Sanso Corp 金属薄膜の製造方法
WO2000065127A1 (en) 1999-04-27 2000-11-02 Tokyo Electron Limited Apparatus and method for delivery of vapor to a cvd chamber
US6184403B1 (en) * 1999-05-19 2001-02-06 Research Foundation Of State University Of New York MOCVD precursors based on organometalloid ligands
JP2000345345A (ja) 1999-06-04 2000-12-12 Mitsubishi Electric Corp Cvd装置およびcvd装置用気化装置
US6206972B1 (en) 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
JP2001049434A (ja) * 1999-08-10 2001-02-20 Asahi Denka Kogyo Kk TiN膜の形成方法及び電子部品の製造方法
JP3909792B2 (ja) * 1999-08-20 2007-04-25 パイオニア株式会社 化学気相成長法における原料供給装置及び原料供給方法
US6444038B1 (en) * 1999-12-27 2002-09-03 Morton International, Inc. Dual fritted bubbler
JP2001059161A (ja) 1999-08-20 2001-03-06 Tdk Corp 有機薄膜の製造装置および製造方法
US6288403B1 (en) 1999-10-11 2001-09-11 Axcelis Technologies, Inc. Decaborane ionizer
US6473564B1 (en) 2000-01-07 2002-10-29 Nihon Shinku Gijutsu Kabushiki Kaisha Method of manufacturing thin organic film
DE10005820C1 (de) 2000-02-10 2001-08-02 Schott Glas Gasversorungsvorrichtung für Precursoren geringen Dampfdrucks
DE10007059A1 (de) 2000-02-16 2001-08-23 Aixtron Ag Verfahren und Vorrichtung zur Herstellung von beschichteten Substraten mittels Kondensationsbeschichtung
JP4233724B2 (ja) 2000-02-25 2009-03-04 株式会社デンソー 薄膜の形成方法
US6237529B1 (en) 2000-03-03 2001-05-29 Eastman Kodak Company Source for thermal physical vapor deposition of organic electroluminescent layers
AU2001257439A1 (en) 2000-05-03 2001-11-12 Advanced Technology Materials, Inc. Gas cabinet assembly comprising sorbent-based gas storage and delivery system
DE60106675T2 (de) 2000-05-31 2005-12-01 Shipley Co., L.L.C., Marlborough Verdampfer
US6581915B2 (en) * 2000-07-27 2003-06-24 The Procter & Gamble Company Dispensing device for dispensing scents
US6887337B2 (en) 2000-09-19 2005-05-03 Xactix, Inc. Apparatus for etching semiconductor samples and a source for providing a gas by sublimation thereto
DE10048759A1 (de) 2000-09-29 2002-04-11 Aixtron Gmbh Verfahren und Vorrichtung zum Abscheiden insbesondere organischer Schichten im Wege der OVPD
US6443435B1 (en) 2000-10-23 2002-09-03 Applied Materials, Inc. Vaporization of precursors at point of use
JP2002270523A (ja) * 2001-03-13 2002-09-20 Ricoh Co Ltd 窒素化合物供給装置および窒素化合物供給方法および成膜装置
US6561498B2 (en) 2001-04-09 2003-05-13 Lorex Industries, Inc. Bubbler for use in vapor generation systems
DE10118130A1 (de) 2001-04-11 2002-10-17 Aixtron Ag Vorrichtung oder Verfahren zum Abscheiden von insbesondere kristallinen Schichten auf insbesondere kristallinen Substraten aus der Gasphase
US6431118B1 (en) 2001-05-21 2002-08-13 Imagine Gold, L.L.C. Apparatus and method for providing humidified air to a terrarium
TW539822B (en) 2001-07-03 2003-07-01 Asm Inc Source chemical container assembly
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US6701066B2 (en) 2001-10-11 2004-03-02 Micron Technology, Inc. Delivery of solid chemical precursors
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
JP3932874B2 (ja) 2001-11-27 2007-06-20 三菱マテリアル株式会社 有機金属化学蒸着法用ルテニウム化合物及び該化合物により得られたルテニウム含有薄膜
TW200300701A (en) 2001-11-30 2003-06-16 Asml Us Inc High flow rate bubbler system and method
US20030111014A1 (en) 2001-12-18 2003-06-19 Donatucci Matthew B. Vaporizer/delivery vessel for volatile/thermally sensitive solid and liquid compounds
US6620225B2 (en) 2002-01-10 2003-09-16 Advanced Technology Materials, Inc. Adsorbents for low vapor pressure fluid storage and delivery
US7601225B2 (en) 2002-06-17 2009-10-13 Asm International N.V. System for controlling the sublimation of reactants
US7524374B2 (en) 2002-07-17 2009-04-28 Applied Materials, Inc. Method and apparatus for generating a precursor for a semiconductor processing system
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
JP3945455B2 (ja) 2002-07-17 2007-07-18 株式会社豊田中央研究所 粉末成形体、粉末成形方法、金属焼結体およびその製造方法
US6921062B2 (en) * 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
US7300038B2 (en) 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US6915592B2 (en) 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
KR101183109B1 (ko) 2002-07-30 2012-09-24 에이에스엠 아메리카, 인코포레이티드 캐리어 가스를 이용하는 승화 시스템
US6797337B2 (en) 2002-08-19 2004-09-28 Micron Technology, Inc. Method for delivering precursors
US6841141B2 (en) 2002-09-26 2005-01-11 Advanced Technology Materials, Inc. System for in-situ generation of fluorine radicals and/or fluorine-containing interhalogen (XFn) compounds for use in cleaning semiconductor processing chambers
US6779378B2 (en) 2002-10-30 2004-08-24 Asm International N.V. Method of monitoring evaporation rate of source material in a container
US6863021B2 (en) 2002-11-14 2005-03-08 Genus, Inc. Method and apparatus for providing and integrating a general metal delivery source (GMDS) with atomic layer deposition (ALD)
US6991671B2 (en) 2002-12-09 2006-01-31 Advanced Technology Materials, Inc. Rectangular parallelepiped fluid storage and dispensing vessel
US7018940B2 (en) 2002-12-30 2006-03-28 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
KR20050004379A (ko) 2003-07-02 2005-01-12 삼성전자주식회사 원자층 증착용 가스 공급 장치
US6837939B1 (en) 2003-07-22 2005-01-04 Eastman Kodak Company Thermal physical vapor deposition source using pellets of organic material for making OLED displays
US6909839B2 (en) 2003-07-23 2005-06-21 Advanced Technology Materials, Inc. Delivery systems for efficient vaporization of precursor source material
JP2005046941A (ja) 2003-07-31 2005-02-24 Canon Inc ケーブル微動ユニット付きステージ装置
US7261118B2 (en) 2003-08-19 2007-08-28 Air Products And Chemicals, Inc. Method and vessel for the delivery of precursor materials
US7109113B2 (en) 2004-01-30 2006-09-19 Micron Technology, Inc. Solid source precursor delivery system
WO2006009872A1 (en) 2004-06-22 2006-01-26 Arkema Inc. Direct injection chemical vapor deposition method
US20060037540A1 (en) 2004-08-20 2006-02-23 Rohm And Haas Electronic Materials Llc Delivery system
US7638002B2 (en) 2004-11-29 2009-12-29 Tokyo Electron Limited Multi-tray film precursor evaporation system and thin film deposition system incorporating same
US7484315B2 (en) 2004-11-29 2009-02-03 Tokyo Electron Limited Replaceable precursor tray for use in a multi-tray solid precursor delivery system
US7708835B2 (en) 2004-11-29 2010-05-04 Tokyo Electron Limited Film precursor tray for use in a film precursor evaporation system and method of using
US20060185597A1 (en) 2004-11-29 2006-08-24 Kenji Suzuki Film precursor evaporation system and method of using
US7488512B2 (en) 2004-11-29 2009-02-10 Tokyo Electron Limited Method for preparing solid precursor tray for use in solid precursor evaporation system
US7722720B2 (en) 2004-12-08 2010-05-25 Rohm And Haas Electronic Materials Llc Delivery device
DE102004062552A1 (de) 2004-12-24 2006-07-06 Aixtron Ag Vorrichtung zum Verdampfen von kondensierten Stoffen
US20070042119A1 (en) 2005-02-10 2007-02-22 Larry Matthysse Vaporizer for atomic layer deposition system
US20080191153A1 (en) 2005-03-16 2008-08-14 Advanced Technology Materials, Inc. System For Delivery Of Reagents From Solid Sources Thereof
US7651570B2 (en) 2005-03-31 2010-01-26 Tokyo Electron Limited Solid precursor vaporization system for use in chemical vapor deposition
US7485338B2 (en) 2005-03-31 2009-02-03 Tokyo Electron Limited Method for precursor delivery
US20070194470A1 (en) 2006-02-17 2007-08-23 Aviza Technology, Inc. Direct liquid injector device
JP5209899B2 (ja) 2006-05-22 2013-06-12 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. デリバリーデバイス
US20080241805A1 (en) 2006-08-31 2008-10-02 Q-Track Corporation System and method for simulated dosimetry using a real time locating system
JP5073751B2 (ja) 2006-10-10 2012-11-14 エーエスエム アメリカ インコーポレイテッド 前駆体送出システム
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US9109287B2 (en) 2006-10-19 2015-08-18 Air Products And Chemicals, Inc. Solid source container with inlet plenum
US8708320B2 (en) 2006-12-15 2014-04-29 Air Products And Chemicals, Inc. Splashguard and inlet diffuser for high vacuum, high flow bubbler vessel
KR20080076173A (ko) 2007-02-15 2008-08-20 삼성전자주식회사 금속 산화막 패턴 형성 방법 및 이를 이용한 반도체 소자의형성 방법
US7846256B2 (en) * 2007-02-23 2010-12-07 Tokyo Electron Limited Ampule tray for and method of precursor surface area
US9034105B2 (en) * 2008-01-10 2015-05-19 American Air Liquide, Inc. Solid precursor sublimator
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
JP6070176B2 (ja) 2012-12-27 2017-02-01 大日本印刷株式会社 転写箔

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103858212A (zh) * 2011-09-30 2014-06-11 株式会社富士金 气化器
CN103858212B (zh) * 2011-09-30 2016-06-08 株式会社富士金 气化器
CN105003825A (zh) * 2014-04-18 2015-10-28 应用材料公司 自动再填充安瓿及其使用方法
US11505863B2 (en) * 2019-05-31 2022-11-22 Applied Materials, Inc. Methods for forming films on substrates

Also Published As

Publication number Publication date
US10465286B2 (en) 2019-11-05
US20170029946A1 (en) 2017-02-02
AU2003245757A1 (en) 2004-02-09
KR101152715B1 (ko) 2012-06-15
JP2014111842A (ja) 2014-06-19
JP2016104912A (ja) 2016-06-09
EP2361672A3 (en) 2011-11-23
TWI303461B (en) 2008-11-21
US9469898B2 (en) 2016-10-18
US20150218696A1 (en) 2015-08-06
US20120153048A1 (en) 2012-06-21
US8444120B2 (en) 2013-05-21
KR20050021558A (ko) 2005-03-07
TW200405484A (en) 2004-04-01
US6921062B2 (en) 2005-07-26
SG179319A1 (en) 2012-04-27
US20130228476A1 (en) 2013-09-05
WO2004010463A3 (en) 2004-08-19
JP6293699B2 (ja) 2018-03-14
US7828274B2 (en) 2010-11-09
CN100438960C (zh) 2008-12-03
JP2006503178A (ja) 2006-01-26
EP2361672A2 (en) 2011-08-31
JP4843218B2 (ja) 2011-12-21
US20110052482A1 (en) 2011-03-03
EP1539336A4 (en) 2008-04-09
CN101033537A (zh) 2007-09-12
US9004462B2 (en) 2015-04-14
EP1539336A2 (en) 2005-06-15
KR20120032554A (ko) 2012-04-05
SG10201502354RA (en) 2015-05-28
US20090136668A1 (en) 2009-05-28
CN101476115A (zh) 2009-07-08
EP1539336B1 (en) 2012-12-05
JP2010111946A (ja) 2010-05-20
US20040016404A1 (en) 2004-01-29
JP2015158015A (ja) 2015-09-03
JP6157025B2 (ja) 2017-07-05
KR101178030B1 (ko) 2012-08-29
US8128073B2 (en) 2012-03-06
SG167665A1 (en) 2011-01-28
JP5538857B2 (ja) 2014-07-02
KR20080098448A (ko) 2008-11-07
JP6230431B2 (ja) 2017-11-15
AU2003245757A8 (en) 2004-02-09
US20150337436A1 (en) 2015-11-26
KR20100137016A (ko) 2010-12-29
KR101178002B1 (ko) 2012-08-28
WO2004010463A2 (en) 2004-01-29

Similar Documents

Publication Publication Date Title
CN1671466A (zh) 蒸发器输送安瓿
CN103031542B (zh) 用于递送汽化源材料的装置
CN101905126A (zh) 有助于增进气体与汽化材料接触的方法和装置
KR100631719B1 (ko) 플라즈마 중합장치의 가스 공급 구조

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
ASS Succession or assignment of patent right

Owner name: ANGES INC.

Free format text: FORMER OWNER: ADVANCED TECHNOLOGY MATERIALS, INC.

Effective date: 20150610

C41 Transfer of patent application or patent right or utility model
TR01 Transfer of patent right

Effective date of registration: 20150610

Address after: Massachusetts, USA

Patentee after: MYKROLIS Corp.

Address before: American Connecticut

Patentee before: Advanced Technology Materials, Inc.

C56 Change in the name or address of the patentee
CP01 Change in the name or title of a patent holder

Address after: Massachusetts, USA

Patentee after: ENTEGRIS, Inc.

Address before: Massachusetts, USA

Patentee before: MYKROLIS Corp.

CX01 Expiry of patent term

Granted publication date: 20081203

CX01 Expiry of patent term