CN1675778A - 具有到沟道的钝化肖特基势垒的绝缘栅场效应晶体管 - Google Patents

具有到沟道的钝化肖特基势垒的绝缘栅场效应晶体管 Download PDF

Info

Publication number
CN1675778A
CN1675778A CNA038194961A CN03819496A CN1675778A CN 1675778 A CN1675778 A CN 1675778A CN A038194961 A CNA038194961 A CN A038194961A CN 03819496 A CN03819496 A CN 03819496A CN 1675778 A CN1675778 A CN 1675778A
Authority
CN
China
Prior art keywords
semiconductor
channel
boundary layer
metal
semiconductor channel
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA038194961A
Other languages
English (en)
Other versions
CN100557816C (zh
Inventor
丹尼尔·E·格鲁普
丹尼尔·J·科尼利
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Acorn Technologies Inc
Original Assignee
Acorn Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/217,758 external-priority patent/US7084423B2/en
Application filed by Acorn Technologies Inc filed Critical Acorn Technologies Inc
Publication of CN1675778A publication Critical patent/CN1675778A/zh
Application granted granted Critical
Publication of CN100557816C publication Critical patent/CN100557816C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/47Schottky barrier electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28537Deposition of Schottky electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0895Tunnel injectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • H01L29/456Ohmic electrodes on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4908Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET for thin film semiconductor, e.g. gate of TFT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66083Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by variation of the electric current supplied or the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched, e.g. two-terminal devices
    • H01L29/6609Diodes
    • H01L29/66143Schottky diodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66643Lateral single gate silicon transistors with source or drain regions formed by a Schottky barrier or a conductor-insulator-semiconductor structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66666Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66848Unipolar field-effect transistors with a Schottky gate, i.e. MESFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7827Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7839Field effect transistors with field effect produced by an insulated gate with Schottky drain or source contact
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/80Field effect transistors with field effect produced by a PN or other rectifying junction gate, i.e. potential-jump barrier
    • H01L29/812Field effect transistors with field effect produced by a PN or other rectifying junction gate, i.e. potential-jump barrier with a Schottky gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/80Field effect transistors with field effect produced by a PN or other rectifying junction gate, i.e. potential-jump barrier
    • H01L29/812Field effect transistors with field effect produced by a PN or other rectifying junction gate, i.e. potential-jump barrier with a Schottky gate
    • H01L29/8126Thin film MESFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/958Passivation layer

Abstract

一种晶体管,包括置于一个半导体沟道(220),置于一个栅极(270)附近,并在一个源极(250)和一个漏极(260)间的一个电气通路内,其中上述沟道与上述源极或漏极中的至少一个通过一个界面层(230,240)隔离,以形成一个沟道-界面层-源极/漏极连接,其中上述半导体沟道的一个费米能级在上述连接的一个临近区域内被解除钉扎,并且该连接具有一个小于约1000Ω-μm2的接触电阻率。该界面层可能包括一种钝化材料,如上述沟道半导体的一种氮化物、一种氟化物、一种氧化物、一种氮氧化物、一种氢化物和/或一种砷化物。某些情况下,该界面层主要由一个单层或一定量的钝化材料构成,该单层被配置来对该沟道半导体的费米能级解除钉扎,该钝化材料的量足以终止该半导体沟道的所有或大量的悬空键,以取得表面的化学稳定性。另外,该界面层可能包括一个由不同于该钝化材料的一种材料构成的隔离层。如果具备此隔离层,则该层具有一个厚度,足以降低半导体沟道中金属导致的隙态的效果。

Description

具有到沟道的钝化肖特基势垒的绝缘栅场效应晶体管
技术领域
本发明为一相关申请,其为由Daniel Grupp和Daniel J.Connelly于2002年8月12日提交的未决的名为“用于在一个电气连接或包含此类电气连接的装置中对一个半导体的费米能级解除钉扎的方法”的第10/217758号美国专利申请的部分延续申请案,该专利被转让予本申请的申请人。据此本专利申请通过参照与其相关申请合并。
本发明涉及一种固态开关及放大装置,特别是涉及一种从源极到沟道和/或从沟道到漏极之间具有钝化金属-半导体连接的晶体管,并且构成该沟道的一个半导体的费米能级在该连接内被解除钉扎(depin)。
背景技术
金属-半导体连接,是用于现代化装置中的最基本的电气连接中的一种。在这些连接中,一种金属(如铝)与一种半导体(如硅)相接处。这样形成一种固有校正的装置(一个二极管),这种连接使得电流在一个方向上的传导比另外一个方向上的传导变得容易。在其它实例中,根据材料使用的不同,该连接可能本质上符合欧姆定律(如,该连接可能在任一方向上都具有可忽略的电阻)。除二极管外,这种金属-半导体连接还用于一种名为MOSFET的晶体管(金属氧化物半导体场效应晶体管)内源极/漏极-沟道界面上。
如同以上所引用的专利申请中所解释的,在一个金属-半导体接触处有一称为肖特基的势垒。在一个传统金属-半导体连接中,由于外部及内部的表面态,该肖特基势垒具有半导体费米能级钉扎(pin)的特点。外部状态可能由界面晶体结构上的缺陷导致。内部状态由金属内部的电子到半导体的带隙的量子-机械穿刺导致。这些所谓的金属导致的断裂状态(MIGS)对解释此类连接的特性非常重要。请参阅J.Tersoff的“Schottky BarrierHeights and the Continuum of Gap States”,Phys.Rev.Lett.52(6),Feb.6,1984。
一个金属-半导体界面的肖特基势垒的高度决定该连接的电特性。这样,如果可以控制或调节一个金属-半导体连接的势垒高度,就可以生产具有预想特性的电气产品。为了调整该势垒高度,该半导体的费米能级必须被解除钉扎。如在上述专利申请中详细介绍的,本发明人在一台装置中在保证金属及半导体之间较大电流的前提下实现了此目的。以下介绍了此科技在MOSFET装置中的一种应用。
采用肖特基连接的MOSFET有一个相当长而基本无成果的历史。1966年,Lepselter和Kahng对肖特基二极管进行了研究。硅化物的使用对先前用的金属/半导体接触而言是一种改进。这些二级管稳定且可再生,如同当时的发明者所述,部分原因是因为界面是封闭的。硅化物还可以降低外部表面态(故障)。剩余钉扎最可能是由内表面态导致的,尽管这种观点在当时并没有被接受。随后,Lepselter和Sze把肖特基势垒引入了一个MOSFET(请参阅M.P.Lepselter和S.M.Sze的“SB-IGFET:An insulated-fatefield-effect transistor using Schottky barrier contacts as soureeand drain”,Proc.IEEE56,1088(1968))。授予Lepselter的美国专利第3590471号讨论了肖特基势垒的引入,但其中沟道仍是基本通过掺杂的区域来绝缘。第一个通过肖特基势垒绝缘一个沟道的专利(美国专利第3708360号)在1973年被授予Wakefield和Cunningham。该装置也采用了硅化物连接。
在美国专利第4300152号内,Lepselter描述了一个肖特基势垒MOSFET。通过消除源极-基片区域的PN-连接,Lepselter显示了造成Latch-up的PNPN结构可以被消除。然而,其所提出的装置仍然采用PtSi作为源极和漏极的金属。
在授予Koeneke et al的美国专利第4485550号内可以找到Lepselter早期工作的一个延续。在这些装置中,在源极金属之外另增加了一个额外的掺杂。这与现代CMOS的卤素掺杂类似。该额外掺杂通过把沟道边沿置于栅极之下而提高了晶体管驱动电流容量。该装置中沟道绝缘来自一个PN连接,而不是PtSi源极金属。通过利用蚀刻使源极/漏极接触凹进,进行了一个将源极置于栅极之下的尝试(参阅C.J.Koeneke et al.,“SchottkyMOSFET for VLSI”,IEDM,367(1981))。然而侧壁空隙仍然是一个限制因素。如美国专利第6303479号所述,Snyder对此进行了改进,该专利还揭示了不受水平轮廓控制的情况下对垂直掺杂轮廓进行控制的能力。这些接触也是由PtSi制成的。
授予Chan et al.的美国专利第6096590号,描述了一个PtSi/Si连接未被凹进的装置。这产生一个来自沟道边沿的栅极减弱的耦合的较差的次门限坡度。该专利所介绍的测量显示了呈指数增长的次门限坡度,说明了肖特基势垒太高。进一步,栅极-源极电容也将会较高。
近期内,一些在一个源极/漏极和一个沟道之间具有金属-半导体连接的具有低于50nm沟道长度的MOSFET装置被进行过展示,它们采用了PtSi2(参阅,如C.Wang et al.,“sub-40nm PtSi Schottky source/drainmetal-oxide field-effect transistors”,Appl.Phys.Lett.74,1174,(1999);及A.ltoh et al.,“A 25-nm-long channel metal-gate p-typeSchottky source/drain metal-oxide-semiconductor field-effecttransistor on separation-by-implanted-oxygen substrate”,J.JournalAppl.Phys.Part 1 39,4757(2000))、ErSi2(请参阅,如J.Kedzierskiet al.,“Complementary silicide source/drain thin-body MOSFETs forthe 20nm gate length regime”,IEDM Tech.Dig.,International ElectronDevices Meeting 2000,San Francisco,CA,p.00-57(2000);和W.Saitohet al.,“Analysis of short-channel Schottky source/drain metal-oxide-semiconductor field-effect transistor on silicon-on-insulator substrate and demonstration of sub-50nm n-type deviceswith metal gate”,J.Journal Appl.Phys.Part 1 38,6226(1999))及CoSi2(参阅,如U.K.Matsuzawa et al.,“Enhancement of hot-electrongeneration rate in Schottky source metal-oxide-semiconductorfield-effect transistors”,Appl.Phys.Lett.76,3992(2000))作为源极/漏极金属。另外,一直到10nm的沟道长度的仿真都得以实施(参阅,如C.K.Huang et al.,“Two-dimensional numerical simulation of Schottkybarrier MOSFET with channel length to 10nm”,IEEE Trans.On Elect.Dev.45,742(1998)),尽管较差的装置参数的选择限制了实施的结果,如一个很大的ΦB。这些装置的性能部分地受到对源极和漏极与沟道的界面处的肖特基势垒高度的控制失利,尤其是其降低控制失利的限制。
本发明人仅发现两个关于一个肖特基势垒MOSFET的一种非硅化物纯金属/硅接触的实施例的揭露。授予Welch的美国专利5663584,似乎是在描述肖特基势垒MOSFET系统及其制造;然而其中提及一个“金属或金属硅化物”的接触。这对于具有一个受控势垒高度的装置的制造是不适宜的。即,没有揭露任何表面处理或界面电介质。
Hebiguchi在美国专利5801398中的揭示可能更具有实用性,说明了一个用于制造一个如显示器种所用的薄膜晶体管的方法。在此装置(一个场效应晶体管或FET)中,源极/漏极与硅沟道间的接触是金属的(前面列举了一系列的可能性),但同样,其中没有提及表面准备。
请参阅图1所示,表示了Hebiguchi所介绍的FET100。该晶体管包括一个玻璃基片110、一个栅极120、一个栅绝缘膜130、一个漏极140、一个源极150以及一个半导体活性膜160。在操作中,电压施加于源极150及栅极120。施加于栅极120的电压改变半导体活性膜160的电气特性,使得电流可以由源极150流向漏极140。特别地,施加于栅极120的电压在半导体活性膜160内具栅绝缘膜130一个短距离处造成一个沟道产生区域170,电流可以通过此区域传导。
Hebiguchi描述半导体活性膜160为氢化的无定型硅,漏极和源极140、150由导电材料(金属)如铬(Cr)、铝(Al)、钽(Ta)、铂(Pt)、金(Au)、钨(W)、镍(Ni)、钼(Mo)或这些材料的特定组合,半导体活性膜160和源极及漏极150、140之间的连接是绝缘性的肖特基势垒。被选作一个n-沟道薄膜晶体管的该金属是基于具有一个小于硅的工作特性功函数而选择的,而被选作一个p-沟道薄膜晶体管的金属具有一个大于硅的工作特性。
Hebiguchi内所讨论的晶体管的一个显著局限是,普遍较差的对肖特基势垒高度的控制。众所周知,使用功函数差异大的不同金属倾向于造成肖特基势垒高度在一个显著减小的范围内变化。进一步,Hebiguchi内没有采用特别的措施来控制或减小外表面态。
Yoshimura在美国专利6037605内介绍了另一种到沟道的连接,其明确目的为降低短沟道效果。一种氧化物或氮化物置于硅源极和漏极接触及一个硅沟道之间。该氧化物远较该沟道(在硅内部)为厚,以降低不受栅极控制的电流。该氧化物的厚度“足够薄以允许电荷穿隧”,并在其中揭露为0.5到2.0nm之间。这与本发明不同,以下将用几种不同的方法来进行详细介绍,如采用硅而不用一种金属作为源极/漏极。
发明内容
依据本发明提供的一种晶体管,包括一个置于栅极附近(如由一个电介质与栅极隔开)或置于一个源极和一个漏极之间的电气通路内的半导体沟道,其中该沟道及该源极和漏极中的至少一个通过一个界面层隔离,以形成一个沟道-界面层-源极/漏极连接,该连接中半导体沟道的一个费米能级被在临近连接点的一个区域内被解除钉扎,并且该连接具有一个小于大约1000Ω-μm2的接触电阻率(spccific contact resistance)。该界面层可能包括一种钝化材料,如该沟道半导体的一种氮化物、一种氟化物、一种氧化物、一种氮氧化物、一种氢化物和/或一种砷化物。在一些实例中,该界面层主要由一个设置来对该沟道半导体的费米能级解除钉扎的单层构成,或一定量的钝化材料,其足以终止所有的或大量的半导体沟道的悬空键,以获得表面的化学稳定性。另外,该界面层还可能包括一个用料不同于钝化材料的分离层。如果如此,该分离层具有一个足够的厚度以降低半导体沟道的内表面态的效果。
依据本发明提出的一种具有到沟道的钝化肖特基势垒的绝缘栅场效应晶体管,该晶体管,包括置于一个半导体沟道220,置于一个栅极270附近,并在一个源极250和一个漏极260间的一个电气通路内,其中上述沟道与上述源极或漏极中的至少一个通过一个界面层(230,240)隔离,以形成一个沟道一界面层-源极/漏极连接,其中上述半导体沟道的一个费米能级在上述连接的一个临近区域内被解除钉扎,并且该连接具有一个小于约1000Ω-μm2的接触电阻率。该界面层可能包括一种钝化材料,如上述沟道半导体的一种氮化物、一种氟化物、一种氧化物、一种氮氧化物、一种氢化物和/或一种砷化物。某些情况下,该界面层主要由一个单层或一定量的钝化材料构成,该单层被配置来对该沟道半导体的费米能级解除钉扎,该钝化材料的量足以终止该半导体沟道的所有或大量的悬空键,以取得表面的化学稳定性。另外,该界面层可能包括一个由不同于该钝化材料的一种材料构成的隔离层。如果具备此隔离层,则该层具有一个厚度,足以降低半导体沟道中金属导致的隙态的效果。
上述说明仅是本发明技术方案的概述,为了能够更清楚了解本发明的技术手段,而可依照说明书的内容予以实施,并且为了让本发明的上述和其他目的、特征和优点能够更明显易懂,以下特举较佳实施例,并配合附图,详细说明如下。
附图说明
图1是绘示了一个在源极和沟道之间及沟道和漏极之间具有金属-半导体肖特基连接的传统FET示意图。
图2是绘示了一个根据本发明的一个实施例从源极到沟道之间及从沟道到漏极之间具有钝化的金属-半导体连接的FET示意图。
图3是绘示了一个用于制造一个根据本发明的一个实施例从源极到沟道之间及从沟道到漏极之间具有钝化的金属-半导体连接的晶体管的方法示意图。
图4A-4I是绘示了根据本发明一个实施例的表示制造一个具有在从一个铝源极到一个硅沟道及从一个硅沟道到一个铝漏极之间形成的钝化的铝-硅连接的一个晶体管的中间基片示意图.
图5A是绘示了一个传统的FinFET装置的部分示意图。
图5B是绘示了根据本发明的一个实施例的一个在源极/漏极和沟道之间具有界面层的一个FinFET装置的部分示意图。
图6A是绘示了一个传统的垂直MOSFET装置的部分示意图。
图6B是绘示了根据本发明的一个实施例的一个在源极/漏极和沟道之间具有界面层的一个垂直MOSFET装置的部分示意图。
图7A是绘示了一个传统的MESFET装置的部分示意图。
图7B是绘示了根据本发明的一个实施例的一个在栅极和沟道之间具有界面层的一个MESFET装置的比例示意图。
图8是绘示了根据本发明的一个实施例的具有沟道势垒的不同N-型装置在不同栅极电压条件下的运作示意图。
具体实施方式
为更进一步阐述本发明为达成预定发明目的所采取的技术手段及功效,以下结合附图及较佳实施例,对依据本发明提出的具有到沟道的钝化肖特基势垒的绝缘栅场效应晶体管其具体实施方式、结构、方法、步骤、特征及其功效,详细说明如后。
上述提到的未决的专利申请描述了一个对硅基片或锗半导体(如硅、碳化硅、铬化硅、碳铬化硅或铬)解除钉扎的一个金属-半导体连接,或具有这样一个连接的其它装置的费米能级的过程。正如在此申请中所更加详细讨论的,在该半导体及该金属之间引入了一个界面层。该界面层具有钝化半导体表面(即,终止半导体表面的悬空键以确保表面的化学稳定性,如无该界面层,这些悬空键将可能存在)及将半导体从金属偏移以降低MIGS的效果的功能。
以下将更详细地介绍,本发明人确定,对于为形成一个金属-界面层-半导体连接而置于一个金属及一个硅基片或锗半导体(如硅、碳化硅、铬化硅、碳铬化硅或铬)之间的薄界面层,存在相应的最小接触电阻率。的确,根据本发明,对于这些连接,可以得到小于或等于大约1000Ω-μm2,或者小于或等于大约100Ω-μm2,或者小于或等于大约10Ω-μm2,或者甚至小于或等于大约1Ω-μm2的最小接触电阻率。为了得到如此低的接触电阻,选择了一种具有一个与N-型半导体的半导体导带,或P-型半导体的价带相临近的功函数的金属。
请参阅图8所示,可以理解使用这些金属的效果(或原因)。图例中展示的是带示意图的一个2×2的栅格。上面两个示意图显示了一个具有沟道势垒(根据本法明设置的一个界面层)及一个在不同栅极电压下具有与半导体沟道导带相等的功函数的金属源极/漏极的N-型装置的运作。注意到当栅极-源极电压(VGS)为0时,该装置状态为OFF(如不导电)。当VGS>0时,该装置状态为ON(如导电)。下面两个示意图显示了一个具有一个其功函数与该半导体沟道中能隙相等的金属源极/漏极的N-型装置的运作。注意到即便当其状态为ON(如VGS>0)时,因为能带偏移,也存在阻碍电流的势垒。这导致了一个较高的接触电阻。
以下将要讨论到,上述专利申请中描述的界面层可以与一个FET的一个沟道的一个半导体表面共同使用。即,一个界面层可以被置于一个绝缘栅场效应晶体管的一个源极和一个沟道之间,一个沟道和一个漏极之间,或者同时置于两处。此处将对一个界面层的这种用法做一详细介绍。本发明提供了一个栅极-沟道和/或沟道-漏极接触,其中根据所采用的半导体材料和金属的类型,栅极和/或漏极金属的费米能级等于(或近似于)该半导体的导带或价带。
另外,本发明的连接可以用来制造源极或漏极植入空穴的接触,其具有降低高掺杂水平(现在的掺杂水平已经达到了固溶度的极限)需要的优点。过去需要高的掺杂轮廓,以保持连接损耗层相对较薄,从而增大沟道电流,以降低连接阻抗。然而,通过提高掺杂轮廓来提供低阻抗连接变得越来越困难。使用本发明,可能在一个较低的掺杂浓度下达到同样水平的阻抗。甚至可能在较低掺杂浓度下达到更低的阻抗。当本发明被结合高掺杂水平使用时,可以进一步降低阻抗。
为了帮助理解本发明,下列描述提供了本发明的较佳实施例的具体细节。然而,对于本领域的技术人员来说,很容易做到在不使用某些上述具体细节的情况下实施本发明,如通过替换此处介绍的众所周知的半导体、钝化层和/或导电体所用的同等材料。在介绍众所周知的结构和装置时,使用了结构示意图,部分地说明本发明在一个广泛的此类结构和装置中的可应用性。
本发明人设计了一个用来钝化一个肖特基势垒晶体管内的一个半导体表面如一个沟道表面的机制。该机制包括形成一个钝化层,该钝化层是构成该沟道的半导体氮化物、氢化物、氧化物、砷化物和/或氟化物。某些实例中(如当该钝化层为该沟道半导体的氢化物或氟化物时),有可能还需要在该半导体及金属源极/漏极之间引入一个隔离层,以降低或消除半导体沟道内的内表面态。术语界面层将会与本发明结合使用,以包括单独的钝化层,当此类隔离层适用时,还包括与隔离层结合的钝化层。
界面层对半导体沟道表面提供化学上的中和及物理上的保护。界面层还造成一个可调的肖特基势垒,其具有依赖于该沟道半导体和源极/漏极金属重要特性而不依赖于表面特征的高度。这使势垒高度在更大程度上被控制以满足晶体管需要的操作特性。这个机制与以往其他发明者用来绝缘沟道表面并提供可调的肖特基势垒的尝试不同,其区别在于该界面层的该钝化材料不仅局限于易于与该半导体沟道形成硅化物的金属。换言之,本发明消除了其它考虑中为半导体钝化的目的而选择源极/漏极金属的需要,如对一种特别的功函数的需要。它进一步提供了比以往更大程度上的对肖特基势垒高度的控制。
I.一个示例性的晶体管
请参阅图2所示,显示了在一个基片210(如一个半导体基片(如硅)或者一种化合物基片(如SOI))上形成,并参照本发明一个实施例设置的一个晶体管200。该晶体管200包括一个沟道220,其分别通过界面层230和240与一个源极250和一个漏极260,每个界面层形成钝化的肖特基势垒。所显示的作为一个开关装置例子的晶体管200为一个绝缘栅极FET。界面层230和240通过稳定沟道表面的化学特性而在源极/漏极-沟道连接区域内钝化管道220表面。界面层230和240还通过使源极/漏极(250/260)由沟道220偏移而在源极/漏极-沟道连接的区域内降低或消除MIGS的效果。在半导体沟道220和金属源极/漏极250/260之间引入界面层230和240的结果是构成沟道220的半导体的费米能级的一个钉扎解除。当半导体的费米能级被解除钉扎时,肖特基势垒的高度将仅依赖于与该连接相接触的金属和半导体重要功函数的区别,而不依赖于界面。MIGS钉扎费米能级的效果的降低依赖于界面电介质的选择。在金属和电介质的界面将会存在MIGS。一般地,主要由于有更大的带隙,电介质有比半导体更弱的MIGS。因此,点介质的选择对决定最终肖特基势垒连接的电特性非常重要。
晶体管200还包括一个被一个绝缘体280环绕的栅极270。技术术语栅极、源极和漏极分别指一个具有三个终端的绝缘栅FET的终端。源极250和漏极260是在一个施加于栅极270的电压造成的电场的影响下电流传导发生的终端。源极250和漏极260被提供于沟道220的相对的末端,即沟道220位于源极250和栅极260之间。尽管本发明没有要求,通常源极250和漏极260都被做成几何对称。对称的源极和漏极终端可以以源极/漏极终端来表示。当该FET在一个电路中运作时,根据电压的施加,一个特定的终端可以指一个源极或一个漏极。在所示的特定晶体管200内,源极250被提供于沟道220的一个左手侧而漏极260则被提供于沟道220的一个右手册。当然也可以设想另外一个实施例,其中源极250和漏极260位置互相替换。
源极250和漏极260可能各自包括一个导体,最好是一种金属。可以采用的金属包括纯金属、合金、难熔金属、不能构成硅化物的金属和具有一个预定的功函数的金属。同样也可能包括一个导体,如一种金属的栅极270,位于沟道220的上方,通过绝缘体280与沟道220电容耦合。注意,在其它的实施例中,该晶体管的三个终端可能在同一平面,或者栅极270可能位于源极250和/或漏极260平面之下的基片210内。
绝缘体280(可能由一种电介质如该金属栅极的或一个半导体的氧化物构成)围绕栅极270并将栅极270由源极250、漏极260、沟道220及界面层230和240隔开。具有一足够厚度的绝缘体280在栅极270和沟道220之间提供一个大电阻,以使得栅极270和沟道220之间基本上没有电流通过。例如,电阻可能为一约108Ohms到约1012Ohms之间的阻值,或者更大。这样一个电阻可使栅极270和沟道220电容耦合,同时阻止电流在栅极270和沟道220之间通过。
绝缘体280同样也可能,或二者择一地包括一个具有一个比二氧化硅高的电介质常数(如高于约4.0)的高K值电介质材料。该高K值材料可使相同栅极电压下在一较厚层内得到栅极270下面的沟道220内引起的同样的电流。即,较高的电介质常数使绝缘体280较一个提供同等绝缘水平的对应二氧化硅层的厚度大。厚度的增加可以简化晶体管200的制造。较厚的层还具有比一个较薄的SiO2层小的漏电流。可推想的示范性的高K值电介质材料还包括具有一个大于约4(如氧化锌ZnO)、大于约10(如氧化铝Al2O3)、大于约20(如氧化锆ZrO2)或大于约40(如氧化铪HfO)的电介质常数的材料。
如以上所讨论的,沟道220包括一个半导体材料。技术术语半导体被用来指一种具有一个大于约0.1电子伏特而小于约4电子伏特的能带隙材料。可推想的半导体包括Si、Ge、SiGe或SiC。该半导体材料可能有一个很宽的包括无掺杂的掺杂范围。界面层230和240各自包括一种对沟道220提供钝化的钝化材料。该钝化材料通过与一个表面半导体原子的悬空键以共价键耦合,而完全地稳定该半导体原子并以此帮助钝化该半导体原子。该钝化材料从由该半导体沟道220的一种氢化物、一种氮化物、一种砷化物、一种氧化物和一种氟化物的一组材料中选择。根据选择的钝化材料(e.g.H或F被用作钝化材料),在界面层230和240内,除一个钝化层之外,可能还需要一个隔离层。当然,钝化层和隔离层的组合必须足够薄以得到上述专利申请中描述的较低的接触电阻率。关于一个界面层形成的更深的细节可以在上述专利申请中找到,故在此不再赘述。
II.制造一个具有对沟道的钝化肖特基势垒的晶体管
请参阅图3所示,表示了本发明的一个实施例中一个用于制造一个在由源极到沟道和从沟道到漏极之间具有钝化的金属-半导体连接的晶体管300的一种方法。该方法由单元301开始,然后继续到310,此处一基片被提供。在单元320处,一绝缘栅极结构在基片上被形成。该绝缘栅极结构可以包含一个具有一传导材料(即一种金属)的栅极和一个在该传导材料下具有一绝缘材料的栅极绝缘体。然后在单元330处一沟道在该绝缘栅下被形成。该沟道可能包括在栅极电介质之下并延伸到在该栅极绝缘体下两端的沟道内的底切中空区域。然后流程进行到单元340,一个界面层在沟道边被形成。该界面层的形成包括创建一个钝化层和(如果必须)一个使用一种或多种上面讨论过的材料的隔离层。然后在单元350处一个源极和漏极在该沟道处的相对面被形成,包括在该界面层上和在任何底切区域内。依赖于该界面层的厚度和坚固度,该源极和漏极可以通过基本无扩散的方法来形成。该方法终止于单元360。
另一种可选的过程是不使用上面描述的底切。取而代之的是为“负重叠”。即在该可选处理中,该栅的宽度被有意地做成小于该沟道的宽度以便该栅可以局部置于该沟道之下。
III.用于制造一个晶体管的典型处理
请参阅图4A-I所示,表示本发明的一个实施例中的中间基片,其表现了图4I中所示的晶体管的制造。图4I所示的晶体管包含一个形成于从铝源极492I到硅沟道430I和从硅沟道430I到铝漏极494I的钝化的铝硅结482I和484I。然而,这仅是根据本发明设计的一个晶体管的一个例子。例如,栅极、源极和漏极在一个基片内或其上的平面内的平面装置也在本发明的范围之内,如同栅极置于源极和/或漏极之下的一个平面内的实施例。同样地,那些栅极的宽度小于沟道宽度的实施例也在本发明的范围之中。
因此图4A-I表示根据方法300来制造一个晶体管的实施例。图4A-4C表示了提供一个基片的提供和在该基片上形成一个绝缘栅。图4D-4E表示了在该绝缘栅下面形成一个沟道,该沟道和该绝缘栅确定在绝缘栅极之下由该绝缘栅极的一个第一和一个第二侧面向该沟道延伸的一个第一和一个第二底切中空区域。图4G表示了在上述沟道的暴露的侧墙上形成一个界面层。最后图4F,4G和4H表示了在该沟道的一个第一和一个第二侧面包括该界面层上形成一个源极和一个漏极终端,并且在位于该绝缘栅极下的底切中空区域内形成延伸尖部。
图4A表示了一个包含一个硅基片410A的第一中间基片400A,一个隐藏的氧化硅层420A,一个在绝缘体430A上的硅层,一个氧化硅层440A,和一个铝栅450A。410A-430A层表示了一个常规的硅绝缘体(SOI)基片。该SOI基片包括了被隐藏的层440A以便帮助隔离形成于绝缘体的硅层上的附近的半导体设备。与形成于一个体积大的晶片上的半导体设备相比,这个绝缘可以帮助减少短沟道效应。该被隐藏的氧化层由一个厚度,该厚度可以有效隔离绝缘体上的硅,最好大于约10nm。在绝缘体上的该硅层可以有一个大约20nm的厚度或者略少。
正如一个了解本发明所作揭露的本领域内的普通技术人员所可以理解的,该SOI基片并非对本发明的一个局限。可以预想其它的基片来代替SOI基片。例如,该SOI基片可以被一个蓝宝石硅基片来代替,尽管这可能增加制造成本;或者该SOI基片可以被一个平面硅晶片代替,尽管这可能提供半导体装置的较差的绝缘以及较差的短-沟道特性。
如同在一个实施例中所用的二氧化硅,硅440A的氧化物层可以通过在绝缘体上对硅进行湿氧化或干氧化而形成,这是一种普通的半导体处理技术。该层可足够薄以作为一个栅极氧化物,其厚度在约为1nm到约10nm之间。
铝栅极450A形成于氧化物440A之上。该铝栅极具有一个约等于或稍大于所需的沟道长度的宽度451A,该沟道长度在一个实施例中小于约50nm,而在另一个实施例中小于约20nm。如需要栅极宽度在沟道长度基础上的更大幅度增长,可能会增加一个相应的底切蚀刻的程度和角度,而使制造变得复杂。栅极450A可以通过在氧化物440A上安置一个铝层,然后在该铝层上安置一个感光层,利用传统的高解析度平版印刷术将一个包括宽度451A的图案模型曝光于感光层上,按照曝光的图案模型移除感光层的一部分,按照被印模的感光层干蚀刻或湿蚀刻该铝层的一部分,再移除剩余感光层而得到。
图4B显示了一个第二中间基片400B,其具有一个形成于暴露部分上的铝绝缘体(层)460B的氧化物,包括铝栅极450B的顶部及侧部。铝层460B的氧化物具有一个在约1nm及20nm之间的厚度。该厚度可能与层440B的厚度相当,或稍厚一些。较大的厚度可以通过降低栅极和源极之间的电容而提高其性能,但是额外的厚度可能会因为增加底切蚀刻而增加制造的复杂性。形成铝层460B可能包括在一个提供适当氧化环境的氧等离子蚀刻器内氧化铝栅极450A,或者使用在半导体处理领域内的其它常用方法。
图4C显示了一个第三中间基片400C,其具有一个通过移除层440B的不在铝栅极450B或铝氧化物460B之下的一部分而形成的一个栅极氧化物440C。此移除可能包括利用氧化的铝栅极450B-460B作为层440B的一个掩膜而蚀刻掉其不与氧化的铝栅极450B-460B相邻且不被其覆盖的部分。此移除保留了绝缘层430C不临近栅极氧化物440C、铝栅极450C或暴露的氧化物460C的一部分硅。该蚀刻可能包括反应离子蚀刻(RIE)以促进理想的在层440B的表面上正常或垂直方向的蚀刻。RIE是一种干蚀刻过程,其中中间基片400B被置于一个无线频率供电的电极上,并被提供予一个帮助离子化并加速由等离子区取得的蚀刻粒子对蚀刻表面的离子轰击的功能。
图4D显示了一个第4中间基片400D,其具有一个通过移除绝缘层430C上不临近并被栅极氧化物440C覆盖的一部分硅而形成的一个硅沟道。移除可能包括使用四甲基氢氧化铵(TMAH)蚀刻绝缘体上的一个标准Si-100排列的硅,以形成如图中所示的向内朝栅极450D倾斜的成角的斜壁。或者,如果绝缘体上的硅具有一个Si-110排列,则通过TMAH的一个自限制的蚀刻来形成与栅极氧化物440D对齐的相对直的侧壁。
图4E显示了一个第五中间基层400E,其具有一个通过移除临近并与栅极氧化物对齐的沟道430D的一部分形成的底切蚀刻硅沟道430E。该底切蚀刻硅沟道430E包括一个底切蚀刻区域,其中硅被从沟道430D中栅极氧化物440D的一个左右边侧移除,以允许源极和漏极材料随后在栅极氧化物440E的垂直下方的一个形成。移除可能通过一个不定向的或各向同性的带TMAH的干硅蚀刻进行,其中蚀刻沿侧壁以基本统一的量进行。如果绝缘体上的硅的晶体轴并非严格地与平版印刷对齐,TMAH蚀刻可能不完全是自限制的,并有可能因晶体结构的垂直的脊或梯度而形成一个底切蚀刻。
图4F显示了一个第六中间基片400F,其具有分别形成于绝缘的栅极的一个上表面、一个左侧面和一个右侧面上的一个种晶层476F、472F和474F。该种晶层促进了一个金属源极和漏极随后的形成。该种晶层可被各向异性地安置,以使得铝氧化物460F、栅极氧化物440F或沟道430F的垂直侧壁上没有明显的种晶层材料的放置,并使栅极氧化物440F的底切突出的下侧面上基本没有金属放置。这需要将种晶层部分476F由种晶层部分472F和474F电气绝缘。在一实施例中,该种晶层包含来自一个热源的铬。铬提供了理想的电化学特性并适用于形成超薄的膜。
图4G显示了一个第七中间基片400G,其包括通过选择性地由绝缘栅极的上表面移除种晶层部分476F而形成的一个变化的种晶层472G-474G。为了将源极和漏极材料放置于种晶层部分472G和476G之上,而不将源极和漏极材料放置于氧化的铝栅极结构上,需将476F部分移处。因为种晶层部分476F与种晶层部分472F和474F电气绝缘,476F部分可以通过一个硝酸铈铵(CAN)铬蚀刻电化学地移除。例如,种晶层部分472F和474F而非种晶层部分476F可与基片边沿的一个铜电气探针电气耦合,而蚀刻种晶层部分476F而非种晶层部分472F和474F。然而应当注意到,可以推想除另外一个实施例,其中可用图案制膜形成铬层472F和474F,而不形成铬层476F。这可以省去随后铬层476F的移除。
图4H显示了一个第八中间基片400H,其具有形成于沟道430H侧壁上的界面层482H和484H。该界面层可以为一个单层,稍厚于一个单层,小于约0.3mn,小于约0.5nm或小于约1nm(依赖于想要得到的肖特基势垒特性)。即,一些实例中,可使用一定量的足够的钝化材料,以终止所有的或大量的半导体沟道的悬空键,以得到表面的化学稳定性。界面层可以依照前述专利申请中讨论的工艺来形成。如同之前讨论的,可以推想到的钝化材料包括砷、氢、氟、氧和氮,且界面层484H和484H可以由一个钝化层和一个隔离层共同构成。
图4I显示了一个第九中间基片400I,其具有一个形成于种晶材料472H之上而在左底切突出之下的源极492I和一个形成于种晶材料474H之上而在右底切突出之下的漏极494I。源极和漏极具有一个厚度,其延伸到栅极绝缘体440I之上。理想情况下,该厚度可以被精确地控制。上述形成过程可能包括在种晶层472H和474H上镀互不相同的源极/漏极材料。这可能包括放置一层感光材料,照射该感光材料以曝光形成一个具有图案的沟道,移除该感光材料的一部分以曝光种晶层472H和474H,在种晶层472H和474H上镀源极/漏极材料,并移除感光材料的其余部分。根据一个实施例,源极和漏极材料为铝。或者,该源极和漏极材料可以是另一种理想的不同的金属或合金。
图4I所示的晶体管具有多项优点。一般来讲,该晶体管提供了一种简单的构建。这可以允许制造沟道长度小于50nm、小于20nm甚至小于10nm的晶体管。另一个优点是对界面层提供的肖特基势垒高度的更大程度的控制。该势垒高度可以被除源极/漏极和沟道的功函数的差异之外的界面层的特性控制,如钝化材料、层厚度和层形成条件。因为该界面层和源极/漏极材料不须容易与硅沟道形成硅化物,这种对势垒高度的控制能力可以被源极/漏极材料选择的更大的灵活性进一步加强。还有另外一个优点是通过使用一种高导电能力的金属替代经过掺杂的硅而得到的源极的低阻抗。因为掺杂剂的应用会导致一个对过程控制限制,对于一个大规模集成电路制造来讲,从连接移除掺杂剂一般是较具吸引力的。
图4I所示的晶体管的沟道-界面层-源极/漏级连接的独特性在于,半导体沟道的费米能级在一个临近该连接的区域内被解除钉扎,并且该连接具有一个小于约1000Ω-μm2的接触电阻率。对于所有根据本发明配置的晶体管这一点都是统一的。实际上,根据本发明可以获得小于或等于约10Ω-μm2甚至小于或等于约1Ω-μm2的最小接触电阻率。为了得到如此低的接触电阻,需选择一种具有一个临近N-型半导体的半导体导带的功函数或一个临近P-型半导体的价带的功函数的源极/漏极金属。该界面层所起的调节、校正或控制源极/漏极和半导体沟道之间势垒高度的钉扎解除功能包含了通过耦合于半导体材料以消耗悬空键而得到的沟道表面态的降低和通过提供阻止(源极/漏极金属的)电子波形穿刺到半导体沟道内部的一个厚度和能带隙而得到的一个半导体沟道内MIGS形成的降低。上述的电子波形可能穿刺到界面层并利用相应于界面层材料态能量在界面层内部形成MIGS。如同所需要的,可以通过选择一种界面层材料或具有一个比沟道半导体有较大能带隙或较高有效质量的材料来降低MIGS的密度及MIGS在界面层内的穿刺深度。在装置操作中,界面层可以可操作地传导流向半导体沟道或来自半导体沟道的电流。
在一些实施例中,可能需要使用一个具有一个单层厚度的界面层,或者例如在0.1nm和0.3nm之间,并且也具有一个宽能带隙(与沟道的半导体的能带隙相比)以便该界面层即可以对该费米能级解除钉扎(以至该障碍物的高度主要的依靠于连接材料主要特性。)又可以允许足够的电流经过它传递。在一些例子中,该界面可以仅仅包括足够数量的钝化材料以终止该半导体沟道的所有或大量的悬浮键,从而取得该表面的化学稳定性。其优越性在于,这样的界面层可以足够薄以便提供对电流的低阻抗(基于与势垒厚度上的直接沟道的指数关系),这为许多半导体设备所需,同时还提供足够的半导体表面钝化以允许可调节的势垒高度。即,该界面层可以允许半导体的表面态的钝化及MIGS的减少(或消除),以允许一个可让大量电流通过该界面层传导的具有一极薄层的可调的势垒高度。
正如上述专利申请中介绍的,可以通过很多方法来使该障碍物高度被做成可调节的。例如,可调节性可以通过调整费米能级钉扎来实现。也就是说,一些实施例可以允许一个足够薄的界面层以便不是所有在该半导体沟道中的MIGS的效果都被消除。而且,该钉扎可以通过该界面层厚度和界面材料选择的结合来改变。与该界面层接触的该金属可以被MIGS在不同材料中的不同能级中钉扎。相反地,或者另外,该半导体沟道钝化可以不被完成以便允许一个有效程度的未被钝化的态。完全的费米能级钉扎解除(即移除包括MIGS在内的所有在半导体沟道内的表面态)是另外一种选择,这种情况下可以通过选择一种拥有理想的功函数的纯金属或一种合金来简单地调整该势垒高度。
综上所述,一个用于制造一个在一个半导体基片上的晶体管的实施例包括:(1)在该半导体基片上通过氧化该半导体基片以形成一个半导体氧化物电介质层;(2)在该半导体氧化层上通过在该半导体氧化物上放置一个金属层和利用平版印刷曝光移除该被放置的金属层的一部分而形成一个金属栅级;(3)在该金属栅级被曝光的部分上通过氧化该金属栅级而形成一个金属氧化物电介质层;(4)通过移除被形成于该金属栅级上的金属氧化物保护的一部分半导体氧化层来形成一个栅极氧化物电介质;(5)通过移除没有被形成于该金属栅极上的金属氧化物保护的那部分半导体基片来形成一个半导体沟道结构;(6)通过移除一个位于该栅极氧化物左手端的下方并且在栅极氧化物的下面向该沟道顶端内部延伸的一个源极尖部和移除一个位于该栅极氧化物右手端的下方并且在栅极氧化物的下面向该沟道顶端内部延伸的一个漏极尖部来形成一个包含一个源极尖部的源极底切区域和一个漏极尖部的漏极底切区域的半导体沟道;(7)通过各向异性地放置种晶层材料,以使得种晶层材料不被放置于底切半导体暴露的侧壁表面上,并移除在底切半导体沟道上的部分被放置的种晶层材料,而在该底切半导体沟道的一个左手边形成一个源极种晶层并且在该底切半导体沟道的一个右手边形成一个漏极种晶层;(8)通过将钝化材料的至少一个单层(或者更少)与该底切半导体沟道的暴露的侧壁表面的半导体原子共价结合而在该底切半导体沟道的被暴露的侧壁表面形成一个界面层;(9)在该沟道的一个第一侧面上,包括钝化层上和在与该半导体沟道结构的第一部分的移除相对应的一个第一底切内,形成一个源极,并在该沟道的一个第二侧面上,包括钝化层上和在与该半导体沟道结构的第二部分的移除相对应的一个第二底切内,形成一个漏极。
IV.其他安排
图4I中所述的晶体管仅仅是本发明介绍的FETs种类的一个例子。现有技术中已知的其他FETs也可以通过将本界面层引入到源极/漏极-沟道连接来实现这样的益处。例如,所谓FinFETs可以引入这样的界面层。一个FinFET是一个超薄设备的一个例子,是根据在加州大学伯克利分院的研究者研发的一类的FET而命名的。常规的FinFET被具体的描述于Yang-KyuChoi et al.所写的“Nanoscale CMOS Spacer FinFET for the Terabit Era”,IEEE电子设备印刷品,25卷,数字1(2002年1月),此处对其予以参照,同时这样的一个设备500的例子在图5A中被说明。正如所示的,一个finFET的沟道区由空隙决定的半导体鳍形成。
根据本发明的实施例,图5B显示了根据本发明的一个实施例进行修改以引入界面层522的Fin-FET520。在本实施例中,该半导体源极和/或漏极被一个金属源极和/或漏极所代替。该半导体FINS(即沟道)通过一个界面层接触这些源极/漏极区。
根据本发明的另一个晶体管被称为垂直MOSFET,例如E.Josse et al.在2001年关于VLSI技术的研讨会的的技术论文第5B-2号“Highperformance 40nm vertical MOSFET within a conventional CMOS processflow”,55-56页(2001)所介绍的,此处对其予以参考。垂直MOSFET以一个像沟道的柱子为特征夹于一个双层门中。该源极和漏极位于该沟道柱的末端。图6A显示了这样的一个结构600的例子。
图6B显示了根据本发明的一个实施例的一个被修改以引入界面层的垂直MOSFET620。这个晶体管相似于图6A中所描述的MOSFET,但是上层硅N区(这个例子中的漏极)已经被一个金属漏极所代替,并且通过一个界面层622与沟道区接触。因此这样的设备可以是不对称的,其在顶部接触面有一个钝化的硅/金属隧道连接,并且在该设备底部的基片侧面上有一个硅P-N连接。补充的设备可以在同一基片上通过首先位底部接触注入P和N区,然后使用两种不同的金属作为该沟道的顶部接触,两种金属各自具有选择的功函数以产生P-型或N-型装置。
图7A还说明了另一种晶体管类型700-MESFET。一个MESFET(金属半导体FET)用一个金属/半导体接触代替了邻近该沟道的栅极/绝缘体/半导体堆栈,特意地形成一个肖特基势垒。当一个被施加于栅极的电压导致了道沟道内的肖特基势垒的损耗宽度的变化时,该沟道电导系数将被调整。像在一个SOI基片里一样,所示的沟道区可以为一个薄硅层。
对于优化一个特定MESFET应用的效用来讲,可以需要或者提高或者降低肖特基势垒。如图7B所示,此目的可以通过在一个晶体管720上实施本发明来取得,其中一个特定的界面电介质和接触面金属可以被适当地选择。该界面电介质在该栅极和沟道之间形成了一个界面层722。在另外的实施例中,该栅极可以为多硅而不是一种金属。
V.晶体管在电系统中的应用
诸如此处描述的晶体管可以被用于芯片,集成电路,单片集成电路设备,微电子设备和许多电系统中的类似设备。可推想到的电子系统包括计算机系统(例如手提式电脑,便携式电脑,桌上电脑,服务器,大型机等),硬件拷贝设备(例如打印机,绘图器,传真机等),和其他的电气系统。例如,在一个实施例中一个计算机系统可以由一个具有这样的一个晶体管的微处理器组成以帮助执行指令。如同了解本发明的本领域内的一般技术人员可以理解的,该计算机系统可以包含其他的常规组件,但是不仅仅局限于一个总线或其他的通讯方法来传递信息,一个用来存储信息的存储器(例如一个动态存储器如RAM或者一个静态存储器如ROM),一个显示设备,一个数据输入设备,和一个通讯设备例如一个调制解调器。这些设备中的任何一个或者所有的均可以包括根据本发明配置的晶体管。
因此,一个具有由源极到沟道和/或者从沟道到漏极的钝化连接的晶体管及一个用于形成这样的一个晶体管的方法已经被描述。尽管这些描述是关于一些特殊的实施例,其他各种各样的修改和变化可以被使用到在此处描述的技术上而不超出本发明所描述的范围。例如,除了铝以外的其他金属可以被使用在本发明所配置的晶体管中。可以被使用的低功函数金属包括Er,Eu,Ba,Cs,Ca,Ce,Rb,Sm,Y和Yb。所有这些金属的都具有小于3eV功函数。可被使用的高功函数的金属(与价带等同)包括Pt、Ir、Pd、Re、Ni、Co和Au。
以上所述,仅是本发明的较佳实施例而已,并非对本发明作任何形式上的限制,虽然本发明已以较佳实施例揭露如上,然而并非用以限定本发明,任何熟悉本专业的技术人员,在不脱离本发明技术方案范围内,当可利用上述揭示的方法及技术内容作出些许的更动或修饰为等同变化的等效实施例,但是凡是未脱离本发明技术方案的内容,依据本发明的技术实质对以上实施例所作的任何简单修改、等同变化与修饰,均仍属于本发明技术方案的范围内。

Claims (45)

1、一种晶体管,其特征在于其包括:
一个半导体沟道,置于(i)一个被配置用来控制该沟道内电导的栅极附近,及(ii)一个源极和一个漏极之间的一个电气通路,该源极和漏极中至少一个由金属制成,其中该沟道及该源极和/或该漏极中由金属制成的一或二个极通过一个界面层隔离,以形成一个沟道-界面层-源极/漏极连接,其中该半导体沟道的一个费米能级在该连接附近的一个区域被解除钉扎,并且该连接具有一个小于约1000Ω-μm2的接触电阻率。
2、根据权利要求1所述的晶体管,其特征在于其中所述的半导体沟道由Si、Ge、SiGe、SiGeC或SiC中的一种制成。
3、根据权利要求1所述的晶体管,其特征在于其中所述的金属包括一种纯金属、一种合金或一种难熔金属中的一种。
4、根据权利要求1所述的晶体管,其特征在于其中所述的金属包括铝。
5、根据权利要求1所述的晶体管,其特征在于其中所述的接触电阻率小于或等于约100Ω-μm2
6、根据权利要求1所述的晶体管,其特征在于其中所述的接触电阻率小于或等于约50Ω-μm2
7、根据权利要求1所述的晶体管,其特征在于其中所述的接触电阻率小于或等于约10Ω-μm2
8、根据权利要求1所述的晶体管,其特征在于其中所述的接触电阻率小于或等于约1Ω-μm2
9、根据权利要求1所述的晶体管,其特征在于其中所述的界面层包括一种钝化材料。
10、根据权利要求9所述的晶体管,其特征在于其中所述的钝化材料包括该沟道半导体的一种氮化物、该沟道半导体的一种氟化物、该沟道半导体的一种氧化物、该沟道半导体的一种氮氧化物、该沟道半导体的一种氢化物和/或该沟道半导体的一种砷化物中的一种或多种。
11、根据权利要求10所述的晶体管,其特征在于其中所述的界面层主要由一定量的钝化材料构成,该钝化材料足以终止该半导体沟道的一个表面的所有悬空键,以获得该表面的化学稳定性。
12、根据权利要求10所述的晶体管,其特征在于其中所述的界面层主要由一个单层构成,该单层被配置用来对该沟道半导体的费米能级解除钉扎。
13、根据权利要求12所述的晶体管,其特征在于其中所述的界面层具有一个厚度,足以降低半导体沟道中的金属-导致的隙态的效果。
14、根据权利要求9所述的晶体管,其特征在于其中所述的界面层进一步包括一个隔离层。
15、根据权利要求14所述的晶体管,其特征在于其中所述的隔离层具有一个厚度,足以降低半导体沟道中的金属-导致的隙态的效果。
16、根据权利要求1所述的晶体管,其特征在于其中所述的半导体沟道被利用一个电介质由前述栅极隔离。
17、一种方法,其特征在于该方法包括以下步骤:
在一个晶体管的一个半导体沟道的一个或多个表面上形成一个界面层;以及
在该半导体沟道相对侧的界面层的一个或多个表面上形成上述晶体管的一个源极或漏极终端,以产生一个沟道-界面层-源极/漏极连接,其中该半导体沟道的一个费米能级在该连接附近的一个区域被解除钉扎,并且该连接具有一个小于约1000Ω-μm2的接触电阻率。
18、根据权利要求17所述的方法,其特征在于其中所述的半导体沟道通过移除一个半导体基片上未受一个掩膜保护的一部分而形成,该掩膜至少部分地由形成与该半导体沟道上放的一个栅极结构产生。
19、根据权利要求17所述的方法,其特征在于其中所述的接触电阻率小于或等于约100Ω-μm2
20、根据权利要求17所述的方法,其特征在于其中所述的接触电阻率小于或等于约50Ω-μm2
21、根据权利要求17所述的方法,其特征在于其中所述的接触电阻率小于或等于约10Ω-μm2
22、根据权利要求17所述的方法,其特征在于其中所述的接触电阻率小于或等于约1Ω-μm2
23、根据权利要求17所述的方法,其特征在于其中所述的半导体沟道由Si、Ge、SiGe、SiGeC或SiC中的一种制成。
24、根据权利要求23所述的方法,其特征在于其中所述的栅极形成于置于该半导体沟道上方的一个氧化物层之上。
25、根据权利要求24所述的方法,其特征在于其中所述的氧化物层包括一个电介质层,其具有一厚度足以在该栅极和该半导体沟道之间仅提供电容耦合。
26、根据权利要求24所述的方法,其特征在于其中所述的氧化物层是通过氧化上述半导体基片而形成于该基片上的。
27、根据权利要求26所述的方法,其特征在于其中所述的栅极是通过在上述氧化物层上放置一层金属并基于一平板印刷曝光而移除该层放置的金属的一部分而形成于该氧化物层上的。
28、根据权利要求25所述的方法,其特征在于其中所述的源极或漏极终端由一个种晶层形成,该种晶层通过在前述半导体基片上各向异性地放置种晶层材料而得到的。
29、根据权利要求27所述的方法,其特征在于其中所述的界面层通过将至少一种钝化材料的一个单层以共价键与该半导体沟道耦合而形成。
30、根据权利要求29所述的方法,其特征在于其中所述的钝化材料包括该沟道半导体的一种氮化物、该沟道半导体的一种氟化物、该沟道半导体的一种氧化物、该沟道半导体的一种氮氧化物、该沟道半导体的一种氢化物和/或该半导体沟道的一种砷化物中的一种或多种。
31、根据权利要求29所述的方法,其特征在于其中所述的界面层包括一个隔离层,该隔离层包括不同于该钝化材料的一种材料。
32、根据权利要求31所述的方法,其特征在于其中所述的构成该隔离层的材料包括该半导体沟道的一种氧化物。
33、根据权利要求17所述的方法,其特征在于其中所述的界面层包括一定量的钝化材料,足以终止该半导体沟道的一个或多个表面的所有或大量的悬空键,以获得该一个或多个表面的化学稳定性。
34、一种电气系统,其特征在于该电气系统包括与一个具有一置于一个栅极附近并在一个源极到一个漏极之间的一个电气通路内的半导体沟道的晶体管连接的电路,上述源极和漏极中至少一个是由一种金属构成的,其中该沟道及该源极和/或该漏极中由金属制成的一或二个极通过一个界面层隔离,以形成一个沟道-界面层-源极/漏极连接,其中该半导体沟道的一个费米能级在该连接附近的一个区域被解除钉扎,并且该连接具有一个小于约1000Ω-μm2的接触电阻率。
35、根据权利要求34所述的电气系统,其特征在于其中所述的半导体沟道通过一个电介质与上述栅极隔离。
36、根据权利要求35所述的电气系统,其特征在于其中所述的接触电阻率小于或等于约100Ω-μm2
37、根据权利要求35所述的电气系统,其特征在于其中所述的接触电阻率小于或等于约50Ω-μm2
38、根据权利要求35所述的电气系统,其特征在于其中所述的接触电阻率小于或等于约10Ω-μm2
39、根据权利要求35所述的电气系统,其特征在于其中所述的接触电阻率小于或等于约1Ω-μm2
40、根据权利要求35所述的电气系统,其特征在于其中所述的半导体沟道由Si、Ge、SiGe、SiGeC或SiC中的一种制成。
41、根据权利要求35所述的电气系统,其特征在于其中所述的界面层包括一种钝化材料。
42、根据权利要求41所述的电气系统,其特征在于其中所述的界面层包括一个隔离层,该隔离层包括不同于该钝化材料的一种材料。
43、根据权利要求41所述的电气系统,其特征在于其中所述的界面层具有一个厚度,足以降低半导体沟道中的金属-导致的隙态的效果。
44、根据权利要求41所述的电气系统,其特征在于其中所述的界面层包括一定量的钝化材料,足以终止该半导体沟道的所有或大量的悬空键,以获得该连接临近区域内的该半导体沟道的一个表面的化学稳定性。
45、根据权利要求44所述的电气系统,其特征在于其中所述的一定量的钝化材料包括一个单层。
CNB038194961A 2002-08-12 2003-08-08 具有到沟道的钝化肖特基势垒的绝缘栅场效应晶体管 Expired - Fee Related CN100557816C (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US10/217,758 US7084423B2 (en) 2002-08-12 2002-08-12 Method for depinning the Fermi level of a semiconductor at an electrical junction and devices incorporating such junctions
US10/217,758 2002-08-12
US10/342,576 2003-01-14
US10/342,576 US6833556B2 (en) 2002-08-12 2003-01-14 Insulated gate field effect transistor having passivated schottky barriers to the channel

Publications (2)

Publication Number Publication Date
CN1675778A true CN1675778A (zh) 2005-09-28
CN100557816C CN100557816C (zh) 2009-11-04

Family

ID=31720178

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB038194961A Expired - Fee Related CN100557816C (zh) 2002-08-12 2003-08-08 具有到沟道的钝化肖特基势垒的绝缘栅场效应晶体管

Country Status (8)

Country Link
US (7) US6833556B2 (zh)
EP (1) EP1535343B1 (zh)
JP (1) JP4713884B2 (zh)
KR (1) KR101018103B1 (zh)
CN (1) CN100557816C (zh)
AU (1) AU2003255257A1 (zh)
TW (2) TWI310608B (zh)
WO (1) WO2004015782A1 (zh)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102484132A (zh) * 2009-08-31 2012-05-30 国际商业机器公司 隧道场效应器件
CN102723367A (zh) * 2012-06-29 2012-10-10 昆山工研院新型平板显示技术中心有限公司 一种氧化物半导体薄膜晶体管
CN103367399A (zh) * 2012-03-31 2013-10-23 中芯国际集成电路制造(上海)有限公司 晶体管及晶体管的形成方法
CN104170058A (zh) * 2011-11-23 2014-11-26 阿科恩科技公司 通过插入界面原子单层改进与iv族半导体的金属接触
CN108292687A (zh) * 2015-12-24 2018-07-17 英特尔公司 用于ge nmos的低肖特基势垒触点结构
CN111052395A (zh) * 2017-08-31 2020-04-21 美光科技公司 半导体装置、晶体管以及用于接触金属氧化物半导体装置的相关方法
CN116864522A (zh) * 2022-03-27 2023-10-10 北京大学 一种具有自偏栅结构的互补型隧穿晶体管及其制备方法
US11856799B2 (en) 2017-08-31 2023-12-26 Micron Technology, Inc. Semiconductor devices, hybrid transistors, and related methods

Families Citing this family (133)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6303479B1 (en) * 1999-12-16 2001-10-16 Spinnaker Semiconductor, Inc. Method of manufacturing a short-channel FET with Schottky-barrier source and drain contacts
US7190008B2 (en) * 2002-04-24 2007-03-13 E Ink Corporation Electro-optic displays, and components for use therein
US6835619B2 (en) * 2002-08-08 2004-12-28 Micron Technology, Inc. Method of forming a memory transistor comprising a Schottky contact
US7176483B2 (en) * 2002-08-12 2007-02-13 Acorn Technologies, Inc. Method for depinning the Fermi level of a semiconductor at an electrical junction and devices incorporating such junctions
US7902029B2 (en) * 2002-08-12 2011-03-08 Acorn Technologies, Inc. Process for fabricating a self-aligned deposited source/drain insulated gate field-effect transistor
US7084423B2 (en) 2002-08-12 2006-08-01 Acorn Technologies, Inc. Method for depinning the Fermi level of a semiconductor at an electrical junction and devices incorporating such junctions
US6833556B2 (en) 2002-08-12 2004-12-21 Acorn Technologies, Inc. Insulated gate field effect transistor having passivated schottky barriers to the channel
KR100498475B1 (ko) * 2003-01-07 2005-07-01 삼성전자주식회사 모스 전계 효과 트랜지스터 구조 및 그 제조 방법
US20070262363A1 (en) * 2003-02-28 2007-11-15 Board Of Regents, University Of Texas System Low temperature fabrication of discrete silicon-containing substrates and devices
KR100508548B1 (ko) * 2003-04-16 2005-08-17 한국전자통신연구원 쇼트키 장벽 트랜지스터 및 그 제조방법
US6894326B2 (en) 2003-06-25 2005-05-17 International Business Machines Corporation High-density finFET integration scheme
US6909151B2 (en) 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
US7456476B2 (en) 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US6995376B2 (en) * 2003-07-01 2006-02-07 International Business Machines Corporation Silicon-on-insulator latch-up pulse-radiation detector
KR100496891B1 (ko) * 2003-08-14 2005-06-23 삼성전자주식회사 핀 전계효과 트랜지스터를 위한 실리콘 핀 및 그 제조 방법
JP2005064500A (ja) * 2003-08-14 2005-03-10 Samsung Electronics Co Ltd マルチ構造のシリコンフィンおよび製造方法
JP4439358B2 (ja) * 2003-09-05 2010-03-24 株式会社東芝 電界効果トランジスタ及びその製造方法
JP2005086024A (ja) * 2003-09-09 2005-03-31 Toshiba Corp 半導体装置及びその製造方法
US6855588B1 (en) * 2003-10-07 2005-02-15 United Microelectronics Corp. Method of fabricating a double gate MOSFET device
EP1683193A1 (en) * 2003-10-22 2006-07-26 Spinnaker Semiconductor, Inc. Dynamic schottky barrier mosfet device and method of manufacture
US7166867B2 (en) 2003-12-05 2007-01-23 International Rectifier Corporation III-nitride device with improved layout geometry
US8217450B1 (en) * 2004-02-03 2012-07-10 GlobalFoundries, Inc. Double-gate semiconductor device with gate contacts formed adjacent sidewalls of a fin
KR100574971B1 (ko) * 2004-02-17 2006-05-02 삼성전자주식회사 멀티-게이트 구조의 반도체 소자 및 그 제조 방법
US7923782B2 (en) * 2004-02-27 2011-04-12 International Business Machines Corporation Hybrid SOI/bulk semiconductor transistors
US7154118B2 (en) 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US7504328B2 (en) * 2004-05-11 2009-03-17 National University Of Singapore Schottky barrier source/drain n-mosfet using ytterbium silicide
US7229893B2 (en) * 2004-06-23 2007-06-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for a semiconductor device with a high-k gate dielectric
JP4837902B2 (ja) 2004-06-24 2011-12-14 富士通セミコンダクター株式会社 半導体装置
US7042009B2 (en) 2004-06-30 2006-05-09 Intel Corporation High mobility tri-gate devices and methods of fabrication
JP2006054423A (ja) * 2004-07-13 2006-02-23 Toshiba Corp 半導体装置及びその製造方法
US7365015B2 (en) * 2004-07-13 2008-04-29 Lsi Logic Corporation Damascene replacement metal gate process with controlled gate profile and length using Si1-xGex as sacrificial material
US7279756B2 (en) * 2004-07-21 2007-10-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with high-k gate dielectric and quasi-metal gate, and method of forming thereof
US7598134B2 (en) 2004-07-28 2009-10-06 Micron Technology, Inc. Memory device forming methods
US7348284B2 (en) 2004-08-10 2008-03-25 Intel Corporation Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
US7345309B2 (en) * 2004-08-31 2008-03-18 Lockheed Martin Corporation SiC metal semiconductor field-effect transistor
US7527994B2 (en) * 2004-09-01 2009-05-05 Honeywell International Inc. Amorphous silicon thin-film transistors and methods of making the same
US7078722B2 (en) * 2004-09-20 2006-07-18 International Business Machines Corporation NFET and PFET devices and methods of fabricating same
US7332439B2 (en) 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
US7422946B2 (en) 2004-09-29 2008-09-09 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
JP2006100600A (ja) * 2004-09-29 2006-04-13 Toshiba Corp 半導体装置およびその製造方法
US20060086977A1 (en) 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
US20060091467A1 (en) * 2004-10-29 2006-05-04 Doyle Brian S Resonant tunneling device using metal oxide semiconductor processing
US7355235B2 (en) * 2004-12-22 2008-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method for high-k gate dielectrics
US7332407B2 (en) * 2004-12-23 2008-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for a semiconductor device with a high-k gate dielectric
US7078285B1 (en) * 2005-01-21 2006-07-18 Sony Corporation SiGe nickel barrier structure employed in a CMOS device to prevent excess diffusion of nickel used in the silicide material
US7518196B2 (en) 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US7271457B2 (en) * 2005-03-04 2007-09-18 Bae Systems Information And Electronic Systems Integration Inc. Abrupt channel doping profile for fermi threshold field effect transistors
FR2883101B1 (fr) * 2005-03-08 2007-06-08 Centre Nat Rech Scient Transistor mos nanometrique a rapport maximise entre courant a l'etat passant et courant a l'etat bloque
US20060202266A1 (en) 2005-03-14 2006-09-14 Marko Radosavljevic Field effect transistor with metal source/drain regions
US7329937B2 (en) * 2005-04-27 2008-02-12 International Business Machines Corporation Asymmetric field effect transistors (FETs)
US7176537B2 (en) * 2005-05-23 2007-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. High performance CMOS with metal-gate and Schottky source/drain
US7858481B2 (en) 2005-06-15 2010-12-28 Intel Corporation Method for fabricating transistor with thinned channel
US7547637B2 (en) 2005-06-21 2009-06-16 Intel Corporation Methods for patterning a semiconductor film
US7279375B2 (en) 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US20070001223A1 (en) * 2005-07-01 2007-01-04 Boyd Diane C Ultrathin-body schottky contact MOSFET
US7402875B2 (en) 2005-08-17 2008-07-22 Intel Corporation Lateral undercut of metal gate in SOI device
US7479421B2 (en) 2005-09-28 2009-01-20 Intel Corporation Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby
US20070090416A1 (en) 2005-09-28 2007-04-26 Doyle Brian S CMOS devices with a single work function gate electrode and method of fabrication
US7485503B2 (en) 2005-11-30 2009-02-03 Intel Corporation Dielectric interface for group III-V semiconductor device
KR100777101B1 (ko) * 2005-12-07 2007-11-19 한국전자통신연구원 낮은 쇼트키 장벽 관통 트랜지스터 및 그 제조방법
JP2007165772A (ja) * 2005-12-16 2007-06-28 Toshiba Corp 半導体装置および半導体装置の製造方法
US7432526B2 (en) * 2005-12-20 2008-10-07 Palo Alto Research Center Incorporated Surface-passivated zinc-oxide based sensor
CN103219465B (zh) * 2006-01-09 2016-02-03 技术研究及发展基金有限公司 晶体管结构及其制造方法
US7473593B2 (en) 2006-01-11 2009-01-06 International Business Machines Corporation Semiconductor transistors with expanded top portions of gates
FR2897202B1 (fr) * 2006-02-08 2008-09-12 St Microelectronics Crolles 2 Transistor mos a barriere de schottky sur film semi-conducteur entierement appauvri et procede de fabrication d'un tel transistor.
US7816240B2 (en) 2006-02-23 2010-10-19 Acorn Technologies, Inc. Method for making semiconductor insulated-gate field-effect transistor having multilayer deposited metal source(s) and/or drain(s)
JP2007299951A (ja) * 2006-04-28 2007-11-15 Toshiba Corp 半導体装置およびその製造方法
US8435873B2 (en) * 2006-06-08 2013-05-07 Texas Instruments Incorporated Unguarded Schottky barrier diodes with dielectric underetch at silicide interface
US7485524B2 (en) * 2006-06-21 2009-02-03 International Business Machines Corporation MOSFETs comprising source/drain regions with slanted upper surfaces, and method for fabricating the same
US20080023752A1 (en) * 2006-07-28 2008-01-31 International Business Machines Corporation BORON DOPED SiGe HALO FOR NFET TO CONTROL SHORT CHANNEL EFFECT
US8143646B2 (en) 2006-08-02 2012-03-27 Intel Corporation Stacking fault and twin blocking barrier for integrating III-V on Si
US7646046B2 (en) * 2006-11-14 2010-01-12 Infineon Technologies Ag Field effect transistor with a fin structure
US20080258242A1 (en) * 2007-04-19 2008-10-23 Northrop Grumman Space And Mission Systems Corp. Low contact resistance ohmic contact for a high electron mobility transistor and fabrication method thereof
JP2008288499A (ja) * 2007-05-21 2008-11-27 Panasonic Corp 半導体装置及びその製造方法
JP5108408B2 (ja) * 2007-07-26 2012-12-26 ルネサスエレクトロニクス株式会社 半導体装置及びその製造方法
JP2009059996A (ja) * 2007-09-03 2009-03-19 Univ Of Tokyo 半導体装置及びその製造方法
KR100945508B1 (ko) 2007-11-16 2010-03-09 주식회사 하이닉스반도체 제로 캐패시터 램 및 그의 제조방법
US8362566B2 (en) 2008-06-23 2013-01-29 Intel Corporation Stress in trigate devices using complimentary gate fill materials
US8110877B2 (en) * 2008-12-19 2012-02-07 Intel Corporation Metal-insulator-semiconductor tunneling contacts having an insulative layer disposed between source/drain contacts and source/drain regions
JP4752927B2 (ja) * 2009-02-09 2011-08-17 ソニー株式会社 薄膜トランジスタおよび表示装置
JP5210915B2 (ja) * 2009-02-09 2013-06-12 株式会社東芝 半導体装置の製造方法
JP5449326B2 (ja) * 2009-03-31 2014-03-19 Jx日鉱日石金属株式会社 ショットキー接合fetの製造方法
US9059318B2 (en) * 2009-08-31 2015-06-16 International Business Machines Corporation Stressed source/drain CMOS and method of forming same
US20110049582A1 (en) * 2009-09-03 2011-03-03 International Business Machines Corporation Asymmetric source and drain stressor regions
US8669644B2 (en) * 2009-10-07 2014-03-11 Texas Instruments Incorporated Hydrogen passivation of integrated circuits
JP5640379B2 (ja) 2009-12-28 2014-12-17 ソニー株式会社 半導体装置の製造方法
KR101121633B1 (ko) * 2009-12-30 2012-03-09 주식회사 하이닉스반도체 반도체 장치 및 그 제조방법
US8492234B2 (en) * 2010-06-29 2013-07-23 International Business Machines Corporation Field effect transistor device
JP2012114173A (ja) * 2010-11-23 2012-06-14 Shinko Electric Ind Co Ltd 半導体装置の製造方法及び半導体装置
US9166004B2 (en) * 2010-12-23 2015-10-20 Intel Corporation Semiconductor device contacts
US9023684B2 (en) * 2011-03-04 2015-05-05 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
CN102148255B (zh) * 2011-03-15 2013-07-31 清华大学 具有隧穿介质层的栅控肖特基结场效应晶体管及形成方法
JP5360119B2 (ja) * 2011-04-18 2013-12-04 富士通セミコンダクター株式会社 半導体装置とその製造方法
JP5455973B2 (ja) 2011-05-27 2014-03-26 三菱電機株式会社 炭化珪素半導体装置の製造方法
CN102227001B (zh) 2011-06-23 2013-03-06 北京大学 一种锗基nmos器件及其制备方法
CN102222687B (zh) * 2011-06-23 2012-12-19 北京大学 一种锗基nmos器件及其制备方法
CN102931232B (zh) * 2011-08-08 2015-09-02 中芯国际集成电路制造(上海)有限公司 Nmos晶体管及其形成方法
US8872230B2 (en) * 2011-12-21 2014-10-28 Imec Tunnel field-effect transistor and methods for manufacturing thereof
KR20170121335A (ko) * 2011-12-30 2017-11-01 인텔 코포레이션 반도체 구조물
US9171929B2 (en) * 2012-04-25 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Strained structure of semiconductor device and method of making the strained structure
US9136383B2 (en) * 2012-08-09 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8501566B1 (en) * 2012-09-11 2013-08-06 Nanya Technology Corp. Method for fabricating a recessed channel access transistor device
KR101477529B1 (ko) * 2013-07-02 2014-12-30 명지대학교 산학협력단 터널링 소자를 이용한 메모리에서 전하공핍을 이용한 비선택 셀의 프로그램 억제 방법 및 터널링 트랜지스터를 이용한 메모리
KR102112283B1 (ko) * 2013-08-20 2020-05-19 삼성디스플레이 주식회사 박막 트랜지스터를 포함하는 표시 기판 및 이의 제조 방법
US9018711B1 (en) * 2013-10-17 2015-04-28 Globalfoundries Inc. Selective growth of a work-function metal in a replacement metal gate of a semiconductor device
KR20160120274A (ko) * 2013-12-02 2016-10-17 솔렉셀, 인크. 후면 접촉 후면 접합 태양 전지를 위한 부동태화된 접촉부
US9343589B2 (en) 2014-01-22 2016-05-17 Globalfoundries Inc. Field effect transistor (FET) with self-aligned double gates on bulk silicon substrate, methods of forming, and related design structures
US9159617B2 (en) * 2014-01-24 2015-10-13 Globalfoundries Inc. Structure and method of forming silicide on fins
US9136356B2 (en) 2014-02-10 2015-09-15 Taiwan Semiconductor Manufacturing Co., Ltd. Non-planar field effect transistor having a semiconductor fin and method for manufacturing
US9087689B1 (en) 2014-07-11 2015-07-21 Inoso, Llc Method of forming a stacked low temperature transistor and related devices
KR102232755B1 (ko) * 2015-04-07 2021-03-26 삼성전자주식회사 2차원 물질을 이용한 전자소자 및 그 제조 방법
US9590102B2 (en) * 2015-04-15 2017-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10134839B2 (en) 2015-05-08 2018-11-20 Raytheon Company Field effect transistor structure having notched mesa
US10062779B2 (en) 2015-05-22 2018-08-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
WO2017052587A1 (en) * 2015-09-25 2017-03-30 Intel Corporation Passivation of transistor channel region interfaces
US9799649B2 (en) * 2015-12-17 2017-10-24 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and manufacturing method thereof
CN107104051B (zh) * 2016-02-22 2021-06-29 联华电子股份有限公司 半导体元件以及其制作方法
US20170317141A1 (en) * 2016-04-28 2017-11-02 HGST Netherlands B.V. Nonvolatile schottky barrier memory transistor
US9620611B1 (en) 2016-06-17 2017-04-11 Acorn Technology, Inc. MIS contact structure with metal oxide conductor
KR102619874B1 (ko) 2016-06-23 2024-01-03 삼성전자주식회사 불순물 영역을 갖는 반도체 소자
FR3053834B1 (fr) 2016-07-05 2020-06-12 Stmicroelectronics Sa Structure de transistor
JP6981812B2 (ja) * 2016-08-31 2021-12-17 株式会社半導体エネルギー研究所 半導体装置の作製方法
US10170627B2 (en) 2016-11-18 2019-01-01 Acorn Technologies, Inc. Nanowire transistor with source and drain induced by electrical contacts with negative schottky barrier height
CN110088911B (zh) * 2016-11-18 2023-06-30 阿科恩科技公司 具有由具负肖特基势垒高度的电接触感生的源极和漏极的纳米线晶体管
US20180240861A1 (en) * 2017-02-23 2018-08-23 International Business Machines Corporation Multilayer dielectric for metal-insulator-metal capacitor (mimcap) capacitance and leakage improvement
US10483380B2 (en) * 2017-04-20 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing the same
WO2019139619A1 (en) * 2018-01-12 2019-07-18 Intel Corporation Source contact and channel interface to reduce body charging from band-to-band tunneling
CN109037355B (zh) * 2018-08-27 2020-04-10 湘潭大学 一种基于铁电栅调控的肖特基二极管及其制备方法
US11335789B2 (en) * 2018-09-26 2022-05-17 Intel Corporation Channel structures for thin-film transistors
KR102182971B1 (ko) 2018-11-28 2020-11-25 양경모 전열 히팅장치
CN109671627A (zh) * 2018-12-24 2019-04-23 山东大学 降低硅和过渡金属硫化物半导体肖特基势垒的界面处理方法
CN114122151B (zh) * 2020-08-28 2023-10-24 长鑫存储技术有限公司 半导体器件及其制作方法
KR102563383B1 (ko) * 2021-03-04 2023-08-03 고려대학교 산학협력단 소스/드레인 금속 접촉 형성 시 발생하는 계면 결함의 특성을 추출하는 계면 결함 추출 장치 및 그 동작 방법

Family Cites Families (289)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB122594A (en) 1918-08-08 1919-01-30 George Knight Letts Improvements relating to Kettles, Saucepans, and the like.
US2981877A (en) 1959-07-30 1961-04-25 Fairchild Semiconductor Semiconductor device-and-lead structure
US3513366A (en) 1968-08-21 1970-05-19 Motorola Inc High voltage schottky barrier diode
US3623925A (en) 1969-01-10 1971-11-30 Fairchild Camera Instr Co Schottky-barrier diode process and devices
US3590471A (en) * 1969-02-04 1971-07-06 Bell Telephone Labor Inc Fabrication of insulated gate field-effect transistors involving ion implantation
JPS5514531B1 (zh) 1969-06-18 1980-04-17
US3708360A (en) * 1970-06-09 1973-01-02 Texas Instruments Inc Self-aligned gate field effect transistor with schottky barrier drain and source
US3719797A (en) 1971-12-16 1973-03-06 Bell Telephone Labor Inc Solid state temperature sensor employing a pair of dissimilar schottky-barrier diodes
US3983264A (en) * 1972-07-20 1976-09-28 Texas Instruments Incorporated Metal-semiconductor ohmic contacts and methods of fabrication
US3877054A (en) 1973-03-01 1975-04-08 Bell Telephone Labor Inc Semiconductor memory apparatus with a multilayer insulator contacting the semiconductor
US3983574A (en) * 1973-06-01 1976-09-28 Raytheon Company Semiconductor devices having surface state control
US3946415A (en) * 1974-08-28 1976-03-23 Harris Corporation Normally off schottky barrier field effect transistor and method of fabrication
US4019113A (en) * 1974-11-20 1977-04-19 James Keith Hartman Energy conversion device
US4110488A (en) 1976-04-09 1978-08-29 Rca Corporation Method for making schottky barrier diodes
US4056642A (en) * 1976-05-14 1977-11-01 Data General Corporation Method of fabricating metal-semiconductor interfaces
US4278830A (en) 1977-09-29 1981-07-14 Nasa Schottky barrier solar cell
US4304042A (en) 1978-11-13 1981-12-08 Xerox Corporation Self-aligned MESFETs having reduced series resistance
DE2926874A1 (de) 1979-07-03 1981-01-22 Siemens Ag Verfahren zum herstellen von niederohmigen, diffundierten bereichen bei der silizium-gate-technologie
US4422090A (en) * 1979-07-25 1983-12-20 Northern Telecom Limited Thin film transistors
US4338616A (en) * 1980-02-19 1982-07-06 Xerox Corporation Self-aligned Schottky metal semi-conductor field effect transistor with buried source and drain
US4300152A (en) * 1980-04-07 1981-11-10 Bell Telephone Laboratories, Incorporated Complementary field-effect transistor integrated circuit device
US4801984A (en) 1980-06-12 1989-01-31 International Business Machines Corporation Semiconductor ohmic contact
JPS5932902B2 (ja) 1980-06-12 1984-08-11 インターナシヨナルビジネス マシーンズ コーポレーシヨン 半導体オ−ミツク接点
US4691219A (en) 1980-07-08 1987-09-01 International Business Machines Corporation Self-aligned polysilicon base contact structure
JPS57113289A (en) 1980-12-30 1982-07-14 Fujitsu Ltd Semiconductor device and its manufacture
JPS584924A (ja) 1981-07-01 1983-01-12 Hitachi Ltd 半導体装置の電極形成方法
US4485550A (en) * 1982-07-23 1984-12-04 At&T Bell Laboratories Fabrication of schottky-barrier MOS FETs
US4485265A (en) 1982-11-22 1984-11-27 President And Fellows Of Harvard College Photovoltaic cell
DE3379367D1 (en) 1982-12-08 1989-04-13 Philips Nv A semiconductor device comprising at least one schottkytype rectifier having controllable barrier height
KR910006249B1 (ko) 1983-04-01 1991-08-17 가부시기가이샤 히다찌세이사꾸쇼 반도체 장치
US4696828A (en) 1984-02-17 1987-09-29 Stauffer Chemical Company Passivation of InP by plasma deposited phosphorus
JPH0673375B2 (ja) 1984-03-19 1994-09-14 富士通株式会社 半導体装置の製造方法
US4845050A (en) 1984-04-02 1989-07-04 General Electric Company Method of making mo/tiw or w/tiw ohmic contacts to silicon
JPS60239066A (ja) 1984-05-11 1985-11-27 Hitachi Ltd 半導体装置
US4583110A (en) * 1984-06-14 1986-04-15 International Business Machines Corporation Intermetallic semiconductor ohmic contact
US4752815A (en) 1984-06-15 1988-06-21 Gould Inc. Method of fabricating a Schottky barrier field effect transistor
US4538342A (en) 1984-06-15 1985-09-03 At&T Bell Laboratories Forming platinum contacts to in-based group III-V compound devices
US4800415A (en) 1984-09-21 1989-01-24 American Telephone And Telegraph Company, At&T Bell Laboratories Bipolar inversion channel device
JPS61133646A (ja) 1984-12-03 1986-06-20 Toshiba Corp 半導体装置の製造方法
JPS6261363A (ja) 1985-09-11 1987-03-18 Hitachi Ltd 半導体装置
US4723197A (en) 1985-12-16 1988-02-02 National Semiconductor Corporation Bonding pad interconnection structure
JPH0624251B2 (ja) 1986-01-08 1994-03-30 富士通株式会社 光半導体装置
US4689869A (en) * 1986-04-07 1987-09-01 International Business Machines Corporation Fabrication of insulated gate gallium arsenide FET with self-aligned source/drain and submicron channel length
US4794444A (en) 1986-04-10 1988-12-27 General Electric Company Ohmic contact and method for making same
US4772934A (en) 1986-06-06 1988-09-20 American Telephone And Telegraph Company, At&T Bell Laboratories Delta-doped ohmic metal to semiconductor contacts
US4843450A (en) * 1986-06-16 1989-06-27 International Business Machines Corporation Compound semiconductor interface control
US5021365A (en) * 1986-06-16 1991-06-04 International Business Machines Corporation Compound semiconductor interface control using cationic ingredient oxide to prevent fermi level pinning
US5098859A (en) * 1986-06-19 1992-03-24 International Business Machines Corporation Method for forming distributed barrier compound semiconductor contacts
WO1988000392A1 (en) 1986-06-24 1988-01-14 American Telephone & Telegraph Company Method for fabricating devices in iii-v semiconductor substrates and devices formed thereby
JPS63157466A (ja) 1986-12-20 1988-06-30 Fujitsu Ltd シヨツトキ−バリアダイオ−ド
JPH0728025B2 (ja) 1987-01-16 1995-03-29 日本電信電話株式会社 半導体装置
US4960732A (en) 1987-02-19 1990-10-02 Advanced Micro Devices, Inc. Contact plug and interconnect employing a barrier lining and a backfilled conductor material
JPH065737B2 (ja) 1987-03-12 1994-01-19 日本電気株式会社 シヨツトキ−接合構造
US4796082A (en) 1987-03-16 1989-01-03 International Business Machines Corporation Thermally stable ohmic contact for gallium-arsenide
EP0283278B1 (en) 1987-03-18 1993-06-23 Fujitsu Limited Compound semiconductor device having nonalloyed ohmic contacts
GB2204066A (en) 1987-04-06 1988-11-02 Philips Electronic Associated A method for manufacturing a semiconductor device having a layered structure
US4835580A (en) 1987-04-30 1989-05-30 Texas Instruments Incorporated Schottky barrier diode and method
US4897368A (en) 1987-05-21 1990-01-30 Matsushita Electric Industrial Co., Ltd. Method of fabricating a polycidegate employing nitrogen/oxygen implantation
US4811077A (en) * 1987-06-18 1989-03-07 International Business Machines Corporation Compound semiconductor surface termination
US5021840A (en) 1987-08-18 1991-06-04 Texas Instruments Incorporated Schottky or PN diode with composite sidewall
US5093280A (en) 1987-10-13 1992-03-03 Northrop Corporation Refractory metal ohmic contacts and method
US5027166A (en) 1987-12-04 1991-06-25 Sanken Electric Co., Ltd. High voltage, high speed Schottky semiconductor device and method of fabrication
US4914500A (en) 1987-12-04 1990-04-03 At&T Bell Laboratories Method for fabricating semiconductor devices which include sources and drains having metal-containing material regions, and the resulting devices
JPH01186672A (ja) 1988-01-14 1989-07-26 Nec Corp ショットキー接合構造
JP2678017B2 (ja) 1988-06-13 1997-11-17 シャープ株式会社 アクティブマトリクス表示装置
US4987095A (en) 1988-06-15 1991-01-22 International Business Machines Corp. Method of making unpinned oxide-compound semiconductor structures
US5086321A (en) 1988-06-15 1992-02-04 International Business Machines Corporation Unpinned oxide-compound semiconductor structures and method of forming same
US4999685A (en) 1989-05-16 1991-03-12 United States Of America As Represented By The Secretary Of The Air Force Schotiky barrier height for metal contacts to III-V semiconductor compounds
JPH0329355A (ja) 1989-06-26 1991-02-07 Sanyo Electric Co Ltd 半導体装置
US5801444A (en) 1989-09-29 1998-09-01 International Business Machines Corporation Multilevel electronic structures containing copper layer and copper-semiconductor layers
US5013685A (en) 1989-11-02 1991-05-07 At&T Bell Laboratories Method of making a non-alloyed ohmic contact to III-V semiconductors-on-silicon
JPH03191518A (ja) 1989-12-20 1991-08-21 Nec Corp 半導体装置およびその製造方法
JP2513055B2 (ja) * 1990-02-14 1996-07-03 日本電装株式会社 半導体装置の製造方法
JPH03274735A (ja) 1990-03-26 1991-12-05 Hitachi Ltd ショットキー電極を有する半導体装置の製造方法
US5019530A (en) 1990-04-20 1991-05-28 International Business Machines Corporation Method of making metal-insulator-metal junction structures with adjustable barrier heights
US5045502A (en) 1990-05-10 1991-09-03 Bell Communications Research, Inc. PdIn ohmic contact to GaAs
EP0458353B1 (en) 1990-05-24 1996-09-18 Sumitomo Electric Industries, Ltd. Ohmic contact electrodes for n-type semiconductor cubic boron nitride
EP0465961B1 (en) * 1990-07-09 1995-08-09 Sony Corporation Semiconductor device on a dielectric isolated substrate
GB9015871D0 (en) 1990-07-19 1990-09-05 Secr Defence Ohmic contact for p-type gaas
JP3061406B2 (ja) 1990-09-28 2000-07-10 株式会社東芝 半導体装置
JPH04199628A (ja) 1990-11-29 1992-07-20 Seiko Epson Corp 半導体装置の製造方法
US5238872A (en) 1990-12-11 1993-08-24 Samsung Semiconductor, Inc. Barrier metal contact architecture
JP2794678B2 (ja) * 1991-08-26 1998-09-10 株式会社 半導体エネルギー研究所 絶縁ゲイト型半導体装置およびその作製方法
JPH04284668A (ja) 1991-03-14 1992-10-09 Fujitsu Ltd 半導体装置及びその製造方法
JP2756886B2 (ja) 1991-08-30 1998-05-25 三菱電機株式会社 半導体装置およびその製造方法
US5216282A (en) 1991-10-29 1993-06-01 International Business Machines Corporation Self-aligned contact studs for semiconductor structures
WO1993011558A1 (en) 1991-11-26 1993-06-10 Materials Research Corporation Method of modifying contact resistance in semiconductor devices and articles produced thereby
US5231306A (en) 1992-01-31 1993-07-27 Micron Technology, Inc. Titanium/aluminum/nitrogen material for semiconductor devices
US5216262A (en) 1992-03-02 1993-06-01 Raphael Tsu Quantum well structures useful for semiconductor devices
JP3152739B2 (ja) 1992-05-19 2001-04-03 株式会社日立製作所 半導体集積回路装置の製造方法
JP3208599B2 (ja) 1992-05-28 2001-09-17 ソニー株式会社 接続孔埋め込み形成方法
US5294834A (en) 1992-06-01 1994-03-15 Sverdrup Technology, Inc. Low resistance contacts for shallow junction semiconductors
JPH0653165A (ja) 1992-07-28 1994-02-25 Sony Corp メタルプラグの形成方法
US5292677A (en) 1992-09-18 1994-03-08 Micron Technology, Inc. Reduced mask CMOS process for fabricating stacked capacitor multi-megabit dynamic random access memories utilizing single etch stop layer for contacts
KR950010873B1 (ko) 1993-02-27 1995-09-25 삼성전자주식회사 반도체장치의 제조방법
KR960008558B1 (en) 1993-03-02 1996-06-28 Samsung Electronics Co Ltd Low resistance contact structure and manufacturing method of high integrated semiconductor device
US5306386A (en) * 1993-04-06 1994-04-26 Hughes Aircraft Company Arsenic passivation for epitaxial deposition of ternary chalcogenide semiconductor films onto silicon substrates
US5480829A (en) 1993-06-25 1996-01-02 Motorola, Inc. Method of making a III-V complementary heterostructure device with compatible non-gold ohmic contacts
JP3688727B2 (ja) 1993-08-20 2005-08-31 財団法人国際科学振興財団 半導体装置の製造方法
US5592736A (en) 1993-09-03 1997-01-14 Micron Technology, Inc. Fabricating an interconnect for testing unpackaged semiconductor dice having raised bond pads
US5596218A (en) * 1993-10-18 1997-01-21 Digital Equipment Corporation Hot carrier-hard gate oxides by nitrogen implantation before gate oxidation
US5438210A (en) * 1993-10-22 1995-08-01 Worley; Eugene R. Optical isolation connections using integrated circuit techniques
US5358574A (en) 1993-11-22 1994-10-25 Midwest Research Institute Dry texturing of solar cells
JPH07183493A (ja) 1993-12-24 1995-07-21 Mitsubishi Electric Corp 半導体装置
KR100362751B1 (ko) 1994-01-19 2003-02-11 소니 가부시끼 가이샤 반도체소자의콘택트홀및그형성방법
JP3294041B2 (ja) 1994-02-21 2002-06-17 株式会社東芝 半導体装置
JPH07235672A (ja) 1994-02-21 1995-09-05 Mitsubishi Electric Corp 絶縁ゲート型半導体装置およびその製造方法
DE4405716C2 (de) 1994-02-23 1996-10-31 Telefunken Microelectron Verfahren zur Herstellung von ohmschen Kontakten für Verbindungshalbleiter
US5962883A (en) 1994-03-23 1999-10-05 Lucent Technologies Inc. Article comprising an oxide layer on a GaAs-based semiconductor body
US5624869A (en) 1994-04-13 1997-04-29 International Business Machines Corporation Method of forming a film for a multilayer Semiconductor device for improving thermal stability of cobalt silicide using platinum or nitrogen
US5624868A (en) 1994-04-15 1997-04-29 Micron Technology, Inc. Techniques for improving adhesion of silicon dioxide to titanium
US6011271A (en) 1994-04-28 2000-01-04 Fujitsu Limited Semiconductor device and method of fabricating the same
US5478765A (en) * 1994-05-04 1995-12-26 Regents Of The University Of Texas System Method of making an ultra thin dielectric for electronic devices
US6624493B1 (en) * 1994-05-31 2003-09-23 James D. Welch Biasing, operation and parasitic current limitation in single device equivalent to CMOS, and other semiconductor systems
US5663584A (en) * 1994-05-31 1997-09-02 Welch; James D. Schottky barrier MOSFET systems and fabrication thereof
US5442200A (en) 1994-06-03 1995-08-15 Advanced Technology Materials, Inc. Low resistance, stable ohmic contacts to silcon carbide, and method of making the same
JPH11307633A (ja) 1997-11-17 1999-11-05 Sony Corp 低誘電率膜を有する半導体装置、およびその製造方法
JPH0864800A (ja) 1994-08-25 1996-03-08 Hitachi Ltd 炭化珪素半導体素子
JP2630279B2 (ja) 1994-10-12 1997-07-16 日本電気株式会社 ショットキー型光検出器およびその駆動方法
JP2938351B2 (ja) * 1994-10-18 1999-08-23 株式会社フロンテック 電界効果トランジスタ
KR0148597B1 (ko) 1994-11-23 1998-10-15 정선종 왜곡 성장층을 이용한 금속/반도체 접합 쇼트키 다이오드 광소자
JP3198453B2 (ja) 1994-12-15 2001-08-13 シャープ株式会社 半導体装置及びその製造方法
US5689125A (en) 1995-06-12 1997-11-18 The United States Of America As Represented By The Secretary Of The Air Force Cadmium sulfide interface layers for improving III-V semiconductor device performance and characteristics
US5534449A (en) 1995-07-17 1996-07-09 Micron Technology, Inc. Methods of forming complementary metal oxide semiconductor (CMOS) integrated circuitry
EP0757393A3 (en) 1995-08-02 1999-11-03 Matsushita Electric Industrial Co., Ltd. Semiconductor light emitting element and method for fabricating the same
JP2995284B2 (ja) 1995-08-25 1999-12-27 工業技術院長 電極作成方法
JPH0964336A (ja) 1995-08-25 1997-03-07 Advantest Corp 原子層ドーピングによる半導体のオーム性電極構造及びその形成方法
KR970030333A (ko) 1995-11-03 1997-06-26 김주용 반도체소자의 도전 배선 콘택 제조방법
KR100197653B1 (ko) 1995-12-15 1999-06-15 김영환 반도체 소자의 콘택 형성방법
GB9525784D0 (en) 1995-12-16 1996-02-14 Philips Electronics Nv Hot carrier transistors and their manufacture
FR2742924B1 (fr) 1995-12-22 1998-03-20 Jorge Luis Regolini Procede de depot selectif d'un siliciure de metal refractaire sur du silicium et plaquette de silicium metallisee par ce procede
SG46751A1 (en) 1996-01-11 1998-02-20 Taiwan Semiconductor Mfg A modified tungsten-plug contact process
SE9600199D0 (sv) 1996-01-19 1996-01-19 Abb Research Ltd A semiconductor device with a low resistance ohmic contact between a metal layer and a SiC-layer
TW367528B (en) 1996-02-02 1999-08-21 Applied Materials Inc Titanium aluminide wetting layer for aluminum contacts
JPH09289178A (ja) 1996-02-22 1997-11-04 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
US6388272B1 (en) 1996-03-07 2002-05-14 Caldus Semiconductor, Inc. W/WC/TAC ohmic and rectifying contacts on SiC
US5929523A (en) 1996-03-07 1999-07-27 3C Semiconductor Corporation Os rectifying Schottky and ohmic junction and W/WC/TiC ohmic contacts on SiC
US5654234A (en) 1996-04-29 1997-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming a void-free tungsten-plug contact in the presence of a contact opening overhang
US5612567A (en) * 1996-05-13 1997-03-18 North Carolina State University Schottky barrier rectifiers and methods of forming same
FR2749977B1 (fr) * 1996-06-14 1998-10-09 Commissariat Energie Atomique Transistor mos a puits quantique et procedes de fabrication de celui-ci
JPH1027847A (ja) 1996-07-09 1998-01-27 Mitsubishi Electric Corp 集積化半導体素子
JP3217015B2 (ja) * 1996-07-18 2001-10-09 インターナショナル・ビジネス・マシーンズ・コーポレーション 電界効果トランジスタの形成方法
US5869364A (en) 1996-07-22 1999-02-09 The United States Of America As Represented By The Secretary Of The Air Force Single layer integrated metal process for metal semiconductor field effect transistor (MESFET)
US5763923A (en) 1996-08-13 1998-06-09 Micron Technology, Inc. Compound PVD target material for semiconductor metallization
TW333713B (en) * 1996-08-20 1998-06-11 Toshiba Co Ltd The semiconductor device and its producing method
US5888891A (en) * 1996-08-23 1999-03-30 International Rectifier Corporation Process for manufacturing a schottky diode with enhanced barrier height and high thermal stability
US5939763A (en) * 1996-09-05 1999-08-17 Advanced Micro Devices, Inc. Ultrathin oxynitride structure and process for VLSI applications
KR100495543B1 (ko) 1996-09-17 2005-09-08 마츠시타 덴끼 산교 가부시키가이샤 반도체장치및그제조방법
US6399970B2 (en) 1996-09-17 2002-06-04 Matsushita Electric Industrial Co., Ltd. FET having a Si/SiGeC heterojunction channel
US5789312A (en) 1996-10-30 1998-08-04 International Business Machines Corporation Method of fabricating mid-gap metal gates compatible with ultra-thin dielectrics
US5897331A (en) 1996-11-08 1999-04-27 Midwest Research Institute High efficiency low cost thin film silicon solar cell design and method for making
JPH10163468A (ja) 1996-12-03 1998-06-19 Kagaku Gijutsu Shinko Jigyodan 膜状複合構造体
US6320238B1 (en) 1996-12-23 2001-11-20 Agere Systems Guardian Corp. Gate structure for integrated circuit fabrication
US5908313A (en) 1996-12-31 1999-06-01 Intel Corporation Method of forming a transistor
JPH10209569A (ja) 1997-01-16 1998-08-07 Hewlett Packard Co <Hp> p型窒化物半導体装置とその製造方法
JP3060976B2 (ja) 1997-01-21 2000-07-10 日本電気株式会社 Mosfetおよびその製造方法
US6015997A (en) 1997-02-19 2000-01-18 Micron Technology, Inc. Semiconductor structure having a doped conductive layer
US6130503A (en) 1997-03-04 2000-10-10 Pioneer Electronic Corporation Electron emission device and display using the same
JPH10335265A (ja) 1997-05-27 1998-12-18 Sony Corp 半導体装置の製造方法
JPH10335637A (ja) 1997-05-30 1998-12-18 Sony Corp ヘテロ接合電界効果トランジスタ
US6222267B1 (en) 1997-06-17 2001-04-24 Yamaha Corporation Semiconductor device and manufacturing thereof
JP3191728B2 (ja) 1997-06-23 2001-07-23 日本電気株式会社 半導体装置及びその製造方法
JPH1126713A (ja) 1997-06-30 1999-01-29 Hitachi Ltd 半導体集積回路装置およびその製造方法
DE19729396A1 (de) 1997-07-09 1999-01-14 Siemens Ag Elektrischer Kontakt für ein II-VI-Halbleiterbauelement und Verfahren zum Herstellen des elektrischen Kontaktes
US6013553A (en) * 1997-07-24 2000-01-11 Texas Instruments Incorporated Zirconium and/or hafnium oxynitride gate dielectric
JP3457511B2 (ja) 1997-07-30 2003-10-20 株式会社東芝 半導体装置及びその製造方法
KR19990025041A (ko) 1997-09-10 1999-04-06 김영환 반도체장치의 캐패시터 제조방법
JPH11150084A (ja) 1997-09-12 1999-06-02 Canon Inc 半導体装置および基板上への非晶質窒化硅素チタンの形成方法
US6462931B1 (en) 1997-10-23 2002-10-08 Texas Instruments Incorporated High-dielectric constant capacitor and memory
JP4067159B2 (ja) * 1997-12-01 2008-03-26 新電元工業株式会社 半導体装置および半導体装置の製造方法
US6207976B1 (en) * 1997-12-17 2001-03-27 Fujitsu Limited Semiconductor device with ohmic contacts on compound semiconductor and manufacture thereof
KR100290467B1 (ko) 1997-12-31 2001-08-07 박종섭 반도체소자의확산방지막형성방법
US6268233B1 (en) 1998-01-26 2001-07-31 Canon Kabushiki Kaisha Photovoltaic device
JP3855019B2 (ja) 1998-02-10 2006-12-06 独立行政法人 日本原子力研究開発機構 金属、酸化膜及び炭化珪素半導体からなる積層構造体
US5985759A (en) 1998-02-24 1999-11-16 Applied Materials, Inc. Oxygen enhancement of ion metal plasma (IMP) sputter deposited barrier layers
US6303942B1 (en) 1998-03-17 2001-10-16 Farmer, Ii Kenneth Rudolph Multi-layer charge injection barrier and uses thereof
US6303969B1 (en) 1998-05-01 2001-10-16 Allen Tan Schottky diode with dielectric trench
KR100261170B1 (ko) * 1998-05-06 2000-07-01 김영환 반도체소자 및 그 제조방법
JP3436132B2 (ja) 1998-05-13 2003-08-11 セイコーエプソン株式会社 半導体装置
JP3699823B2 (ja) 1998-05-19 2005-09-28 株式会社東芝 半導体装置
US6225168B1 (en) 1998-06-04 2001-05-01 Advanced Micro Devices, Inc. Semiconductor device having metal gate electrode and titanium or tantalum nitride gate dielectric barrier layer and process of fabrication thereof
US6657300B2 (en) 1998-06-05 2003-12-02 Lumileds Lighting U.S., Llc Formation of ohmic contacts in III-nitride light emitting devices
JP3175696B2 (ja) 1998-06-10 2001-06-11 日本電気株式会社 半導体装置の製造方法
US6017790A (en) 1998-07-06 2000-01-25 United Microelectronics Corp. Method of manufacturing embedded dynamic random access memory
US6071783A (en) * 1998-08-13 2000-06-06 Taiwan Semiconductor Manufacturing Company Pseudo silicon on insulator MOSFET device
US6972436B2 (en) 1998-08-28 2005-12-06 Cree, Inc. High voltage, high temperature capacitor and interconnection structures
ATE357744T1 (de) 1998-09-02 2007-04-15 Siced Elect Dev Gmbh & Co Kg Halbleitervorrichtung mit ohmscher kontaktierung und verfahren zur ohmschen kontaktierung einer halbleitervorrichtung
US6555455B1 (en) 1998-09-03 2003-04-29 Micron Technology, Inc. Methods of passivating an oxide surface subjected to a conductive material anneal
JP3361062B2 (ja) 1998-09-17 2003-01-07 株式会社東芝 半導体装置
JP2000101064A (ja) 1998-09-25 2000-04-07 Sanyo Electric Co Ltd 電極、SiCの電極及びSiCデバイス
JP3606095B2 (ja) 1998-10-06 2005-01-05 セイコーエプソン株式会社 半導体装置の製造方法
KR100434534B1 (ko) 1998-10-13 2004-07-16 삼성전자주식회사 쇼트키 터널 장벽을 이용한 단일 전자 트랜지스터 및 그 제조방법
JP3528665B2 (ja) 1998-10-20 2004-05-17 セイコーエプソン株式会社 半導体装置の製造方法
KR20000027908A (ko) 1998-10-29 2000-05-15 로버트 에이치. 씨. 챠오 임베디드 디램의 제조 방법
JP4228250B2 (ja) 1998-12-02 2009-02-25 富士通株式会社 化合物半導体装置
JP3381690B2 (ja) 1998-12-02 2003-03-04 日本電気株式会社 電界効果トランジスタおよびその製造方法
JP4540142B2 (ja) 1999-01-19 2010-09-08 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP2000216241A (ja) 1999-01-20 2000-08-04 Applied Materials Inc 半導体装置の製造方法
US6737716B1 (en) 1999-01-29 2004-05-18 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
JP3223903B2 (ja) 1999-02-17 2001-10-29 日本電気株式会社 電界効果トランジスタ及びその製造方法
US6291282B1 (en) * 1999-02-26 2001-09-18 Texas Instruments Incorporated Method of forming dual metal gate structures or CMOS devices
US6396191B1 (en) 1999-03-11 2002-05-28 Eneco, Inc. Thermal diode for energy conversion
US6459095B1 (en) 1999-03-29 2002-10-01 Hewlett-Packard Company Chemically synthesized and assembled electronics devices
JP3934822B2 (ja) 1999-04-13 2007-06-20 新日本無線株式会社 ショットキーダイオードおよびその製造方法
US6724088B1 (en) 1999-04-20 2004-04-20 International Business Machines Corporation Quantum conductive barrier for contact to shallow diffusion region
US6117781A (en) 1999-04-22 2000-09-12 Advanced Micro Devices, Inc. Optimized trench/via profile for damascene processing
US6198113B1 (en) * 1999-04-22 2001-03-06 Acorn Technologies, Inc. Electrostatically operated tunneling transistor
US6287946B1 (en) 1999-05-05 2001-09-11 Hrl Laboratories, Llc Fabrication of low resistance, non-alloyed, ohmic contacts to InP using non-stoichiometric InP layers
FR2793602B1 (fr) 1999-05-12 2001-08-03 Univ Claude Bernard Lyon Procede et dispositif pour extraire des electrons dans le vide et cathodes d'emission pour un tel dispositif
US6293137B1 (en) 2000-05-04 2001-09-25 National Science Council Hydrogen sensor
JP2000349285A (ja) 1999-06-04 2000-12-15 Hitachi Ltd 半導体集積回路装置の製造方法および半導体集積回路装置
US6274905B1 (en) 1999-06-30 2001-08-14 Fairchild Semiconductor Corporation Trench structure substantially filled with high-conductivity material
US6344673B1 (en) 1999-07-01 2002-02-05 International Business Machines Corporation Multilayered quantum conducting barrier structures
US6261932B1 (en) 1999-07-29 2001-07-17 Fairchild Semiconductor Corp. Method of fabricating Schottky diode and related structure
US6261866B1 (en) * 1999-07-30 2001-07-17 The Charles Stark Draper Laboratory, Inc. Method and apparatus for sealing a chip carrier and lid
US20030132452A1 (en) 1999-09-20 2003-07-17 Masatada Boriuchi Semiconductor device and method of producing the same
US6339232B1 (en) 1999-09-20 2002-01-15 Kabushika Kaisha Toshiba Semiconductor device
JP3329380B2 (ja) 1999-09-21 2002-09-30 日本電気株式会社 半導体装置およびその製造方法
US6284557B1 (en) 1999-10-12 2001-09-04 Taiwan Semiconductor Manufacturing Company Optical sensor by using tunneling diode
WO2001028677A1 (en) 1999-10-20 2001-04-26 Neokismet L.L.C. Solid state surface catalysis reactor
US6373076B1 (en) 1999-12-07 2002-04-16 Philips Electronics North America Corporation Passivated silicon carbide devices with low leakage current and method of fabricating
US20030235936A1 (en) * 1999-12-16 2003-12-25 Snyder John P. Schottky barrier CMOS device and method
US6303479B1 (en) * 1999-12-16 2001-10-16 Spinnaker Semiconductor, Inc. Method of manufacturing a short-channel FET with Schottky-barrier source and drain contacts
US6228732B1 (en) 1999-12-22 2001-05-08 Sony Corporation Tunnel nitride for improved polysilicon emitter
US6150286A (en) * 2000-01-03 2000-11-21 Advanced Micro Devices, Inc. Method of making an ultra thin silicon nitride film
US6509254B1 (en) 2000-01-20 2003-01-21 Matsushita Electric Industrial Co., Ltd. Method of forming electrode structure and method of fabricating semiconductor device
FR2805395B1 (fr) 2000-02-23 2002-05-10 Centre Nat Rech Scient Transistor mos pour circuits a haute densite d'integration
DE10010821A1 (de) 2000-02-29 2001-09-13 Infineon Technologies Ag Verfahren zur Erhöhung der Kapazität in einem Speichergraben und Grabenkondensator mit erhöhter Kapazität
TW497120B (en) 2000-03-06 2002-08-01 Toshiba Corp Transistor, semiconductor device and manufacturing method of semiconductor device
US6451690B1 (en) 2000-03-13 2002-09-17 Matsushita Electronics Corporation Method of forming electrode structure and method of fabricating semiconductor device
JP4751498B2 (ja) 2000-03-30 2011-08-17 富士通株式会社 半導体三端子装置
US6509586B2 (en) * 2000-03-31 2003-01-21 Fujitsu Limited Semiconductor device, method for fabricating the semiconductor device and semiconductor integrated circuit
JP2001298186A (ja) 2000-04-14 2001-10-26 Hitachi Ltd 半導体装置およびその製造方法
US6326294B1 (en) * 2000-04-27 2001-12-04 Kwangju Institute Of Science And Technology Method of fabricating an ohmic metal electrode for use in nitride compound semiconductor devices
US6686616B1 (en) * 2000-05-10 2004-02-03 Cree, Inc. Silicon carbide metal-semiconductor field effect transistors
US6380021B1 (en) 2000-06-20 2002-04-30 Taiwan Semiconductor Manufacturing Company Ultra-shallow junction formation by novel process sequence for PMOSFET
US6444516B1 (en) 2000-07-07 2002-09-03 International Business Machines Corporation Semi-insulating diffusion barrier for low-resistivity gate conductors
JP3833903B2 (ja) 2000-07-11 2006-10-18 株式会社東芝 半導体装置の製造方法
JP3563032B2 (ja) 2000-12-18 2004-09-08 株式会社東芝 半導体装置及びその製造方法
JP3305301B2 (ja) 2000-08-02 2002-07-22 松下電器産業株式会社 電極構造体の形成方法及び半導体装置の製造方法
US6521502B1 (en) 2000-08-07 2003-02-18 Advanced Micro Devices, Inc. Solid phase epitaxy activation process for source/drain junction extensions and halo regions
US7082838B2 (en) 2000-08-31 2006-08-01 Tdk Corporation Extraordinary piezoconductance in inhomogeneous semiconductors
JP4179492B2 (ja) 2000-09-01 2008-11-12 日産自動車株式会社 オーミック電極構造体、その製造方法、及びオーミック電極を用いた半導体装置
US6563151B1 (en) * 2000-09-05 2003-05-13 Samsung Electronics Co., Ltd. Field effect transistors having gate and sub-gate electrodes that utilize different work function materials and methods of forming same
JP4671314B2 (ja) 2000-09-18 2011-04-13 独立行政法人産業技術総合研究所 オーミック電極構造体の製造方法、接合型fet又は接合型sitのオーミック電極構造体の製造方法、及び半導体装置の製造方法
FR2814856B1 (fr) 2000-10-03 2003-07-11 St Microelectronics Sa Procede de realisation d'un contact sur un varbure de silicium
US6599644B1 (en) 2000-10-06 2003-07-29 Foundation For Research & Technology-Hellas Method of making an ohmic contact to p-type silicon carbide, comprising titanium carbide and nickel silicide
US7132309B2 (en) 2003-04-22 2006-11-07 Chien-Min Sung Semiconductor-on-diamond devices and methods of forming
JP4058231B2 (ja) 2000-11-13 2008-03-05 株式会社東芝 半導体装置の製造方法
US6646302B2 (en) * 2000-11-21 2003-11-11 Cornell Research Foundation, Inc. Embedded metal nanocrystals
WO2002043109A2 (de) 2000-11-21 2002-05-30 Infineon Technologies Ag Verfahren zum herstellen eines planaren feldeffekttransistors und planarer feldeffekttransistor
JP2002217425A (ja) 2001-01-16 2002-08-02 Sanken Electric Co Ltd 半導体装置用電極、半導体装置及びその製造方法
JP3992439B2 (ja) 2001-01-16 2007-10-17 株式会社ルネサステクノロジ 半導体装置の製造方法
US6844604B2 (en) 2001-02-02 2005-01-18 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
US7945592B2 (en) 2001-03-20 2011-05-17 Verizon Business Global Llc XML based transaction detail records
JP2002359437A (ja) 2001-03-29 2002-12-13 Toshiba Electronic Engineering Corp 光半導体素子および光半導体素子の製造方法
JP3696119B2 (ja) * 2001-04-26 2005-09-14 株式会社日立製作所 半導体装置、及び半導体装置の製造方法
US6423604B1 (en) 2001-05-01 2002-07-23 Advanced Micro Devices, Inc. Determination of thermal resistance for field effect transistor formed in SOI technology
US6563185B2 (en) * 2001-05-21 2003-05-13 The Regents Of The University Of Colorado High speed electron tunneling device and applications
KR100403313B1 (ko) 2001-05-22 2003-10-30 주식회사 하이닉스반도체 바이폴라 접합 트랜지스터를 이용한 마그네틱 램 및 그형성방법
US6580141B2 (en) 2001-06-01 2003-06-17 General Semiconductor, Inc. Trench schottky rectifier
US7291884B2 (en) 2001-07-03 2007-11-06 Siliconix Incorporated Trench MIS device having implanted drain-drift region and thick bottom oxide
JP2003092271A (ja) 2001-07-13 2003-03-28 Seiko Epson Corp 半導体装置及びその製造方法
US6947392B2 (en) 2001-07-16 2005-09-20 International Business Machines Corporation Methods and arrangements for building a subsource address multicast distribution tree using traced routes
US20030015707A1 (en) 2001-07-17 2003-01-23 Motorola, Inc. Integrated radio frequency , optical, photonic, analog and digital functions in a semiconductor structure and method for fabricating semiconductor structure utilizing the formation of a compliant substrate for materials used to form the same
GB0118000D0 (en) 2001-07-24 2001-09-19 Koninkl Philips Electronics Nv Manufacture of semiconductor devices with schottky barriers
US20030020069A1 (en) 2001-07-25 2003-01-30 Motorola, Inc. Structure and method for optimizing transmission media through dielectric layering and doping in semiconductor structures and devices utilizing the formation of a compliant substrate
US6605832B2 (en) 2001-07-31 2003-08-12 Xerox Corporation Semiconductor structures having reduced contact resistance
DE10138981B4 (de) 2001-08-08 2005-09-08 Infineon Technologies Ag Verfahren zur Bildung von Siliziumoxid durch elektrochemische Oxidation eines Halbleiter-Substrats mit Vertiefungen
WO2003036697A2 (en) 2001-10-22 2003-05-01 Yale University Methods of hyperdoping semiconductor materials and hyperdoped semiconductor materials and devices
US20030098489A1 (en) 2001-11-29 2003-05-29 International Business Machines Corporation High temperature processing compatible metal gate electrode for pFETS and methods for fabrication
JP2003179049A (ja) 2001-12-11 2003-06-27 Matsushita Electric Ind Co Ltd 絶縁膜形成方法、半導体装置及びその製造方法
US6511905B1 (en) 2002-01-04 2003-01-28 Promos Technologies Inc. Semiconductor device with Si-Ge layer-containing low resistance, tunable contact
JP2003332582A (ja) 2002-05-13 2003-11-21 Toshiba Corp 半導体装置及びその製造方法
US6690072B2 (en) 2002-05-24 2004-02-10 International Business Machines Corporation Method and structure for ultra-low contact resistance CMOS formed by vertically self-aligned COSI2 on raised source drain Si/SiGe device
US6995430B2 (en) 2002-06-07 2006-02-07 Amberwave Systems Corporation Strained-semiconductor-on-insulator device structures
JP4643884B2 (ja) 2002-06-27 2011-03-02 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US6833556B2 (en) * 2002-08-12 2004-12-21 Acorn Technologies, Inc. Insulated gate field effect transistor having passivated schottky barriers to the channel
US7176483B2 (en) 2002-08-12 2007-02-13 Acorn Technologies, Inc. Method for depinning the Fermi level of a semiconductor at an electrical junction and devices incorporating such junctions
US7084423B2 (en) 2002-08-12 2006-08-01 Acorn Technologies, Inc. Method for depinning the Fermi level of a semiconductor at an electrical junction and devices incorporating such junctions
JP4238041B2 (ja) 2003-02-06 2009-03-11 アドバンスト ダイシング テクノロジース リミテッド ダイシング装置、ダイシング方法及び半導体装置の製造方法
US7030430B2 (en) * 2003-08-15 2006-04-18 Intel Corporation Transition metal alloys for use as a gate electrode and devices incorporating these alloys
US7358196B2 (en) 2005-02-07 2008-04-15 Applied Materials, Inc. Wet chemical treatment to form a thin oxide for high k gate dielectrics
US20070141798A1 (en) 2005-12-20 2007-06-21 Intel Corporation Silicide layers in contacts for high-k/metal gate transistors
ES2310975B1 (es) 2007-07-09 2009-09-03 LABORATORIOS BZ I&amp;D, S.L. Regulador biofisiologico para tratamientos terapeuticos.
US7851325B1 (en) 2008-09-12 2010-12-14 Acorn Technologies, Inc. Strained semiconductor using elastic edge relaxation, a buried stressor layer and a sacrificial stressor layer
US8110877B2 (en) 2008-12-19 2012-02-07 Intel Corporation Metal-insulator-semiconductor tunneling contacts having an insulative layer disposed between source/drain contacts and source/drain regions
CN102227001B (zh) 2011-06-23 2013-03-06 北京大学 一种锗基nmos器件及其制备方法
US9224610B2 (en) 2013-06-28 2015-12-29 GlobalFoundries, Inc. Integrated circuits having improved high-K dielectric layers and methods for fabrication of same

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102484132A (zh) * 2009-08-31 2012-05-30 国际商业机器公司 隧道场效应器件
CN102484132B (zh) * 2009-08-31 2014-07-30 国际商业机器公司 隧道场效应器件
CN104170058A (zh) * 2011-11-23 2014-11-26 阿科恩科技公司 通过插入界面原子单层改进与iv族半导体的金属接触
CN104170058B (zh) * 2011-11-23 2017-08-08 阿科恩科技公司 通过插入界面原子单层改进与iv族半导体的金属接触
CN103367399A (zh) * 2012-03-31 2013-10-23 中芯国际集成电路制造(上海)有限公司 晶体管及晶体管的形成方法
CN103367399B (zh) * 2012-03-31 2016-03-16 中芯国际集成电路制造(上海)有限公司 晶体管及晶体管的形成方法
CN102723367A (zh) * 2012-06-29 2012-10-10 昆山工研院新型平板显示技术中心有限公司 一种氧化物半导体薄膜晶体管
CN108292687A (zh) * 2015-12-24 2018-07-17 英特尔公司 用于ge nmos的低肖特基势垒触点结构
CN111052395A (zh) * 2017-08-31 2020-04-21 美光科技公司 半导体装置、晶体管以及用于接触金属氧化物半导体装置的相关方法
US11856799B2 (en) 2017-08-31 2023-12-26 Micron Technology, Inc. Semiconductor devices, hybrid transistors, and related methods
US11908913B2 (en) 2017-08-31 2024-02-20 Micron Technology, Inc. Semiconductor devices, transistors, and related methods for contacting metal oxide semiconductor devices
CN116864522A (zh) * 2022-03-27 2023-10-10 北京大学 一种具有自偏栅结构的互补型隧穿晶体管及其制备方法

Also Published As

Publication number Publication date
US9583614B2 (en) 2017-02-28
US7883980B2 (en) 2011-02-08
US20070026591A1 (en) 2007-02-01
US11043571B2 (en) 2021-06-22
US8377767B2 (en) 2013-02-19
US7112478B2 (en) 2006-09-26
US20130140629A1 (en) 2013-06-06
US20110210376A1 (en) 2011-09-01
JP2005536047A (ja) 2005-11-24
KR20050047099A (ko) 2005-05-19
US20040142524A1 (en) 2004-07-22
EP1535343B1 (en) 2019-08-07
EP1535343A1 (en) 2005-06-01
CN100557816C (zh) 2009-11-04
AU2003255257A1 (en) 2004-02-25
KR101018103B1 (ko) 2011-02-25
US8916437B2 (en) 2014-12-23
TWI310608B (en) 2009-06-01
TWI327376B (en) 2010-07-11
US20140284666A1 (en) 2014-09-25
US20040026736A1 (en) 2004-02-12
WO2004015782A1 (en) 2004-02-19
JP4713884B2 (ja) 2011-06-29
TW200427082A (en) 2004-12-01
US20170133476A1 (en) 2017-05-11
TW200411924A (en) 2004-07-01
US6833556B2 (en) 2004-12-21

Similar Documents

Publication Publication Date Title
CN100557816C (zh) 具有到沟道的钝化肖特基势垒的绝缘栅场效应晶体管
US6288429B1 (en) Semiconductor device
US6835967B2 (en) Semiconductor diodes with fin structure
US20050051815A1 (en) Short-channel Schottky-barrier MOSFET device and manufacturing method
US8633521B2 (en) Self-bootstrapping field effect diode structures and methods
US20180130785A1 (en) Semiconductor device including a repeater/buffer at upper metal routing layers and methods of manufacturing the same
Chung et al. Vertically stacked cantilever n-type poly-Si junctionless nanowire transistor and its series resistance limit
US7221019B2 (en) Short-channel Schottky-barrier MOSFET device and manufacturing method
JP2002527882A (ja) 金属ゲートフェルミ閾値電界効果トランジスタ
US20220406666A1 (en) Multi-functional transistors in semiconductor devices
CN106910739A (zh) 半导体器件
Wen et al. A High Performance DRAM Design Using U-FinFET as Access Transistor
JP3191285B2 (ja) 半導体装置及びその製造方法
CN117374082A (zh) 一种基于soi工艺的短沟道平面cmos集成电路结构
CN116632006A (zh) 一种纵向堆叠的栅极自对准反相器集成电路结构
TW202245257A (zh) 半導體裝置及其形成方法
CN116741779A (zh) 一种纵向堆叠的传输门集成电路结构
CN115579394A (zh) 一种半导体器件及其制备方法
Jinshun et al. Study on the Characteristics of SOI DTMOS with Reverse Schottky Barriers
JPS6379372A (ja) 半導体集積回路装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20091104