CN1678961A - 剥离液 - Google Patents

剥离液 Download PDF

Info

Publication number
CN1678961A
CN1678961A CNA038198762A CN03819876A CN1678961A CN 1678961 A CN1678961 A CN 1678961A CN A038198762 A CNA038198762 A CN A038198762A CN 03819876 A CN03819876 A CN 03819876A CN 1678961 A CN1678961 A CN 1678961A
Authority
CN
China
Prior art keywords
acid
mass
ether
solvent
stripping solution
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA038198762A
Other languages
English (en)
Other versions
CN1678961B (zh
Inventor
板野充司
金村崇
中村新吾
上谷文宏
毛塚健彦
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Daikin Industries Ltd
Original Assignee
Daikin Industries Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Daikin Industries Ltd filed Critical Daikin Industries Ltd
Publication of CN1678961A publication Critical patent/CN1678961A/zh
Application granted granted Critical
Publication of CN1678961B publication Critical patent/CN1678961B/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/08Acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/265Carboxylic acids or salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/34Organic compounds containing sulfur
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/426Stripping or agents therefor using liquids only containing organic halogen compounds; containing organic sulfonic acids or salts thereof; containing sulfoxides
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/428Stripping or agents therefor using ultrasonic means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • C11D2111/22
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/24Hydrocarbons
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/263Ethers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/26Organic compounds containing oxygen
    • C11D7/264Aldehydes; Ketones; Acetals or ketals
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/28Organic compounds containing halogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3263Amides or imides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/22Secondary treatment of printed circuits
    • H05K3/26Cleaning or polishing of the conductive pattern

Abstract

本发明提供一种包含有机酸及有机溶剂中的至少一种、及氟化氢(HF)的low-k膜用抗蚀剂剥离液及通孔或电容器清洗液,及使用它们的抗蚀剂剥离方法及通孔或电容器的清洗方法。

Description

剥离液
技术领域
本发明涉及low-k膜用抗蚀剂剥离液及通孔或电容器清洗液。
背景技术
在半导体的器件中,近年来开发了使用介电常数低的low-k膜(低介电常数膜)代替SiO2膜的技术,随之,在半导体器件的制造工序中需要腐蚀low-k膜。以前,将膜进行干腐蚀之后,进行O2等离子体灰化,除去抗蚀剂,但是,这样的处理使low-k膜破坏。因此,希望不进行O2等离子体灰化(或者进行H2等离子体灰化或轻的O2等离子体灰化之后),利用化学试剂使抗蚀剂剥离。但是,现在提出的抗蚀剂剥离液,在low-k膜上形成的抗蚀剂(例如KRF(クリプトンエフ)抗蚀剂本身不能剥离,low-k膜被腐蚀,不能用作剥离液。
另外,在半导体器件的制造工序中,有时在形成通孔之后,通孔的侧壁和/或底面上残留有钛化合物和/或聚合物。因此,要求开发用于从通孔除去该钛化合物和/或聚合物的组合物。
而且,在半导体器件的制造工序中,在形成金属电容器时、金属电容器的上部或下部电极金属膜(TiN、Ti等)的干腐蚀有时残留聚合物、抗蚀剂残渣和钛化合物。因此,要求开发用于除去该聚合物、抗蚀剂残渣及钛化合物的组合物。
迄今为止,主要使用Al或Al合金等作为布线材料,使用SiO2膜作为层间绝缘膜制造Al/SiO2多层布线结构的半导体器件。现在,随着设备的微细化,为了降低布线延迟,开发了Cu/low-k多层布线结构,其中使用电阻值低的Cu作为布线材料,使用布线间容量小的low-k膜(低介电常数膜)代替SiO2膜作为层间绝缘膜。
在Al/SiO2多层布线结构中,分别相对加工的晶片形成在水平方向供给电流的布线层和连接各布线层间的垂直方向的孔型的布线即通路层(ビア層)。利用金属干腐蚀加工凸型的Al等的金属布线,用SiO2膜等的层间绝缘膜填埋该布线的周围,由此形成布线层。在堆积SiO2膜等的层间绝缘膜之后,利用层间绝缘膜干腐蚀加工孔(通孔),埋入Al或W等金属,由此形成通孔层。
在Cu/low-k多层布线结构中,利用干腐蚀,首先在low-k膜上加工沟槽(トレンチ)或孔(通孔),利用称作镶嵌(ダマシン)的方法进行加工,在该方法中在加工部分主要埋入铜等布线材料而形成布线结构。在称为双重镶嵌(デユアルダマシン)的方法中,在low膜上同时形成用于布线的沟槽和通孔,然后,埋入铜等的布线材料。为了形成双重镶嵌结构,有先形成通孔,然后形成用于布线的沟槽的通孔先形成工序(ビアフ ア一ストプロセル);以相反的顺序先形成用于布线的沟槽,然后形成通孔的沟槽先形成工序(トレンチフア一ストプロセル);除此之外还有,中快速(ミドルフア一スト)处理;双硬掩膜工序等。在双重镶嵌工序等中,多数情况使用要埋入的材料。例如,在通孔先形成工序中,利用干腐蚀形成通孔之后,埋入要埋入的材料,进行用于形成沟槽的光刻法,进行腐蚀。之后,需要选择性地除去埋入的材料。
在Al/SiO2多层布线结构中,在用于形成布线的金属腐蚀中使用氯气或溴化氢等气体,在用于形成通孔的通孔腐蚀法中,使用碳氟化合物气体或氢氟碳化合物气体、Ar等惰性气体及氧气或一氧化碳等含氧气体等的混合气体。在金属腐蚀或用于通孔加工的层间绝缘膜的通路腐蚀之后,为了除去抗蚀剂或腐蚀残渣等不需要的物质,利用含有氧的等离子体进行灰化。用剥离液除去该灰化后存在的残渣。这里的残渣在金属腐蚀中是含有若干抗蚀剂等的有机物的铝等的氧化物。该残渣因为形成在铝布线的侧壁上,因此也称作侧壁聚合物、兔耳等。通路腐蚀时,是作为含有若干抗蚀剂或氟碳聚合物等有机物的金属阻挡膜的Ti或TiN等氧化物及氟化物。该残渣有时也称作侧壁聚合物。如上所述,金属腐蚀或通孔中的腐蚀后的残渣多数情况用氧气等离子体进行灰化处理直至抗蚀剂消除,腐蚀残渣的主成分是无机化的氧化物。
与此相对,在Cu/low-k多层布线结构中,通过使用氟碳化合物气体和氮气等的混合气体的干腐蚀,使用,在low-k膜上进行槽(沟槽)或孔(通孔)等的镶嵌加工。当干腐蚀气体使用氮气时,可以增加加工精度。但是,在含有硅的low-k膜之间,产生不挥发性的氮化的硅残渣。为了除去腐蚀后的抗蚀剂及残渣,利用含有氧气的等离子体完全地进行灰化时,对low-k膜产生破坏,使其介电常数发生变化。为此,多数情况下不进行等离子体灰化,而是利用氢气、氮气、稀有气体和这些气体的混合气体等的等离子体进行灰化,或者进行含有少量的氧的等离子体灰化。另外,为了尽可能减少对low-k膜的破坏,利用灰化不完全除去抗蚀剂或埋入材料的情况也较多。利用等离子体进行灰化处理时使用含有氮气的气体时,残渣中还含有较多的被氮化的硅。这种情况下,即使灰化之后,也存在比较多的抗蚀剂、防反射膜、埋入材料和含氮的硅氮化物这样的腐蚀残渣。即使进行相当程度的灰化,也难以完全除去抗蚀剂、防反射膜和埋入材料。如上所述,在镶嵌工序中进行腐蚀后存在的残渣的主成分,是含有硅氮化物这样的无机物的抗蚀剂、防反射膜、埋入材料和氟碳聚合物由来的有机物。
在Al/SiO2多层布线结构形成过程中,关于除去干腐蚀生成的无机化的残渣的剥离液的专利申请及或清洗形成的布线图案的清洗液的专利申请有很多。特开平1-1463331号公报中使用氟酸和异丙醇等有机溶剂混合后的清洗液。利用该清洗液可以润湿性好地均匀地清洗。但是,对作为本发明对象的干腐蚀中产生的残渣或抗蚀剂的除去没有提及。日本专利第3255551号公报中公开了含有HF、水溶性有机溶剂、芳香族羟基化合物、炔属醇、含羧基有机化合物及其酐、以及三唑化合物中的至少一种防腐蚀剂的抗蚀剂用剥离液组合物。作为有机溶剂,亚砜类、酰胺类、多元醇等有效果。在特开平10-50647号公报中公开如下内容,在接触孔形成后,通过特别注意地腐蚀含有腐蚀残渣、金属物质等污染物质的接触孔底面的自然氧化膜和侧壁的氧化膜,洗净接触孔。在约0.25重量%~约0.5重量%的低浓度的氟化氢和异丙醇和DIW(去离子水)的混合溶液中,清洗通过将等离子体硅氧化膜、低压化学气相淀积硅氧化膜及BPSG膜三种膜贯通而形成的接触孔时,可以得到没有台阶的均匀的剖面(プロフアイル)。USP6150282号中公开了在硅氧化膜上形成通孔后的腐蚀残渣的清洗液和清洗方法中,使用氟化氢和有机溶剂。在USP6150282号中公开了利用氟化氢、有机溶剂和水构成的剥离液对掩膜、腐蚀残渣、硅氧化膜和硅氮化膜中的至少一种同时进行剥离和腐蚀处理的方法。特开平11-340183号公报中公开了使用含有20重量%以下的氟化氢和介电常数10以上的醇等的清洗剂,可以抑制铝等金属布线的腐蚀,除去通孔腐蚀后的清洗和金属布线的干腐蚀后的侧壁聚合物。
但是,上述文献没有设想使用low-k膜作为层间绝缘膜,使用铜作为布线材料。这些文献是除去在形成Al/SiO2多层布线结构时干腐蚀后的无机残渣并清洗加工后的图案。
本发明的目的在于提供low-k膜用的抗蚀剂剥离液及通孔用的清洗液和金属电容器用的清洗液。
发明内容
本发明是以除去和剥离在形成Cu/low-k膜多层布线结构时进行干腐蚀后的抗蚀剂、防反射膜、埋入剂及含有上述物质的腐蚀残渣为对象。与Al/SiO2多层布线结构时的对象不同。使用low-k膜作为层间绝缘膜时的腐蚀残渣,其抗蚀剂、防反射膜、埋入材料等的有机物多,并且含有SiN这样的被氮化的硅的残渣。为了除去这样的残渣,需要含有大量有机化合物、使硅氮化物选择性溶解的剥离液。本发明者确认剥离液选择性腐蚀硅氮化膜(SiN)的效果可以作为指标评价选择性腐蚀硅氮化物的效果。也就是,越是选择性地腐蚀硅氮化物(SiN)的剥离液,其越是很好地溶解腐蚀残渣中的硅氮化物,残渣除去效果越强。另外,和low-k膜组合作为布线材料使用铜。剥离液不腐蚀铜是必要的。以前还没有开发满足上述要求的剥离液。
本发明的剥离液由氟化氢和有机酸和/或有机溶剂的混合液构成,可以控制布线材料的铜等金属、绝缘膜阻挡层或low-k膜以及腐蚀残渣的腐蚀。也就是本发明的剥离液具有以下特征:
(1)要抑制铜等的金属的腐蚀;
(2)要选择性腐蚀绝缘膜阻挡层,将绝缘膜阻挡层或low-k膜和腐蚀残渣的界面剥离、分开;
(3)要选择性溶解腐蚀残渣中的硅氮化物(SiN)等;以及
(4)要利用有机溶剂溶解腐蚀残渣的有机成分。
通过这些特征,本发明的腐蚀液可以除去腐蚀残渣。
例如,在本发明中氟化氢和异丙醇及水构成的剥离液在氟化氢∶异丙醇∶水的重量比为1.00~5.00质量%∶87.00~98.5质量%∶0.50~8.00质量%的情况下,作为剥离液的效果好。
氟化氢、异丙醇等有机溶剂及水构成的清洗液及剥离液有很多专利申请。这些不是用于形成Cu/low-k多层布线结构的,只是用于形成Al/SiO2多层布线结构,除去对象完全不同。下面对此进行说明。
特开平1-146331号公报、特开平10-50647号公报中公开的是同样由氟化氢和异丙醇及水构成的清洗液,但这些的氟化氢浓度为0.5重量%以下,不能选择性地腐蚀绝缘膜阻挡层或硅氮化膜(SiN),因此,除去抗蚀剂、防反射膜、埋入剂及腐蚀残渣的性能不好。特别是特开平10-50647号公报,其目的是在清洗接触孔时得到没有台阶的均匀的剖面,其特征在于,这里使用的等离子体硅氧化膜、低压化学气相淀积硅氧化膜及BPSG膜的腐蚀速度几乎相同。
与此相对,本发明的构成与上述完全不同,其特征在于,对于low-k膜选择性地腐蚀绝缘膜阻挡层及硅氮化膜(SiN)。当利用本发明的剥离液腐蚀等离子体硅氧化膜、低压化学气相淀积硅氧化膜和BPSG膜时,和硅氮化物同样,非常多地腐蚀BPSG膜。
日本专利第3255551号中指出,作为有机溶剂,亚砜类、酰胺类、多元醇等是有效的。多元醇对low-k膜选择性地腐蚀硅氮化物(SiN)的效果差。亚砜类、酰胺类对铜的腐蚀性强,特别是实施例中提出的二甲基亚砜强烈地腐蚀铜。尽管添加防腐剂,但是,显然作为布线材料不是以铜为对象。相反,本发明是选择不需要添加防腐剂的有机溶剂。也就是,不是日本专利第3255551号中用于形成Cu/low-k多层布线结构的剥离液。
USP6150282号的特征在于实质上不含有水分,金属的腐蚀小。相反,本发明中,在腐蚀残渣中含有硅氮化物(SiN)的情况下需要水分。因为当没有水分时,则不能对low-k膜选择性地除去硅氮化物(SiN)。另外,本发明中即使不使水分少至极限值,也选择铜的腐蚀小的有机溶剂。列举出的异丙醇是其中一种。在USP6150282号中,必须减少水分是因为是以不使铝等Al/SiO2多层布线结构的形成中使用的金属腐蚀为对象。由此可见,USP6150282号也不是用于形成Cu/low-k多层布线结构的剥离液。
USP6150282号中使用环氧乙烷、环丁砜、酯、酮、醛、内酯、含有卤素的烃、醇、胺和酰胺等作为有机溶剂。在形成Cu/low-k多层布线结构中使用的剥离液中使用的有机溶剂为水溶性的是重要的,但是,这一点没有公开。在剥离处理之后除去剥离液时,使用水溶性的有机溶剂的情况下,不需要中间的冲洗,只用纯水就可以除去残留在被处理物上的剥离液。本发明的剥离液在除去抗蚀剂、防反射膜、埋入剂及含有上述物质的腐蚀残渣时,轻微地均匀腐蚀与它们接触的绝缘膜阻挡层或low-k膜。这种情况下,使用非水溶性的有机溶剂时,绝缘膜阻挡层及low-k膜的表面产生皲裂,剥离后的形状不好。由此可知,形成Cu/low-k多层布线结构中使用的剥离液中使用的有机溶剂优选是水溶性的。USP6150282号对此未提及。含有卤素的烃是非水溶剂,在其它的环氧乙烷、环丁砜、酯、酮、醛、内酯、醇、胺和酰胺中非水溶性的物质,从以上原因可知,难以用作形成Cu/low-k多层布线结构中使用的剥离液。
另外,使用环丁砜、胺和酰胺的情况下使铜腐蚀。优选具有一个羟基的醇,但是,在使用甲醇的情况下,使铜腐蚀。乙醇和异丙醇相比,其铜的腐蚀量大。本发明不单独使用甲醇、乙醇,可以和其它的有机溶剂混合使用。
与此相对,丙醇及叔丁醇等碳数为3以上的水溶性醇对铜的腐蚀少,剥离处理之后,只利用纯水冲洗就可以除去剥离液,因此可以利用少的工序有效地进行剥离处理。本发明者发现所述的丙醇及叔丁醇等碳数为3以上的水溶性醇可以用于形成Cu/low-k多层布线结构。USP6150282号对此未提及,含有大量作为用于形成Cu/low-k多层布线结构的剥离液不合适的物质。对于酯、酮也优选是水溶性的,乙酸甲酯、乙酸乙酯、乙酸丁酯、丙酮等对low-k膜选择性地腐蚀硅氮化物(SiN)的效果好,在本发明中公开了形成Cu/low-k多层布线结构时可以有效地除去抗蚀剂、防反射膜和含有上述物质的残渣的最适合的酯和酮。相反,USP6150282号对作为用于形成Cu/low-k多层布线结构的剥离液有用的有机溶剂没有任何说明。
在特开平11-340183号公报中的特征在于,使用含有20重量%以下的氟化氢和介电常数10以上的醇(R-OH)等的清洗液,提供金属的腐蚀少的侧壁聚合物除去液。根据 ,使HF轻微地解离,生成微量的HF2 -离子。利用生成的微量的HF2 -,除去侧壁聚合物,抑制对Al的反应。与此相对,本发明利用醇等有机溶剂,使氢键聚合物(HF)n解离,利用HF和HF和(HF)n(n为2以上)供给的质子H+,通过对low-k膜选择性地腐蚀绝缘膜阻挡层及硅氮化膜(SiN),除去腐蚀残渣。
特开平11-340183号公报中,作为侧壁聚合物除去液有效的有机溶剂在实施例中公开了甲醇。在形成Al/SiO2多层布线结构中的铝布线时产生的侧壁聚合物多数情况下利用灰化进行无机化。作为除去该聚合物的指标,可以使用氧化铝膜(Al2O3膜)的腐蚀速度。该膜的腐蚀速度越大,侧壁聚合物越容易除去。使用甲醇时,如实施例所示与异丙醇相比,Al2O3膜的腐蚀速度快,除去铝布线的侧壁聚合物的效果比异丙醇强。对于硅氮化膜(SiN)而言,与异丙醇相比甲醇可以选择性地腐蚀。但是铜的腐蚀方面,甲醇比异丙醇更明显地使铜腐蚀。
特开平11-340183号公报中没有提及以异丙醇为主的其它的溶剂具有抑制铜腐蚀的效果。另外,形成Cu/low-k多层布线结构时除去的抗蚀剂、防反射膜和含有上述物质的腐蚀残渣等含有较多的有机物。也没有公开异丙醇比甲醇更容易溶解并除去这些有机物。这表明,特开平11-340183号公报没有公开在形成Cu/low-k多层布线结构中使用的剥离液。
本发明中,在由氟化氢、有机溶剂和水构成的剥离液中,通过使用以异丙醇及乙酸等为主,铜的腐蚀性少,且对low-k膜选择性腐蚀硅氮化膜(SiN)的有机酸和/或有机溶剂,提供对形成Cu/low-k多层布线结构有用的剥离液。
本发明涉及以下各项所述的剥离液及剥离方法、清洗液及清洗方法等。
1.一种low-k膜用的抗蚀剂剥离液,其包含选自有机酸及有机溶剂中的至少一种,及氟化氢(HF)。
2.如1所述的抗蚀剂剥离液,其还含有选自氨和胺中的至少一种。
3.如1所述的剥离液,其用于超声波清洗。
4.如1所述的剥离液,其中low-k膜的介电常数为大于1且为3以下。
5.如1所述的剥离液,其可以将SiN膜腐蚀1Å以上。
6.如1所述的剥离液,其中,有机酸或有机溶剂的SP值为7~17。
7.如1所述的剥离液,其中,HF的浓度为0.01~10质量%。
8.如1所述的剥离液,其中,选自有机酸和有机溶剂中的至少一种是有机酸、或有机酸与有机溶剂的混合物,HF的浓度为0.01~5质量%。
9.如1所述的剥离液,其中,选自有机酸和有机溶剂中的至少一种是有机溶剂,HF的浓度为0.01~10质量%。
10.如1所述的剥离液,其中,还含有水,HF∶有机酸∶水的重量比为0.01~5质量%∶49~99.9质量%∶0~50质量%,有机酸为选自一元羧酸、磺酸及多元羧酸中的至少一种。
11.如10所述的剥离液,其中,一元羧酸是选自乙酸、丙酸、丁酸、异丁酸、戊酸、己酸、辛酸、一氯乙酸、二氯乙酸、三氯乙酸、一氟乙酸、二氟乙酸、三氟乙酸、α-氯丁酸、β-氯丁酸、γ-氯丁酸、乳酸、乙醇酸、丙酮酸、乙醛酸、甲基丙烯酸及丙烯酸中的至少一种;
磺酸是选自甲磺酸、苯磺酸、三氟甲磺酸及甲苯磺酸中的至少一种;
多元羧酸是选自草酸、琥珀酸、己二酸、酒石酸及柠檬酸中的至少一种。
12.如1所述的剥离液,其中,还含有水,HF∶有机溶剂∶水的重量比为0.01~10质量%∶49~99.9质量%∶0~50质量%,有机溶剂是选自一元醇类、多元醇类、酮类、酰胺类、腈类、醛类、烷撑二醇单烷基醚、醚类、酯类、烃类、卤素化合物类、含氟醇、磷酸酯类及含氮化合物类中的至少一种。
13.如2所述的剥离液,其中,HF∶选自氨和胺中的至少一种∶有机溶剂∶水的重量比为0.01~10质量%∶0.01~30质量%∶49~99.9质量%∶0~50质量%,有机溶剂是选自一元醇类、多元醇类、酮类、酰胺类、腈类、醛类、烷撑二醇单烷基醚、醚类、酯类、烃类、卤素化合物类、含氟醇、磷酸酯类及含氮化合物类中的至少一种。
14.如12所述的剥离液,其中,
一元醇类是选自甲醇、乙醇、异丙醇(IPA)、1-丙醇、1-丁醇、2-丁醇、叔丁醇、2-甲基-1-丙醇、1-戊醇、1-己醇、1-庚醇、4-庚醇、1-辛醇、1-壬醇、1-癸醇、1-十二烷醇、月桂醇和环己醇中的至少一种(但是,甲醇、乙醇与其它有机溶剂或有机酸组合使用);
多元醇类是选自乙二醇、二乙二醇、1,2-丙二醇、丙二醇、2,3-丁二醇及丙三醇中的至少一种;
酮类是选自丙酮、乙酰丙酮、甲基乙基酮、甲基异丁基酮、环己酮、二乙基酮及二异丁基酮中的至少一种;
酰胺类是选自N-甲基甲酰胺、N,N-二甲基甲酰胺、N-甲基乙酰胺及N,N-二甲基乙酰胺中的至少一种;
腈类是选自乙腈、丙腈、丁腈、异丁腈及苄腈中的至少一种;
醛类是选自甲醛、乙醛及丙醛中的至少一种;
烷撑二醇单烷基醚是选自乙二醇一甲基醚及乙二醇一乙基醚中的至少一种;
醚类是选自四氢呋喃、二噁烷、二异丙基醚、二丁基醚、四氢吡喃、苯甲醚、1,2-二甲氧基乙烷及二乙二醇二甲基醚中的至少一种;
酯类是选自乙酸甲酯、乙酸乙酯、乙酸丙酯、乙酸异丙酯、乙酸丁酯、乙酸异丁酯、乙酸戊酯、乙酸己酯、丙酸甲酯、丙酸乙酯、丙酸丙酯、丙酸异丙酯、丙酸丁酯、丙酸异丁酯、丙酸戊酯、丙酸己酯、丁酸甲酯、丁酸乙酯、丁酸丙酯、丁酸异丙酯、丁酸丁酯、丁酸异丁酯、丁酸戊酯、丁酸己酯、异丁酸甲酯、异丁酸乙酯、异丁酸丙酯、异丁酸异丙酯、异丁酸丁酯、异丁酸异丁酯、异丁酸戊酯、异丁酸己酯、戊酸甲酯、戊酸乙酯、戊酸丙酯、戊酸异丙酯、戊酸丁酯、戊酸异丁酯、戊酸戊酯、戊酸己酯、异戊酸甲酯、异戊酸乙酯、异戊酸丙酯、异戊酸异丙酯、异戊酸丁酯、异戊酸异丁酯、异戊酸戊酯、异戊酸己酯、己酸甲酯、己酸乙酯、己酸丙酯、己酸异丙酯、己酸丁酯、己酸异丁酯、己酸戊酯、己酸己酯、辛酸甲酯、辛酸乙酯、辛酸丙酯、辛酸异丙酯、辛酸丁酯、辛酸异丁酯、辛酸戊酯、辛酸己酯、辛酸甲酯、辛酸乙酯、辛酸丙酯、辛酸异丙酯、辛酸丁酯、辛酸异丁酯、辛酸戊酯、辛酸己酯、壬酸甲酯、壬酸乙酯、壬酸丙酯、壬酸异丙酯、壬酸丁酯、壬酸异丁酯、壬酸戊酯、壬酸己酯、癸酸甲酯、癸酸乙酯、癸酸丙酯、癸酸异丙酯、癸酸丁酯、癸酸异丁酯、癸酸戊酯、癸酸己酯、十二烷酸甲酯、十二烷酸乙酯、十二烷酸丙酯、十二烷酸异丙酯、十二烷酸丁酯、十二烷酸异丁酯、十二烷酸戊酯、十二烷酸己酯、月桂酸甲酯、月桂酸乙酯、月桂酸丙酯、月桂酸异丙酯、月桂酸丁酯、月桂酸异丁酯、月桂酸戊酯、月桂酸己酯、丙烯酸甲酯、丙烯酸乙酯、丙烯酸丙酯、丙烯酸异丙酯、丙烯酸丁酯、丙烯酸异丁酯、丙烯酸戊酯、丙烯酸己酯、草酸一甲酯、草酸二甲酯、草酸一乙酯、草酸二乙酯、草酸一丙酯、草酸二丙酯、草酸一丁酯、草酸二丁酯、琥珀酸一甲酯、琥珀酸二甲酯、琥珀酸一乙酯、琥珀酸二乙酯、琥珀酸一丙酯、琥珀酸二丙酯、琥珀酸一丁酯、琥珀酸二丁酯、己二酸一甲酯、己二酸二甲酯、己二酸一乙酯、己二酸二乙酯、己二酸一丙酯、己二酸二丙酯、己二酸一丁酯、己二酸二丁酯、酒石酸一甲酯、酒石酸二甲酯、酒石酸一乙酯、酒石酸二乙酯、酒石酸一丙酯、酒石酸二丙酯、酒石酸一丁酯、酒石酸二丁酯、柠檬酸一甲酯、柠檬酸二甲酯、柠檬酸一乙酯、柠檬酸二乙酯、柠檬酸一丙酯、柠檬酸二丙酯、柠檬酸一丁酯、柠檬酸二丁酯、邻苯二甲酸二甲酯、邻苯二甲酸二乙酯、邻苯二甲酸二丙酯、邻苯二甲酸二丁酯、邻苯二甲酸二戊酯、邻苯二甲酸二己酯、邻苯二甲酸二庚酯、邻苯二甲酸二辛酯、邻苯二甲酸二壬酯、邻苯二甲酸二癸酯、邻苯二甲酸二(十二烷基)酯、对苯二甲酸二甲酯、对苯二甲酸二乙酯、对苯二甲酸二丙酯、对苯二甲酸二丁酯、对苯二甲酸二戊酯、对苯二甲酸二己酯、对苯二甲酸二庚酯、对苯二甲酸二辛酯、对苯二甲酸二壬酯、对苯二甲酸二癸酯、对苯二甲酸二(十二烷基)酯、碳酸亚丙基酯及γ-丁内酯中的至少一种;
烃类是选自己烷、环己烷、辛烷、异辛烷、苯和甲苯中的至少一种;
卤素化合物类是选自氯仿、邻-二氯苯、全氟己烷及全氟甲基环己烷中的至少一种;
含氟醇类是选自三氟乙醇、五氟丙醇及2,2,3,3-四氟丙醇中的至少一种;
磷酸酯类是选自磷酸二甲酯、磷酸二丁酯、磷酸二苯基酯、磷酸二苄基酯、磷酸三甲酯、磷酸三乙酯、磷酸三丙酯、磷酸三丁酯及磷酸三苯基酯中的至少一种;
含氮化合物类是选自四甲基尿素及N-甲基-2-吡咯烷酮中的至少一种。
15.一种抗蚀剂剥离方法,其特征在于,使用1所述的剥离液,在剥离抗蚀剂但是实质上不破坏low-k膜的温度及时间下,通过low-k膜的表面上或low-k膜上的防反射膜对具有抗蚀剂的被处理物进行处理。
16.如15所述的方法,其特征在于,在用剥离液处理之前,将抗蚀剂灰化处理至实质上不破坏low-k膜的程度。
17.如15所述的方法,其中,实质上不破坏low-k膜是指实质上不腐蚀low-k膜和/或处理前后的low-k膜的介电常数率实质上没有变化。
18.如15所述的方法,其特征在于,在进行超声波清洗的同时进行被处理物的处理。
19.一种抗蚀剂剥离处理物,其可以通过上述15所述的方法得到。
20.一种通孔或电容器清洗液,其中,含有选自有机酸和有机溶剂中的至少一种,及氟化氢(HF)。
21.如20所述的清洗液,其中,还含有选自氨和胺中的至少一种。
22.如20所述的清洗液,其用于超声波清洗。
23.如20所述的清洗液,其可以将TiN膜腐蚀0.01Å以上。
24.如20所述的清洗液,其中,还含有水,HF∶有机酸∶水的重量比为0.01~5质量%∶49~99.9质量%∶0~50质量%,有机酸为选自一元羧酸、磺酸及多元羧酸中的至少一种。
25.如24所述的清洗液,其中,一元羧酸是选自乙酸、丙酸、丁酸、异丁酸、戊酸、己酸、辛酸、一氯乙酸、二氯乙酸、三氯乙酸、一氟乙酸、二氟乙酸、三氟乙酸、α-氯丁酸、β-氯丁酸、γ-氯丁酸、乳酸、乙醇酸、丙酮酸、乙醛酸、甲基丙烯酸及丙烯酸中的至少一种;
磺酸是选自甲磺酸、苯磺酸、三氟甲磺酸及甲苯磺酸中的至少一种;
多元羧酸是选自草酸、琥珀酸、己二酸、酒石酸及柠檬酸中的至少一种。
26.如20所述的清洗液,其中,还含有水,HF∶有机溶剂∶水的重量比为0.01~10质量%∶49~99.9质量%∶0~50质量%,有机溶剂是选自一元醇类、多元醇类、酮类、酰胺类、腈类、醛类、烷撑二醇单烷基醚、醚类、酯类、烃类、卤素化合物类、含氟醇、磷酸酯类及含氮化合物类中的至少一种。
27.如21所述的清洗液,其中,HF∶选自氨和胺中的至少一种∶有机溶剂∶水的重量比为0.01~10质量%∶0.01~30质量%∶49~99.9质量%∶0~50质量%,有机溶剂是选自一元醇类、多元醇类、酮类、酰胺类、腈类、醛类、烷撑二醇单烷基醚、醚类、酯类、烃类、卤素化合物类、含氟醇、磷酸酯类及含氮化合物类中的至少一种。
28.如26所述的清洗液,其中,
一元醇类是选自甲醇、乙醇、异丙醇(IPA)、1-丙醇、1-丁醇、2-丁醇、叔丁醇、2-甲基-1-丙醇、1-戊醇、1-己醇、1-庚醇、4-庚醇、1-辛醇、1-壬醇、1-癸醇、1-十二烷醇、月桂醇和环己醇中的至少一种;
多元醇类是选自乙二醇、二乙二醇、1,2-丙二醇、丙二醇、2,3-丁二醇及丙三醇中的至少一种;
酮类是选自丙酮、乙酰丙酮、甲基乙基酮、甲基异丁基酮、环己酮、二乙基酮及二异丁基酮中的至少一种;
酰胺类是选自N-甲基甲酰胺、N,N-二甲基甲酰胺、N-甲基乙酰胺及N,N-二甲基乙酰胺中的至少一种;
腈类是选自乙腈、丙腈、丁腈、异丁腈及苄腈中的至少一种;
醛类是选自甲醛、乙醛及丙醛中的至少一种;
烷撑二醇单烷基醚是选自乙二醇一甲基醚及乙二醇一乙基醚中的至少一种;
醚类是选自四氢呋喃、二噁烷、二异丙基醚、二丁基醚、四氢吡喃、苯甲醚、1,2-二甲氧基乙烷及二乙二醇二甲基醚中的至少一种;
酯类是选自乙酸甲酯、乙酸乙酯、乙酸丙酯、乙酸异丙酯、乙酸丁酯、乙酸异丁酯、乙酸戊酯、乙酸己酯、丙酸甲酯、丙酸乙酯、丙酸丙酯、丙酸异丙酯、丙酸丁酯、丙酸异丁酯、丙酸戊酯、丙酸己酯、丁酸甲酯、丁酸乙酯、丁酸丙酯、丁酸异丙酯、丁酸丁酯、丁酸异丁酯、丁酸戊酯、丁酸己酯、异丁酸甲酯、异丁酸乙酯、异丁酸丙酯、异丁酸异丙酯、异丁酸丁酯、异丁酸异丁酯、异丁酸戊酯、异丁酸己酯、戊酸甲酯、戊酸乙酯、戊酸丙酯、戊酸异丙酯、戊酸丁酯、戊酸异丁酯、戊酸戊酯、戊酸己酯、异戊酸甲酯、异戊酸乙酯、异戊酸丙酯、异戊酸异丙酯、异戊酸丁酯、异戊酸异丁酯、异戊酸戊酯、异戊酸己酯、己酸甲酯、己酸乙酯、己酸丙酯、己酸异丙酯、己酸丁酯、己酸异丁酯、己酸戊酯、己酸己酯、辛酸甲酯、辛酸乙酯、辛酸丙酯、辛酸异丙酯、辛酸丁酯、辛酸异丁酯、辛酸戊酯、辛酸己酯、辛酸甲酯、辛酸乙酯、辛酸丙酯、辛酸异丙酯、辛酸丁酯、辛酸异丁酯、辛酸戊酯、辛酸己酯、壬酸甲酯、壬酸乙酯、壬酸丙酯、壬酸异丙酯、壬酸丁酯、壬酸异丁酯、壬酸戊酯、壬酸己酯、癸酸甲酯、癸酸乙酯、癸酸丙酯、癸酸异丙酯、癸酸丁酯、癸酸异丁酯、癸酸戊酯、癸酸己酯、十二烷酸甲酯、十二烷酸乙酯、十二烷酸丙酯、十二烷酸异丙酯、十二烷酸丁酯、十二烷酸异丁酯、十二烷酸戊酯、十二烷酸己酯、月桂酸甲酯、月桂酸乙酯、月桂酸丙酯、月桂酸异丙酯、月桂酸丁酯、月桂酸异丁酯、月桂酸戊酯、月桂酸己酯、丙烯酸甲酯、丙烯酸乙酯、丙烯酸丙酯、丙烯酸异丙酯、丙烯酸丁酯、丙烯酸异丁酯、丙烯酸戊酯、丙烯酸己酯、草酸一甲酯、草酸二甲酯、草酸一乙酯、草酸二乙酯、草酸一丙酯、草酸二丙酯、草酸一丁酯、草酸二丁酯、琥珀酸一甲酯、琥珀酸二甲酯、琥珀酸一乙酯、琥珀酸二乙酯、琥珀酸一丙酯、琥珀酸二丙酯、琥珀酸一丁酯、琥珀酸二丁酯、己二酸一甲酯、己二酸二甲酯、己二酸一乙酯、己二酸二乙酯、己二酸一丙酯、己二酸二丙酯、己二酸一丁酯、己二酸二丁酯、酒石酸一甲酯、酒石酸二甲酯、酒石酸一乙酯、酒石酸二乙酯、酒石酸一丙酯、酒石酸二丙酯、酒石酸一丁酯、酒石酸二丁酯、柠檬酸一甲酯、柠檬酸二甲酯、柠檬酸一乙酯、柠檬酸二乙酯、柠檬酸一丙酯、柠檬酸二丙酯、柠檬酸一丁酯、柠檬酸二丁酯、邻苯二甲酸二甲酯、邻苯二甲酸二乙酯、邻苯二甲酸二丙酯、邻苯二甲酸二丁酯、邻苯二甲酸二戊酯、邻苯二甲酸二己酯、邻苯二甲酸二庚酯、邻苯二甲酸二辛酯、邻苯二甲酸二壬酯、邻苯二甲酸二癸酯、邻苯二甲酸二(十二烷基)酯、对苯二甲酸二甲酯、对苯二甲酸二乙酯、对苯二甲酸二丙酯、对苯二甲酸二丁酯、对苯二甲酸二戊酯、对苯二甲酸二己酯、对苯二甲酸二庚酯、对苯二甲酸二辛酯、对苯二甲酸二壬酯、对苯二甲酸二癸酯、对苯二甲酸二(十二烷基)酯、碳酸亚丙基酯及γ-丁内酯中的至少一种;
烃类是选自己烷、环己烷、辛烷、异辛烷、苯和甲苯中的至少一种;
卤素化合物类是选自氯仿、邻-二氯苯、全氟己烷及全氟甲基环己烷中的至少一种;
含氟醇类是选自三氟乙醇、五氟丙醇及2,2,3,3-四氟丙醇中的至少一种;
磷酸酯类是选自磷酸二甲酯、磷酸二丁酯、磷酸二苯基酯、磷酸二苄基酯、磷酸三甲酯、磷酸三乙酯、磷酸三丙酯、磷酸三丁酯及磷酸三苯基酯中的至少一种;
含氮化合物类是选自四甲基尿素及N-甲基-2-吡咯烷酮中的至少一种。
29.一种通孔清洗方法,其特征在于,使用上述20中所述的清洗液清洗具有通孔的被处理物,所述的通孔是选自钛化合物及聚合物中的至少一种附着在选自侧壁及底部中的至少一种上的通孔。
30.如29所述的方法,其特征在于,在进行超声波清洗的同时进行被处理物的处理。
31.一种清洗处理物,其可以通过上述29所述的方法得到。
32.一种电容器清洗方法,其特征在于,使用上述20中所述的清洗液清洗被处理物,
所述的被处理物是具有金属电容器的上部或下部电极,并且在选自该电极的侧壁、底面及表面的至少一种上附着了选自抗蚀剂残渣、聚合物及钛化合物中的至少一种的被处理物。
33.如32所述的方法,其特征在于,在进行超声波清洗的同时进行被处理物的处理。
34.一种清洗处理物,其可以通过上述32的方法得到。
35.如1所述的抗蚀剂剥离液,在利用剥离液在0.1分~120分的处理时间中(1)绝缘膜阻挡层的腐蚀量为1Å以上200Å以下,(2)low-k膜的腐蚀量为1Å以上200Å以下,(3)Cu的腐蚀速度为10Å/min以下,在上述条件下除去形成Cu/low-k多层互连结构中的镶嵌及双重镶嵌结构时的干腐蚀后的抗蚀剂、防反射膜及含有上述物质的腐蚀残渣等。
36.如35所述的剥离液,其绝缘膜阻挡层和low-k膜是含有SiN、SiC、SiCN、SiOC、SiO2等的含Si化合物。
37.如35所述的剥离液,不管有无利用等离子体的灰化处理,其除去使用含氮的腐蚀气体进行腐蚀时产生的残渣。
38.如35所述的剥离液,其除去使用含氮的气体进行灰化后的残渣。
39.如35所述的剥离液,其中,使惰性气体溶解、并使剥离液中的氧分压为饱和溶解的空气的氧分压以下。
40.如35所述的剥离液,其含有(i)HF;及(ii)质子供给性溶剂;和(iii)选自由中性溶剂、供体数为24以下的极性亲质子性溶剂和极性疏质子性溶剂构成的组中的至少一种有机化合物;并且,(i)HF(氟化氢)∶(ii)质子供给性溶剂∶(iii)选自由中性溶剂、供体数为24以下的极性亲质子性溶剂和极性疏质子性溶剂构成的组中的至少一种有机化合物的重量比为(i)0.05~5质量%∶(ii)1~98.95质量%∶(iii)1~98.95质量%。
41.如35所述的剥离液,其中,在含有(i)HF;及(ii)质子供给性溶剂;和(iii)选自由中性溶剂、供体数为24以下的极性亲质子性溶剂和极性疏质子性溶剂构成的组中的至少一种有机化合物的剥离液中还含有(iv)水;并且,(i)HF(氟化氢)∶(ii)质子供给性溶剂∶(iii)选自由中性溶剂、供体数为24以下的极性亲质子性溶剂和极性疏质子性溶剂构成的组中的至少一种有机化合物∶(iv)水的重量比为(i)0.05~5质量%∶(ii)1~98.93质量%∶(iii)1~98.93质量%;(iv)0.02~90质量%。
42.如35所述的剥离液,其中,在含有(i)HF;及(ii)质子供给性溶剂;和(iii)选自由中性溶剂、供体数为24以下的极性亲质子性溶剂和极性疏质子性溶剂构成的组中的至少一种有机化合物;和(iv)水的剥离液中,还含有(v)酸、(vi)供体数为25以上的极性亲质子性溶剂、(vii)含氟有机化合物中的至少一种;并且,(i)HF∶(ii)质子供给性溶剂∶(iii)选自由中性溶剂、供体数为24以下的极性亲质子性溶剂和极性疏质子性溶剂构成的组中的至少一种有机化合物∶(iv)水∶(v)酸∶(vi)供体数为25以上的极性亲质子性溶剂∶(vii)含氟有机化合物的重量比为(i)0.05~5质量%∶(ii)1~98.83质量%∶(iii)1~98.83质量%;(iv)0.02~90质量%∶(v)0~10质量%∶(vi)0~50质量%∶(vii)0~70质量%;但是,酸、供体数为25以上的极性亲质子性溶剂和含氟有机化合物的总量为0.1~74.93质量%。
43.如35所述的剥离液,其中,在含有(i)HF;及(ii)质子供给性溶剂;和(iii)选自由中性溶剂、供体数为24以下的极性亲质子性溶剂和极性疏质子性溶剂构成的组中的至少一种有机化合物;和(iv)水;和(v)酸、(vi)供体数为25以上的极性亲质子性溶剂及(vii)含氟有机化合物中的至少一种的剥离液中,还含有氨和/或胺;并且,(i)HF∶(ii)质子供给性溶剂∶(iii)选自由中性溶剂、供体数为24以下的极性亲质子性溶剂和极性疏质子性溶剂构成的组中的至少一种有机化合物∶(iv)水∶(v)酸∶(vi)供体数为25以上的极性亲质子性溶剂∶(vii)含氟有机化合物∶(viii)氨和/或胺的重量比为(i)0.05~5质量%∶(ii)1~98.73质量%∶(iii)1~98.73质量%;(iv)0.02~90质量%∶(v)0~10质量%∶(vi)0~50质量%∶(vii)0~70质量%∶(viii)0.05~10质量%;但是,酸、供体数为25以上的极性亲质子性溶剂和含氟有机化合物的总量为0.1~74.83质量%。
44.如35所述的剥离液,其中,含有(i)HF;及(ii)选自由中性溶剂、质子供给性溶剂、供体数为24以下的极性亲质子性溶剂和极性疏质子性溶剂构成的组中的至少一种有机化合物;和(iii)水;并且,(i)HF(氟化氢)∶(ii)选自由中性溶剂、质子供给性溶剂、供体数为24以下的极性亲质子性溶剂和极性疏质子性溶剂构成的组中的至少一种有机化合物∶(iii)水的重量比为:0.05~5质量%∶85~99.93质量%∶0.02~10质量%。
45.如35所述的剥离液,其中,在含有(i)HF;及(ii)选自由中性溶剂、质子供给性溶剂、供体数为24以下的极性亲质子性溶剂及极性疏质子性溶剂构成的组中的至少一种有机化合物;和(iii)水的剥离液中,还含有酸、供体数为25以上的极性亲质子性溶剂和含氟有机化合物中的至少一种;并且,(i)HF∶(ii)选自由中性溶剂、质子供给性溶剂、供体数为24以下的极性亲质子性溶剂及极性疏质子性溶剂构成的组中的至少一种有机化合物∶(iii)水∶(iv)酸∶(v)供体数为25以上的极性亲质子性溶剂∶(vi)含氟有机化合物的重量比为(i)0.05~5质量%∶(ii)25~99.83质量%∶(iii)0.02~10质量%;(iv)0~10质量%∶(v)0~50质量%∶(vi)0~70质量%;但是,酸、供体数为25以上的极性亲质子性溶剂和含氟有机化合物的总量为0.1~74.93质量%。
46.如35所述的剥离液,其中,在含有(i)HF;及(ii)选自由中性溶剂、质子供给性溶剂、供体数为24以下的极性亲质子性溶剂及极性疏质子性溶剂构成的组中的至少一种有机化合物;和(iii)水;和(iv)酸;(v)供体数为25以上的极性亲质子性溶剂和(vi)含氟有机化合物中的至少一种的剥离液中,还含有(vii)氨和/或胺;并且,(i)HF∶(ii)选自由中性溶剂、质子供给性溶剂、供体数为24以下的极性亲质子性溶剂及极性疏质子性溶剂构成的组中的至少一种有机化合物∶(iii)水∶(iv)酸∶(v)供体数为25以上的极性亲质子性溶剂∶(vi)含氟有机化合物∶(vii)氨和/或胺的重量比为(i)0.05~5质量%∶(ii)25~99.78质量%∶(iii)0.02~10质量%;(iv)0~10质量%∶(v)0~10质量%∶(vi)0~70质量%∶(vii)0.05~10质量%;但是,酸、供体数为25以上的极性亲质子性溶剂和含氟有机化合物中的至少一种的总量为0.1~74.88质量%。
47.如40所述的剥离液,其中,中性溶剂为醇类,质子供给性溶剂为一元羧酸类、多元羧酸类及磺酸类,供体数为24以下的极性非质子性溶剂为酯类和醚类,供体数为25以上的极性亲质子性溶剂为酯类、醚类、酮类和酸酐类。
48.如47所述的剥离液,其中,
(I)中性溶剂的醇类为甲醇、乙醇、丙醇、异丙醇、叔丁醇、烯丙醇及乙二醇;
(II)质子供给性溶剂的一元羧酸是甲酸、乙酸、丙酸、丁酸、异丁酸、一氯乙酸、二氯乙酸、三氯乙酸、一氟乙酸、二氟乙酸、三氟乙酸、α-氯丁酸、β-氯丁酸、γ-氯丁酸、乳酸、乙醇酸、丙酮酸、乙醛酸、甲基丙烯酸及丙烯酸;多元羧酸是草酸、琥珀酸、己二酸及柠檬酸;磺酸类是甲磺酸、苯磺酸、甲苯磺酸及三氟甲磺酸;
(III)供体数为24以下的极性亲质子性溶剂的酯类是磷酸三丁酯、磷酸三甲酯;醚类是1,2-二甲氧基乙烷、四氢呋喃、二乙基醚、乙二醇一甲基醚、乙二醇一乙基醚、二甲氧基甲烷、二甲氧基丙烷、二乙氧基甲烷和1,1-二甲氧基乙烷,
(IV)极性疏质子性溶剂的酯类为乙酸甲酯、乙酸乙酯、乙酸丁酯、碳酸亚丙基酯、碳酸亚乙酯、亚硫酸亚乙酯及内酯;醚类是二噁烷、三噁烷及二甘醇二甲醚;酮类为丙酮;酸酐类为乙酸酐。
49.如42、43、45或46所述的剥离液,其中,酸为选自氯化氢、溴化氢、碘化氢及它们的水溶液、硫酸、硝酸、磷酸、羧酸中的至少一种。
50.如42、43、45或46所述的剥离液,其中,含氟有机化合物为CHF2CF2OCH2CF3、CHF2CF2OCH4等含氟醚类、CH3CCl2F、CClF2CF2CHClF等氢氯氟碳化合物(HCFC)类。
51.如42、43、45或46所述的剥离液,其中,供体数为25以上的极性亲质子性溶剂是选自二甲基甲酰胺、二甲基乙酰胺、六甲基磷酰三胺、N-甲基-2-吡咯烷酮、1,1,3,3-四甲基尿素、N-甲基丙酰胺、二甲基咪唑烷酮等酰胺类、二甲基亚砜、环丁砜、二甲基硫代甲酰胺、N-甲基硫代吡咯烷酮等的硫化合物中的至少一种。
52.如40~46任何一项所述的剥离液,其中,含有作为质子供给性溶剂的羧酸类、和作为中性溶剂的醇类、作为供体数为24以下的极性非质子性溶剂的酯类和醚类中的至少一种。
53.如52所述的剥离液,其中,羧酸为乙酸。
54.如47所述的剥离液,其含有作为中性溶剂的醇类和作为供体数为24以下的极性非质子性溶剂的酯类和醚类中的至少一种。
55.如47所述的剥离液,其中醇为选自丙醇、异丙醇、叔丁醇、烯丙醇及乙二醇中的至少一种。
56.如40~46中任何一项所述的剥离液,其中,含有作为供体数为24以下的极性非质子性溶剂的酯类和醚类中的至少一种。
57.如40~46中任何一项所述的剥离液,其中,酯类为乙酸甲酯、乙酸乙酯、乙酸丁酯、碳酸亚丙基酯和碳酸亚乙酯,醚类为1,2-二甲氧基乙烷、四氢呋喃、二噁烷、三噁烷、二甘醇二甲醚、乙二醇一甲基醚、乙二醇二乙基醚、二乙二醇甲基乙基醚、四乙二醇二甲基醚、聚乙二醇二甲基醚、乙二醇一烯丙基醚、二乙二醇一丁基醚、乙二醇丁基醚、三乙二醇一丁基醚、二乙二醇二乙基醚、二乙二醇二甲基醚、三乙二醇二甲基醚、二乙二醇一异丁基醚、乙二醇一异丁基醚、乙二醇一异丙基醚、二乙二醇一甲基醚、二丙二醇一甲基醚、丙二醇一甲基醚、三丙二醇一甲基醚、乙二醇一乙基醚、聚乙二醇一甲基醚、三乙二醇一甲基醚、丙二醇一丙基醚及乙二醇甲基乙基醚中的一种。
58.如44所述的剥离液,其含有HF、乙酸、水,并且HF∶乙酸∶水的重量比为0.05~5质量%∶85~99.93质量%∶0.02~10质量%。
59.如44所述的剥离液,其含有HF、异丙醇、水,并且HF∶异丙醇∶水的重量比为1~7质量%∶88~98.5质量%∶0.5~5质量%。
60.如44所述的剥离液,其含有HF、乙酸、异丙醇、水,并且HF∶乙酸∶异丙醇∶水的重量比为0.05~6质量%∶1~98.93质量%∶1~98.85质量%∶0.02~12质量%。
61.如44所述的剥离液,其含有HF、1,2-二甲氧基乙烷、水,并且HF∶1,2-二甲氧基乙烷∶水的重量比为0.50~5质量%∶85.00~99.3质量%∶0.20~10质量%。
62.如44所述的剥离液,其含有HF、乙酸甲酯、乙酸乙酯、乙酸丁酯中的至少一种、水,并且HF∶乙酸甲酯、乙酸乙酯、乙酸丁酯中的至少一种∶水的重量比为0.50~5质量%∶85.00~99.30质量%∶0.20~10质量%。
63.如44所述的剥离液,其含有HF、1,4-二噁烷、水,并且HF∶1,4-二噁烷∶水的重量比为0.50~5质量%∶85.00~99.3质量%∶0.20~10质量%。
64.如44所述的剥离液,其含有HF、1,4-二噁烷和乙酸酐及乙酸中的至少一种、水,并且HF∶1,4-二噁烷和乙酸酐及乙酸中的至少一种∶水的重量比为0.50~6质量%∶82.00~99.30质量%∶0.20~12质量%。
65.如44所述的剥离液,其含有HF、乙二醇一甲基醚、乙二醇二乙基醚、二乙二醇甲基乙基醚、四乙二醇二甲基醚、聚乙二醇二甲基醚、乙二醇一烯丙基醚、二乙二醇一丁基醚、乙二醇丁基醚、三乙二醇一丁基醚、二乙二醇二乙基醚、二乙二醇二甲基醚、三乙二醇二甲基醚、二乙二醇一异丁基醚、乙二醇一异丁基醚、乙二醇一异丙基醚、二乙二醇一甲基醚、二丙二醇一甲基醚、丙二醇一甲基醚、三丙二醇一甲基醚、乙二醇一乙基醚、聚乙二醇一甲基醚、三乙二醇一甲基醚、丙二醇一丙基醚及乙二醇甲基乙基醚中的至少一种、和水;并且,HF∶乙二醇一甲基醚、乙二醇二乙基醚、二乙二醇甲基乙基醚、四乙二醇二甲基醚、聚乙二醇二甲基醚、乙二醇一烯丙基醚、二乙二醇一丁基醚、乙二醇丁基醚、三乙二醇一丁基醚、二乙二醇二乙基醚、二乙二醇二甲基醚、三乙二醇二甲基醚、二乙二醇一异丁基醚、乙二醇一异丁基醚、乙二醇一异丙基醚、二乙二醇一甲基醚、二丙二醇一甲基醚、丙二醇一甲基醚、三丙二醇一甲基醚、乙二醇一乙基醚、聚乙二醇一甲基醚、三乙二醇一甲基醚、丙二醇一丙基醚及乙二醇甲基乙基醚中的至少一种∶水的重量比为0.50~5质量%∶85.00~99.30质量%∶0.20~10质量%。
66.如35所述的剥离液,其含有HF、甲磺酸、水,并且HF∶甲磺酸∶水的重量比为大于0质量%且5质量%以下∶45质量%以上且小于100质量%∶大于0质量%且50质量%以下。
67.一种剥离方法,其特征在于,使用上述1或35所述的剥离液,使通过等离子体工艺受到破坏的low-k膜留下,除去腐蚀残渣。
68.如15或67所述的方法,其中,在混合惰性气体、并且氧分压为空气的氧分压以下的气氛中(实质上在惰性气体中)进行剥离处理。
69.一种冲洗处理方法,其对于实施了上述15或67所述的剥离处理方法的剥离处理物,在混合惰性气体、并且氧分压为空气的氧分压以下的气氛中(实质上在惰性气体中),使用使惰性气体溶解、并使水中的氧分压为饱和溶解的空气的氧分压以下的水,除去剥离液。
70.一种剥离处理物,其可以通过利用上述67或68所述的剥离方法及上述69所述的冲洗处理方法进行处理而得到。
71.如20所述的通孔或电容器清洗液,其将上述35所述的剥离液用于通孔或电容器的清洗。
72.如上述29的通孔清洗方法或32所述的电容器清洗方法,其使用上述71的通孔或电容器的清洗液进行清洗。
73.一种清洗处理物,其可以通过利用上述71的通孔或电容器的清洗液进行清洗处理而得到。
本发明涉及low-k膜用的抗蚀剂剥离液及剥离方法、通孔清洗液及清洗方法以及电容器清洗液及清洗方法。
本发明的剥离液及清洗液是包含有机酸及有机溶剂中的至少一种,及氟化氢(HF)为必要成分的组合物。
有机酸例如有:乙酸、丙酸、丁酸、异丁酸、戊酸、己酸、辛酸、一氯乙酸、二氯乙酸、三氯乙酸、一氟乙酸、二氟乙酸、三氟乙酸、α氯丁酸、β-氯丁酸、γ-氯丁酸、乳酸、羟基乙酸、丙酮酸、乙醛酸、丙烯酸等一元酸;甲磺酸、甲苯磺酸等磺酸;草酸、琥珀酸、己二酸、酒石酸、柠檬酸等多元羧酸。所述有机酸中特别优选乙酸。
有机溶剂例如有:甲醇、乙醇、异丙醇(IPA)、1-丙醇、1-丁醇、2-丁醇、叔丁醇、2-甲基-1-丙醇、1-戊醇、1-己醇、1-庚醇、4-庚醇、1-辛醇、1-壬醇、1-癸醇、1-十二烷醇、月桂醇和环己醇等一元醇类;
乙二醇、二乙二醇、1,2-丙二醇、丙二醇、2,3-丁二醇及丙三醇等多元醇;
丙酮、乙酰丙酮、甲基乙基酮、甲基异丁基酮、环己酮、二乙基酮及二异丁基酮等的酮类;
N-甲基甲酰胺、N,N-二甲基甲酰胺、N-甲基乙酰胺、N,N-二甲基乙酰胺等酰胺类;
乙腈、丙腈、丁腈、异丁腈以及苄腈等腈类;
甲醛、乙醛及丙醛等醛类;
乙二醇一甲基醚、乙二醇一乙基醚等烷撑二醇一烷基醚;
四氢呋喃、二噁烷、二异丙基醚、二丁基醚、四氢吡喃、苯甲醚、1,2-二甲氧基乙烷及二乙二醇二甲基醚等醚类;
乙酸甲酯、乙酸乙酯、乙酸丙酯、乙酸异丙酯、乙酸丁酯、乙酸异丁酯、乙酸戊酯、乙酸己酯、丙酸甲酯、丙酸乙酯、丙酸丙酯、丙酸异丙酯、丙酸丁酯、丙酸异丁酯、丙酸戊酯、丙酸己酯、丁酸甲酯、丁酸乙酯、丁酸丙酯、丁酸异丙酯、丁酸丁酯、丁酸异丁酯、丁酸戊酯、丁酸己酯、异丁酸甲酯、异丁酸乙酯、异丁酸丙酯、异丁酸异丙酯、异丁酸丁酯、异丁酸异丁酯、异丁酸戊酯、异丁酸己酯、戊酸甲酯、戊酸乙酯、戊酸丙酯、戊酸异丙酯、戊酸丁酯、戊酸异丁酯、戊酸戊酯、戊酸己酯、异戊酸甲酯、异戊酸乙酯、异戊酸丙酯、戊酸异丙酯、异戊酸丁酯、异戊酸异丁酯、异戊酸戊酯、异戊酸己酯、己酸甲酯、己酸乙酯、己酸丙酯、己酸异丙酯、己酸丁酯、己酸异丁酯、己酸戊酯、己酸己酯、辛酸甲酯、辛酸乙酯、辛酸丙酯、辛酸异丙酯、辛酸丁酯、辛酸异丁酯、辛酸戊酯、辛酸己酯、辛酸甲酯、辛酸乙酯、辛酸丙酯、辛酸异丙酯、辛酸丁酯、辛酸异丁酯、辛酸戊酯、辛酸己酯、壬酸甲酯、壬酸乙酯、壬酸丙酯、壬酸异丙酯、壬酸丁酯、壬酸异丁酯、壬酸戊酯、壬酸己酯、癸酸甲酯、癸酸乙酯、癸酸丙酯、癸酸异丙酯、癸酸丁酯、癸酸异丁酯、癸酸戊酯、癸酸己酯、十二烷酸甲酯、十二烷酸乙酯、十二烷酸丙酯、十二烷酸异丙酯、十二烷酸丁酯、十二烷酸异丁酯、十二烷酸戊酯、十二烷酸己酯、月桂酸甲酯、月桂酸乙酯、月桂酸丙酯、月桂酸异丙酯、月桂酸丁酯、月桂酸异丁酯、月桂酸戊酯、月桂酸己酯、丙烯酸甲酯、丙烯酸乙酯、丙烯酸丙酯、丙烯酸异丙酯、丙烯酸丁酯、丙烯酸异丁酯、丙烯酸戊酯、丙烯酸己酯、草酸一甲酯、草酸二甲酯、草酸一乙酯、草酸二乙酯、草酸一丙酯、草酸二丙酯、草酸一丁酯、草酸二丁酯、琥珀酸一甲酯、琥珀酸二甲酯、琥珀酸一乙酯、琥珀酸二乙酯、琥珀酸一丙酯、琥珀酸二丙酯、琥珀酸一丁酯、琥珀酸二丁酯、己二酸一甲酯、己二酸二甲酯、己二酸一乙酯、己二酸二乙酯、己二酸一丙酯、己二酸二丙酯、己二酸一丁酯、己二酸二丁酯、酒石酸一甲酯、酒石酸二甲酯、酒石酸一乙酯、酒石酸二乙酯、酒石酸一丙酯、酒石酸二丙酯、酒石酸一丁酯、酒石酸二丁酯、柠檬酸一甲酯、柠檬酸二甲酯、柠檬酸一乙酯、柠檬酸二乙酯、柠檬酸一丙酯、柠檬酸二丙酯、柠檬酸一丁酯、柠檬酸二丁酯、邻苯二甲酸二甲酯、邻苯二甲酸二乙酯、邻苯二甲酸二丙酯、邻苯二甲酸二丁酯、邻苯二甲酸二戊酯、邻苯二甲酸二己酯、邻苯二甲酸二庚酯、邻苯二甲酸二辛酯、邻苯二甲酸二壬酯、邻苯二甲酸二癸酯、邻苯二甲酸二(十二烷基)酯、对苯二甲酸二甲酯、对苯二甲酸二乙酯、对苯二甲酸二丙酯、对苯二甲酸二丁酯、对苯二甲酸二戊酯、对苯二甲酸二己酯、对苯二甲酸二庚酯、对苯二甲酸二辛酯、对苯二甲酸二壬酯、对苯二甲酸二癸酯、对苯二甲酸二(十二烷基)酯、碳酸亚丙基酯及γ-丁内酯等酯类;
己烷、环己烷、辛烷、异辛烷、苯和甲苯等烃类;
氯仿、邻-二氯苯、全氟己烷及全氟甲基环己烷等卤素化合物类;
三氟乙醇(例如CF3CH2OH)、五氟丙醇(例如CF3CF2CH2OH)、2,2,3,3-四氟丙醇等氟醇类;
乙酸酐、二甲基亚砜、环丁砜、硝基甲烷;
四甲基尿素、N-甲基-2-吡咯烷酮等含氮有机溶剂;
磷酸二甲酯、磷酸二丁酯、磷酸二苯基酯、磷酸二苄基酯、磷酸三甲酯、磷酸三乙酯、磷酸三丙酯、磷酸三丁酯、磷酸三苯基酯等的磷酸酯系溶剂。
这些有机溶剂中优选:异丙醇(IPA)、1-丙醇、1-丁醇、2-丁醇、叔丁醇、2-甲基-1-丙醇、1-戊醇、1-己醇、乙二醇、二乙二醇、1,2-丙二醇、环己醇、丙二醇、丙三醇、二丁基醚、N-甲基甲酰胺、N,N-二甲基甲酰胺、N-甲基乙酰胺、N,N-二甲基乙酰胺、N-甲基2-吡咯烷酮、四氢呋喃、二噁烷、乙酸甲酯、乙酸乙酯、乙酸丙酯、乙酸异丙酯、乙酸丁酯、乙酸异丁酯、乙酸戊酯、乙酸己酯、二甲基亚砜、环丁砜、辛烷、环己烷、苯、甲苯;更优选:IPA、己醇、环己醇、月桂醇、丙二醇、二乙二醇、乙二醇、丙三醇、二丁基醚、乙酸丁酯、辛烷、环己烷、苯、甲苯。另外,还可以配合不腐蚀Cu等的布线材料的量的甲醇、乙醇。
本发明的剥离液用于超声波清洗时,有机溶剂优选酯类、酮类、一元醇类、多元醇类、酰胺类、醚类、烷撑二醇一烷基醚、磷酸酯、含氮化合物中的至少一种。
从抗蚀剂的剥离性、通孔和接触孔的清洗性考虑,有机酸或有机溶剂优选SP为约7~约17,更优选约8~约17,特别优选约9~约17。
本发明的剥离液及清洗液中,根据其它的成分可以适当的设定HF含量,没有特别限定,通常以剥离液和清洗液总量为基准(对以下各成分的含量也同样设定),含有机酸的情况(含有机酸、不含有机溶剂的情况以及含有机酸及有机溶剂的情况)下,HF的浓度为约0.01~约5质量%,优选约0.05~约3质量%,更优选约0.1~约1质量%;不含有机酸的情况(含有机溶剂、不含有机酸的情况)下,HF的浓度为约0.01~约10质量%,优选约0.05~约5质量%,更优选约0.1~约3质量%。
剥离液及清洗液含有水的情况下水的含量为约70质量%以下、优选约50质量%以下,更优选约5质量%以下。
有机酸和有机溶剂中的至少一种的含量是约30~约99.9质量%,优选约50~约99.9质量%,更优选约90~约99.9质量%,特别优选约95~约99.9质量%。
HF通常使用稀氟酸(50质量%水溶液),但在不含有水的情况下,也可以使用100%HF。
本发明的优选的剥离液及清洗液以及其配合比的一个实例如下所述。
另外,本说明书中如果没有特别说明,HF的配合量是指无水HF的配合量。
HF∶有机酸∶水=0.01~5质量%∶49~99.9质量%∶0~50质量%,
HF∶有机溶剂∶水=0.01~10质量%∶49~99.9质量%∶0~50质量%,
本发明的更优选的剥离液及清洗液以及其配合比如下所示。
HF∶乙酸∶水=0.05~5质量%∶90~99.95质量%∶0~5质量%,
HF∶IPA∶水=0.1~10质量%∶80~99.9质量%∶0~10质量%,
HF∶己醇∶水=0.1~10质量%∶80~99.9质量%∶0~10质量%,
HF∶月桂醇∶水=0.1~10质量%∶80~99.9质量%∶0~10质量%,
HF∶丙二醇∶水=0.1~10质量%∶80~99.9质量%∶0~10质量%,
HF∶二乙二醇∶水=0.1~10质量%∶80~99.9质量%∶0~10质量%,
HF∶乙二醇∶水=0.1~10质量%∶80~99.9质量%∶0~10质量%,
HF∶丙三醇∶水=0.1~10质量%∶80~99.9质量%∶0~10质量%,
HF∶环己醇∶水=0.1~10质量%∶80~99.9质量%∶0~10质量%,
HF∶二丁基醚∶水=0.1~10质量%∶80~99.9质量%∶0~10质量%,
HF∶乙酸丁酯∶水=0.1~10质量%∶80~99.9质量%∶0~10质量%,
HF∶辛烷∶水=0.1~10质量%∶80~99.9质量%∶0~10质量%,
HF∶环己烷∶水=0.1~10质量%∶80~99.9质量%∶0~10质量%,
HF∶苯∶水=0.1~10质量%∶80~99.9质量%∶0~10质量%,
HF∶甲苯∶水=0.1~10质量%∶80~99.9质量%∶0~10质量%,
本发明的进一步优选的剥离液及清洗液以及其配合比如下所示。
HF∶乙酸∶水=0.1~3质量%∶94~99.99质量%∶0~3质量%,
HF∶IPA∶水=0.1~5质量%∶90~99.9质量%∶0~5质量%,
HF∶己醇∶水=0.1~5质量%∶90~99.9质量%∶0~5质量%,
HF∶月桂醇∶水=0.1~5质量%∶90~99.9质量%∶0~5质量%,
HF∶丙二醇∶水=0.1~5质量%∶90~99.9质量%∶0~5质量%,
HF∶二乙二醇∶水=0.1~5质量%∶90~99.9质量%∶0~5质量%,
HF∶乙二醇∶水=0.1~5质量%∶90~99.9质量%∶0~5质量%,
HF∶丙三醇∶水=0.1~5质量%∶90~99.9质量%∶0~5质量%,
HF∶环己醇∶水=0.1~5质量%∶90~99.9质量%∶0~5质量%,
HF∶二丁基醚∶水=0.1~5质量%∶90~99.9质量%∶0~5质量%,
HF∶乙酸丁酯∶水=0.1~5质量%∶90~99.9质量%∶0~5质量%,
HF∶辛烷∶水=0.1~5质量%∶90~99.9质量%∶0~5质量%,
HF∶环己烷∶水=0.1~5质量%∶90~99.9质量%∶0~5质量%,
HF∶苯∶水=0.1~5质量%∶90~99.9质量%∶0~5质量%,
HF∶甲苯∶水=0.1~5质量%∶90~99.9质量%∶0~5质量%,
本发明的剥离液或清洗液可以用于超声波清洗,这种情况下,优选其为进一步含有氨和胺中的至少一种的组合物。
胺例如有:羟胺类、烷醇胺、NR3表示的伯、仲、叔胺、脂环式胺、杂环式胺等。
具体例如有羟胺、N,N-二乙基羟胺等羟胺类。
烷醇胺例如有一乙醇胺、二乙醇胺、三乙醇胺等羟胺类。
NR3中三个R可以相同或不同,也可以用氟原子取代的烃基或氢原子。但是,排除三个R都是氢原子的情况。
可以用氟取代的烃例如有:具有直链或支链的碳数1~18、优选1~12的烷基;可以用氟原子取代的苯基等。其中,优选没有取代的碳数1~18的烷基。
NR3表示的化合物具体例如有脂肪族胺、例如甲基胺、乙基胺等伯胺;二甲基胺、二乙基胺等仲胺;三甲基胺、三乙基胺等叔胺。芳香族胺例如有苯胺、甲基苯胺等。
另外,可以举出环己胺、二环己胺等脂环式胺;吡咯、吡咯烷、吡咯烷酮、吡啶、吗啉、吡嗪、哌啶、N-羟基乙基哌啶、噁唑、噻唑等杂环式胺。
含有氨和/或胺的情况下,通过使液体混合,形成氢氟酸和氨和/或胺的1对1、或1对2的盐。本发明的剥离液或清洗液中,氢氟酸和氨和/或胺也可以以盐的形式存在。
含有氨和/或胺的情况下,其配合量以摩尔比计算,优选比氢氟酸少,例如含有上述2成分的剥离液或清洗液中,氢氟酸和氨和/或胺的摩尔比为:HF∶氨和/或胺=1∶0.01~1∶1摩尔左右。
而且,含有胺的组合物的情况下优选的剥离液和清洗液以及其配合比的一个例子如下所示。
HF∶氨和/或胺∶有机溶剂∶水=0.01~10质量%∶0.01~30质量%∶49~99.9质量%∶0~50质量%。本发明的剥离液和清洗液,也可以含有阴离子、阳离子及非离子系表面活性剂中的至少一种的表面活性剂。表面活性剂的含量没有特别限制,只要能够发挥本发明所希望的效果就可以,通常为约0.0001~约10质量%、优选约0.001~约5质量%、特别优选约0.01~约1质量%。
另外,用作抗蚀剂剥离液时,优选可以将SiN膜进行1Å以上腐蚀的组合物,清洗液的情况下,优选可以将TiN膜进行0.01Å以上腐蚀的组合物。
本发明的剥离液可以有效地使用在low-k膜的腐蚀中使用的抗蚀剂(含有负性和正性抗蚀剂)抗蚀剂的改性物质。
作为利用本发明方法剥离的对象的抗蚀剂是利用C4F8等腐蚀气体使KrF(氟化氪)、ArF、F2抗蚀剂等公知的抗蚀剂腐蚀后的抗蚀剂。
本说明书中,在“抗蚀剂”中也可以还含有利用腐蚀工序使抗蚀剂的表面的一部分或全部改性的物质,本发明的抗蚀剂剥离液也可以剥离上述的抗蚀剂的改性物质。
利用腐蚀工序使腐蚀气体聚合产生的聚合物存在于利用腐蚀形成的槽或孔中时,也可以在剥离抗蚀剂时同时剥离该聚合物。
本说明书中,所谓的low-k膜表示利用O2等离子体灰化受到破坏的膜,具体地是指介电常数比1大、4以下左右,优选3以下左右,更优选2.8以下左右,进一步优选2.6以下左右的绝缘膜。Low-k膜例如有:例如Black diamond(商品名,アプライドマテリアルズ公司制)、コ一ラル(商品名,Novellus公司制)、LKDシリ一ズ(商品名,JSR公司制)、オ一ロラ(商品名,ASM公司制)、HSGシリ一ズ(商品名,日立化成公司制)、Nanoglass(商品名,Honewell公司制)、IPS(商品名,触媒化成公司制)、Z3M(商品名,Dow Corning公司制)、XLK(商品名,Dow Corning公司制)、FOx(商品名,Dow Corning公司制)等。
前述抗蚀剂例如有KrF(氟化氪)、ArF、F2抗蚀剂等,但不限于此。
利用本发明的方法例如可以在半导体基片(例如SiN,铜、TaN、SiC等)上形成膜,然后形成抗蚀剂,之后利用光刻法法形成图案,根据该图案腐蚀low-k膜,之后,通过使其接触本发明的剥离液剥离(除去)抗蚀剂(含有抗蚀剂的改性物质)。因此,本发明的剥离液是在low-k膜及抗蚀剂上开孔或槽,以抗蚀剂(含有抗蚀剂的改性物质)附着的状态的物质为被处理物,剥离该抗蚀剂(及抗蚀剂的改性物质)。另外,也可以是利用腐蚀得到的low-k膜的孔的壁面及/或底面上附着有聚合物(腐蚀气体的聚合物)的物质。
基片上形成low-k膜之后,根据需要可以在low-k膜上形成SiN、SiC、TaN膜等,也可以使该SiN、SiC、Ta膜和low-k膜一起腐蚀。
另外,在抗蚀剂的表面上可以形成防反射膜,所述的防反射膜可以和抗蚀剂一起剥离。
low-k膜和抗蚀剂通常分别具有约0.01~约2μm、约0.001~约0.2μm、约0.01~约10μm的厚度。另外,根据需要形成的SiN膜、SiC膜、TaN膜、防反射膜等通常也分别具有约0.01~约2μm、约0.001~约0.2μm、约0.01~约10μm、约0.01~约0.1μm的厚度。
利用本发明的方法,腐蚀后、在接触本发明的剥离液之前,根据需要也可以进行轻的O2等离子体灰化(例如灰化至轻O2等离子体灰化前后的介电常数的变化优选20%以下左右、更优选10%以下左右,进一步优选5%以下左右)或轻的H2等离子体灰化,至实质上不破坏low-k膜的程度。作为前处理进行轻的O2等离子体灰化或轻的H2等离子体灰化时,即使使用了相同的剥离液,有时也和腐蚀后直接剥离抗蚀剂的情况具有不同的最佳条件如温度、时间等。
使用了本发明的剥离液的抗蚀剂的剥离方法可以除去抗蚀剂(含有抗蚀剂改性物质),而且,是在实质上对low-k膜不产生破坏的温度和时间条件下进行的。所谓的实质上对low-k膜不产生破坏是指:使用剥离液处理前后的low-k膜的物性在例如应用在半导体基片上时,只变化至对其性能不产生影响的程度,例如在抗蚀剂和low-k膜的界面上实质上不侵蚀(腐蚀)low-k膜,使被处理物的膜的层积方向的剖面形状实质上不发生变化,或者使用剥离液进行处理前后,low-k膜的介电常数实质性不发生变化。所谓的实质上不腐蚀low-k膜,是指low-k膜的腐蚀量优选200nm以下左右,更优选100nm以下左右,进一步优选50nm以下左右。使用剥离液进行处理前后的low-k膜的介电常数实质上不发生变化是指介电常数的变化优选为20%以下左右,更优选10%以下左右,进一下一步优选5%以下左右。
利用剥离液的处理例如可以通过使腐蚀后的基片作为被处理物浸渍在本发明的剥离液中进行。浸渍至剥离液的条件可以根据剥离液的种类或温度进行适当的设定,只要是可以剥离抗蚀剂,对low-k膜实质上没有破坏就可以,没有特别限定。例如剥离液的液体温度为约15~约60℃时,可以浸渍约0.1~约30分钟,优选浸渍约5~约20分钟。更具体地说,对于HF∶乙酸∶水=0.05~1质量%∶98~99.5质量%∶0~1质量%的剥离液,液体温度如果是23℃可以浸渍约0.1~约20分钟。对于HF∶乙胺∶乙二醇∶水=0.05~2质量%∶0.01~2质量%∶94~99.5质量%∶0~2质量%的剥离液,浸渍约0.1~约20分钟就可以。
另外,如果使剥离液接触被处理物的话,就可以进行抗蚀剂的剥离,因而例如可以边旋转被处理物边从上面供给液体,进行清洗,也可以用喷雾将组合物不断喷在被处理物上,进行清洗。
利用本发明的剥离液的处理在根据抗蚀剂的种类或腐蚀等的条件难以使抗蚀剂剥离的情况下,例如也可以将被处理物浸渍在剥离液中进行超声波清洗。
进行超声波清洗的条件只要是剥离抗蚀剂就可以,没有特别限定,通常如果是约23℃,就可以在20~1200kHz,50~3000W条件下进行约0.1~约20分钟。
更具体的是,对于HF∶乙酸∶水=0.05~1质量%∶98~99.5质量%∶0~1质量%的剥离液,液体温度如果是23℃,就可以在20~1200kHz,50~3000W条件下进行约0.1~约20分钟:对于HF∶乙胺∶乙二醇∶水=0.05~2质量%∶0.05~2质量%∶94~99.5质量%∶0~2质量%的剥离液,液体温度如果是23℃,就可以在20~1200kHz,50~3000W条件下进行约0.1~约20分钟;
使用本发明剥离液进行抗蚀剂剥离后的半导体基片,例如按照制作铜或铝布线等的常用的方法(例如,详说半导体CMP技术、土肥俊郎编著(2001年)中所述的方法),可以加工成各种类型的半导体装置。
本发明的清洗液具有干腐蚀由来的钛化合物(例如氟化钛、氧化钛等)和/或聚合物的清洗性。因而,本发明的清洗液的目的在于,例如,在半导体制造工序中,进行干腐蚀处理之后,剥离通孔等的侧壁及/或底面残留的氟化钛、聚合物等清洗通孔,即可以用作通孔清洗液。例如本发明的清洗液可以应用于剥离形成通孔等工序产生的钛化合物及/或聚合物洗净通孔等。利用本发明的清洗液可以在低温而且短时间内清洗通孔。
而且,本发明剥离液可以清洗干腐蚀由来的抗蚀剂残渣、钛化合物、聚合物等。因而,本发明的剥离液的目的在于,例如,在半导体制造工序中,金属电容器上部或下部电极金属膜(TiN、Ti等)的干腐蚀处理(及灰化处理)之后,剥离其抗蚀剂残渣、聚合物、钛化合物,清洗电容器,即可以用作电容器清洗液。例如本发明的清洗液可以应用于剥离金属电容器等形成工序中产生、并且附着在上部或下部电极的侧壁、底面和表面中的至少一种的抗蚀剂残渣、钛化合物和聚合物中的至少一种,清洗电容器。
另外,钛化合物是在半导体制造的腐蚀工序中,使用含有氟的气体(例如C4F8等)作为干腐蚀气体,使用了在基片上等含有钛的基片(例如TiN等)的情况下产生的。另外,本发明中,“聚合物”是指腐蚀时腐蚀气体发生聚合而成的物质。而且本发明中,“抗蚀剂残渣”是指利用腐蚀工序后的灰化产生的抗蚀剂气体。
使用了本发明的清洗液的处理可以通过如下进行:将被处理物(例如形成了通孔、金属电容器下部或上部电极中的至少一种的半导体基片,即通孔的侧壁及/或底面上附着有聚合物和/或钛化合物的基片或金属电容器上部或下部电极金属膜(TiN、Ti等)上附着有抗蚀剂残渣和/或聚合物和/或钛化合物的基片)浸渍在清洗液中。浸渍条件可以根据清洗液的种类进行适当地选择,例如可以在约15~约60℃,优选室温左右处理约0.1~约20分钟。这种情况下,只要使被处理物和清洗液接触就可以,例如可以边旋转被处理物边从上面供给液体,进行清洗,也可以用喷雾将组合物不断喷在被处理物上,进行处理。
利用本发明的剥离液的处理,在产生利用抗蚀剂等的条件难以清洗的聚合物等情况下,例如也可以将被处理物浸渍在剥离液中进行超声波清洗。这时的条件没有特别限定,如果剥离液的液体温度为约15~约60℃,就可以在20~1200kHz,50~3000W条件下进行约0.1~约30分钟。
更具体的是,对于HF∶乙酸∶水=0.05~1质量%∶98~99.5质量%∶0~1质量%的剥离液,液体温度如果是23℃,就可以在20~1200kHz,50~3000W条件下进行约0.5~约20分钟;对于HF∶胺或氨∶乙二醇∶水=0.05~2质量%∶0.05~2质量%∶94~99.5质量%∶0~2质量%的剥离液,液体温度如果是23℃,就可以在20~1200kHz,50~3000W条件下进行约0.5~约20分钟。
使用本发明组合物进行了通孔、电容器等的清洗的半导体基片可以利用常用的方法(例如Atlas of IC Technologies:An Introduction toVLSI Processes by W.Maly,1987 by The Benjamin/Cummings PubishingCompany Inc中所述的方法),加工成各种类型的半导体装置。
而且,本发明中,以有机酸及有机溶剂中的中性溶剂、质子供给性溶剂、供体数为24以下的极性非质子性溶剂中的至少一种、以及氟化氢(HF)为必要成分的组合物,作为low-k膜用的抗蚀剂、防反射膜、埋入材料以及含有上述物质的腐蚀残渣的剥离液、通孔清洗液及电容器清洗液特别有效。
本发明的剥离液是在剥离含有干腐蚀后的抗蚀剂等的腐蚀残渣的处理工序中,用于在(1)绝缘膜阻挡层的腐蚀量为1Å以上200Å以下,(2)low-k膜的腐蚀量为1Å以上200Å以下,(3)Cu的腐蚀速度为5Å/min以下的条件下除去腐蚀残渣的组合物。使用了该组合物的剥离处理时间为0.1分钟至120分钟,特别是1分钟至60分钟。绝缘膜阻挡层和low-k膜的腐蚀量与处理时间一起被控制在上述范围。
在绝缘膜阻挡层中有两种膜,即随着处理时间的延长,不断进行腐蚀的膜和显示腐蚀停止倾向的膜。腐蚀不断进行的膜是SiN,SiO2,SiOC等,显示腐蚀停止倾向的膜有SiC,SiCN等。
腐蚀不断进行的SiC,SiCN等的绝缘膜阻挡层的腐蚀量为5Å时的low-k膜的腐蚀量为5~190Å,Cu的腐蚀量为0.02~2Å,
SiN,SiO2,SiOC等的腐蚀不断进行的绝缘膜阻挡层的腐蚀量为50Å时的low-k膜的腐蚀量为35~195Å,Cu的腐蚀量为0.02~2Å。
所谓的绝缘膜阻挡层是指,在制造半导体器件的布线工序中的Cu/low-k多层布线结构时,为了(1)将low-k膜制作图案用的硬掩膜、(2)用于防止铜的扩散的阻挡层、(3)用于防止low-k膜的腐蚀的腐蚀停止层(エツチストツパ),(4)low-k膜的保护和与底层的密着性提高、(5)铜的CMP工序中的low-k膜的保护(覆盖膜)等而使用的绝缘膜。为了使其具有上述功能,不影响low-k膜的介电常数,也优选使绝缘膜阻挡层的介电常数小。绝缘膜阻挡层例如有氮化硅(SiN)、碳化硅(SiC)、碳化氮化(SiCN)等含硅(Si)的化合物。
所谓的low-k膜除已经说明的膜之外,例如有Orion(商品名,Tricon公司制)等的介电常数为2.4以下的新形成的膜。low-k膜主要利用涂布和有机等离子体CVD生成。涂布时添加原料固有的膜的名称,有机等离子体CVD的情况下,根据原料和装置添加固有的膜的名称,。Orion等也是有机等离子体CVD膜之一。
本发明的剥离液由氟化氢和中性溶剂、质子供给性溶剂、供体数为24以下的极性非质子性溶剂中至少一种的溶液构成;并且,可以控制作为布线材料的铜等金属、绝缘膜阻挡层或low-k膜、抗蚀剂、防反射膜、埋入材料及含有上述物质的腐蚀残渣的腐蚀。也就是本发明的剥离液具有如下特征。(1)抑制铜等金属的腐蚀;(2)选择性腐蚀绝缘膜阻挡层,使绝缘膜阻挡层或low-k膜和腐蚀残渣的界面剥离,分开;(3)选择性溶解腐蚀残渣中的硅氮化物(SiN)等;以及(4)利用有机溶剂溶解抗蚀剂、防反射膜、埋入材料及含有上述物质的腐蚀残渣的有机成分,由此可以除去抗蚀剂、防反射膜、埋入材料及含有上述物质的腐蚀残渣。所谓的腐蚀残渣是在制造抗蚀剂、防反射膜、埋入材料等的半导体器件时使用的辅助材料、及干腐蚀及干腐蚀后的灰化后工序中产生的反应生成物、喷溅物等附着物、堆积物及必须除去的残留物。
这里所述的附着物及堆积物是腐蚀气体等离子体本身产生的含有氟碳的聚合物等物质、抗蚀剂、防反射膜、埋入材料、绝缘膜阻挡层、low-k膜、作为布线材料的金属等的器件的构成材料在腐蚀时由于暴露在等离子体中而发生反应,通过反应生成的物质及利用等离子体中的离子喷溅时产生的物质等附着或堆积形成的物质。必须除去的残留物是应该除去的包含含有通过腐蚀及灰化而改性过的部分的抗蚀剂、防反射膜、埋入材料等的目标物,腐蚀后,表示在以下的工序中不需要的物质。使用了本发明的剥离液的剥离及清洗的处理时间为0.1分至120分。处理时间通常根据处理方法而不同。根据装置和处理液的效果确定为叶片式的装置在10分钟内,间歇式的装置在60分钟内等。利用本发明的剥离液,进行0.1分的短时间至120的长时间的处理。
绝缘膜阻挡层、low-k膜的腐蚀量少时,腐蚀残渣难以剥离,腐蚀量大时,形成大于设计尺寸的图案,不合适。而且,优选绝缘膜阻挡层的腐蚀量相对于low-k膜的腐蚀量的比为0.3以上。该比更优选1.0以上。当该腐蚀比小时,low-k膜比绝缘膜阻挡层更多地被腐蚀,绝缘膜阻挡层和low-k膜边界出现台阶。该台阶是造成在阻挡层金属埋入或作为布线材料的铜埋入时low-k膜形成隐藏在绝缘膜阻挡层后面的形状、它们的埋入不完全这些不良现象的原因。
含有硅(Si)的low-k膜进行干腐蚀时,以含氮气的气体或腐蚀气体和氮气的混合气体作为腐蚀气体使用时,low-k膜的成分硅和氮发生反应,生成组成近似于氮化硅(SiN)的具有Si-N键的化合物,含有该物质的腐蚀残渣存在。另外,和灰化同样,利用含有氮气的气体使low-k膜的成分硅和氮发生反应,生成组成近似于氮化硅(SiN)的具有Si-N键的化合物。利用含有氟的本发明的剥离液,可以有效地选择性地除去含有该Si-N键的腐蚀残渣。另外,腐蚀后通过对该残渣进行等离子体处理使其灰化或反应等除去一部分的灰化工序之后的腐蚀残渣也可以选择性地除去。在这里的等离子体处理时,使用氧气,氢气,氮气,氦气、氩气、氖气、氪、氙等稀有气体,水,醇等等离子体。
有机酸和有机溶剂例如有:中性溶剂、质子供给性溶剂、供体数为24以下的极性非质子性溶剂中的至少一种。中性溶剂、质子供给性溶剂被分类为两性溶剂,供体数为24以下的极性非质子性溶剂一般被分类为非质子性溶剂。非质子性溶剂中,偶极矩、介电常数比较大的溶剂称作极性非质子性溶剂;除此之外的偶极矩和介电常数非常小,且酸性、碱性也非常弱的溶剂称为惰性溶剂。极性非质子性溶剂可以分类为比水碱性强的极性亲质子性溶剂、比水碱性弱的物质为极性疏质子性溶剂。
中性溶剂优选醇类,质子供给性溶剂优选一元羧酸类、多元羧酸类和磺酸类,供体数为24以下的极性非质子性溶剂优选酯类、醚类、酮类和酸酐类。
(I)中性溶剂的(1)醇类为:甲醇、乙醇、丙醇、异丙醇、叔丁醇、烯丙基醇、乙二醇、丙二醇、乙二醇一甲基醚、乙二醇一乙基醚、乙二醇一丙基醚、乙二醇一异丙基醚、乙二醇一丁基醚、乙二醇一异丁基醚、二乙二醇一甲基醚、二乙二醇一乙基醚、二乙二醇一丙基醚、二乙二醇一异丙基醚、二乙二醇一丁基醚、二乙二醇一异丁基醚、三乙二醇一甲基醚、三乙二醇一乙基醚、三乙二醇一丙基醚、三乙二醇一异丙基醚、三乙二醇一丁基醚、三乙二醇一异丁基醚、聚乙二醇一甲基醚、丙二醇一甲基醚、丙二醇一乙基醚、丙二醇一丙基醚、丙二醇一异丙基醚、丙二醇一异丁基醚、丙二醇一丁基醚、二丙二醇一甲基醚、二丙二醇一乙基醚、二丙二醇一丙基醚、二丙二醇一异丙基醚、三丙二醇一甲基醚、乙二醇一烯丙基醚中的至少一种(甲醇、乙醇不单独使用,和其它溶剂混合使用);
(II)质子供给性溶剂的(1)一元羧酸是甲酸、乙酸、丙酸、丁酸、异丁酸、一氯乙酸、二氯乙酸、三氯乙酸、一氟乙酸、二氟乙酸、三氟乙酸、α-氯丁酸、β-氯丁酸、γ-氯丁酸、乳酸、羟基乙酸、丙酮酸、乙醛酸、甲基丙烯酸及丙烯酸中的至少一种;(2)多元羧酸是草酸、琥珀酸、己二酸及柠檬酸中的至少一种;(3)磺酸类是甲磺酸、苯磺酸、甲苯磺酸、三氟甲磺酸中的至少一种;
(III)供体数为24以下的极性非质子性溶剂的(1)酯类是乙酸甲酯、乙酸乙酯、乙酸丁酯、碳酸亚丙基酯、碳酸亚乙酯、亚硫酸亚乙酯、内酯、磷酸三丁酯、磷酸三甲酯中的至少一种;(2)醚类是二噁烷、三噁烷及二甘醇二甲醚、1,2-二甲氧基乙烷、四氢呋喃、二乙基醚、二甲氧基甲烷、二甲氧基丙烷、二乙氧基甲烷、1,1-二甲氧基乙烷,乙二醇甲基乙基醚、乙二醇二乙基醚、二乙二醇二甲基醚、二乙二醇甲基乙基醚、二乙二醇二乙基醚、三乙二醇二甲基醚、三乙二醇乙基甲基醚、三乙二醇二乙基醚、四乙二醇二甲基醚、四乙二醇二乙基醚、聚乙二醇二甲基醚、乙二醇一甲基醚乙酸酯、乙二醇一乙基醚乙酸酯、二乙二醇一甲基醚乙酸酯及二乙二醇一乙基醚乙酸酯中的至少一种;(3)酮类为丙酮;(4)酸酐类为酸酐中的至少一种。
其中,在含有抗蚀剂、防反射膜和埋入材料的腐蚀残渣中含有较多的有机物时,更优选容易溶解有机成分的溶剂。例如,醇类中与甲醇相比更优选乙醇,而且最优选异丙醇。
中性溶剂、质子供给性溶剂、供体数为24以下的极性非质子性溶剂中优选羧酸类、醇类、酯类和醚类。其中一元羧酸类特别优选乙酸,醇类特别优选:异丙醇(IPA)、1-丙醇、叔丁醇、烯丙基醇、乙二醇、乙二醇一甲基醚、乙二醇一乙基醚、乙二醇一丙基醚、乙二醇一异丙基醚、乙二醇一丁基醚、乙二醇一异丁基醚、二乙二醇一甲基醚、二乙二醇一乙基醚、二乙二醇一丙基醚、二乙二醇一异丙基醚、二乙二醇一丁基醚、二乙二醇一异丁基醚、三乙二醇一甲基醚、三乙二醇一乙基醚、三乙二醇一丙基醚、三乙二醇一异丙基醚、三乙二醇一丁基醚、三乙二醇一异丁基醚、聚乙二醇一甲基醚、丙二醇一甲基醚、丙二醇一乙基醚、丙二醇一丙基醚、丙二醇一异丙基醚、丙二醇一异丁基醚、丙二醇一丁基醚、二丙二醇一甲基醚、二丙二醇一乙基醚、二丙二醇一丙基醚、二丙二醇一异丙基醚、三丙二醇一甲基醚及乙二醇一烯丙基醚;酯类特别优选乙酸甲酯、乙酸乙酯、乙酸丁酯、碳酸亚丙基酯、碳酸亚乙酯;醚类特别优选:1,2-二甲氧基乙烷、四氢呋喃、二噁唑、三噁唑、二甘醇二甲醚、乙二醇甲基乙基醚、乙二醇二乙基醚、二乙二醇二甲基醚、二乙二醇甲基乙基醚、二乙二醇二乙基醚、三乙二醇二甲基醚、三乙二醇乙基甲基醚、三乙二醇二乙基醚、四乙二醇二甲基醚、四乙二醇二乙基醚、聚乙二醇二甲基醚、乙二醇一甲基醚乙酸酯、乙二醇一乙基醚乙酸酯、二乙二醇一甲基醚乙酸酯及二乙二醇一乙基醚乙酸酯。
在由HF、有机溶剂及/或有机酸和水构成的剥离液中,选择性地腐蚀绝缘膜阻挡层,使绝缘膜阻挡层或low-k膜和腐蚀残渣的界面剥离,分开,而具有选择性溶解腐蚀残渣中的硅氮化物(SiN)等的效果的有机溶剂是中性溶剂、作为质子供给性溶剂的两性溶剂和作为供体数为24以下的极性非质子性溶剂的非质子性溶剂。中性溶剂、质子供给性溶剂中接受体数大的其效果强,在极性非质子性溶剂中,供体数小的其效果强。另外当HF的浓度提高时,其效果变大。
当关注作为布线材料的铜时,两性溶剂中使用自身质子迁移常数大,供体数大的溶剂,非质子性溶剂中使用供体数小的溶剂的情况下,铜的腐蚀小。两性溶剂中自身质子迁移常数大、供体数大的溶剂是指:例如醇类中的异丙醇(IPA)、1-丙醇、叔丁醇等。非质子性溶剂中所谓的供体数小的溶剂是指:供体数24以下的极性非质子性溶剂,相当于酯类、醚类、酮类和酸酐类等。与此相对,自身质子迁移常数小、供体数小的两性溶剂及供体数24以上的极性亲质子性溶剂容易腐蚀铜。自身质子迁移常数小、供体数小的两性溶剂例如可以举出醇类中的甲醇、乙醇等。供体数24以上的极性亲质子性溶剂例如可以举出二甲基甲酰胺类的酰胺类及二甲基亚砜的含硫化合物等。
另外,铜的腐蚀量还与铜的自然氧化膜的除去速度有关。铜的自然氧化膜的除去速度大时,因为作为保护膜的氧化膜没有,故铜的腐蚀量多。铜的自然氧化膜在制造半导体装置时必须都除去。在利用剥离液除去抗蚀剂、防反射膜、埋入材料和腐蚀残渣的同时,希望除去这些铜的自然氧化膜。更早的铜腐蚀和铜的自然氧化膜的除去之间存在相关性。越容易腐蚀铜的溶剂越容易除去自然氧化膜。因此,通过在难腐蚀的溶剂中添加容易腐蚀铜的溶剂,由此可以在剥离处理时间内除去自然氧化膜。例如当在异丙醇中添加甲醇时,通过其添加量可以控制铜的自然氧化膜的除去速度。可以调整添加量使在剥离处理时间内将铜的自然氧化膜都除去,由此可以在利用剥离液除去抗蚀剂及防反射膜、埋入材料和腐蚀残渣的同时,使这些铜的自然氧化膜也除去。
所述的自身质子迁移是指中性溶剂、质子供给性溶剂等两性溶剂在溶剂间进行质子的授受。也就是,这些溶剂自身质子迁移常数pKsH小。
自身质子迁移;SH+SH<=>SH+S2-(SH;两性溶剂)
自身质子迁移常数;pKSH=[SH2+]*[S2-]/[SH]2
所述的接受体数AN是Mayer-Gutmann提出的接受体性的尺度,也就是溶剂的作为路易斯碱的尺度。在将溶解在正己烷中的(C2F5)3PO的31P-NMR化学位移值设定为0,将1,2-二氯乙烷中的(C3F5)3PO·SbCl5络合物的31P-NMR化学位移值设定为100时,将溶解在某种纯溶剂中的(C2F5)3PO的31P-NMR化学位移值设定为AN。AN=100δ(溶剂)/[δ(1,2-二氯乙烷中的(C2F5)3PO·SbCl5)-δ(溶解在正己烷中的(C2F5)3PO)]。
所述的供体数DN是Gutmann提出的供给性的尺度、也就是溶剂的作为路易斯酸的尺度。将以kcal mol-1为单位表示1,2-二氯乙烷中的SbCl5(10-3moldm-3)和溶剂(10-3moldm-3)发生反应时的焓的数值的绝对值设定为DN。DN=-ΔH(SbCl5)/kcal mol-1
具有尽管没有测定值报告但也以此为基准的接受体数、供体数的有机溶剂有很多。可以某种程度地推测有机溶剂的接受体性、供体性。例如,随着烷基增大,接受体数倾向于减小。AN(HOH)=54.8、AN(CH3OH)=41.3、AZN(C2H5OH)=37.1、AN(C3H7OH)=33.5,随着烷基的增加接受体数依次减小。可以认为这是因为烷基大的电子给予性I效果(Inductive Effect)明显,羟基-OH的H的电子密度增大,电子接受性变弱。尽管没有报告C4H9OH的AN,但是因为AN(CHCl3)=23.1,可以推测AN为24以上。如上所述,通过供体数、接受体数和已知的物质比较,考虑显示供体性、接受体性的电子密度的增减,可以知道该物质的供体性、接受体性的程度,不一定需要文献值等测定值。有机溶剂的接受体性高相当于溶剂的路易斯碱性强。
一般接受体数20以上的溶剂是两性溶剂,两性溶剂分类为中性、质子供给性及亲质子性溶剂。通过质子也就是氢离子频繁地授受,容易进行氢离子参与的腐蚀。
有机溶剂的供体性高相当于溶剂的路易斯酸性强。相反,供体数小是指路易斯酸性弱,和接受体数大的情况相同,可以容易地进行氢离子参与的腐蚀。
如上所述,因为在作为绝缘膜阻挡层使用的氮化硅(SiN)、碳化硅(SiC),碳氮化硅(SiCN)等的含硅(Si)化合物的腐蚀中氢离子的参与强,故与作为low-k膜使用的掺杂有氧化硅(SiO2)、磷(P)、砷(As)、锑(Sb)及硼(B)等的称作BPSG的含有氧化硅(SiO2)、甲基(-CH3)等的有机成分及氢(H)等的低介电常数膜(low-k膜、有时也以SiOC,SiOC:H等组成表示的形式表示)等含硅(Si)化合物相比,SiN、SiC、SiCN等含硅(Si)化合物容易腐蚀。因此,在除去腐蚀残渣时,不用将low-k膜腐蚀到必要值以上,就可以进行low-k膜形成绝缘膜阻挡层的背后这样的台阶少、破坏少的残渣剥离。
而且,在含有以上的有机酸和有机溶剂的至少一种以上时,有时可以在其中添加供体数为25以上的极性亲质子溶剂、酸和含氟有机化合物等。
当添加供体数为25以上的极性亲质子溶剂时,防反射膜及埋入材料的除去能力降低。但是,可以使除去在布线材料铜上形成的氧化膜的速度加大。当残留铜的氧化膜时,可能导致绝缘不良。因此,可以有效地除去防反射膜及埋入材料和铜的氧化膜。供体数为25以上的极性亲质子溶剂例如有:二甲基甲酰胺,二甲基乙酰胺、六甲基磷酰三胺、N-甲基-2-吡咯烷酮、1,1,3,3-四甲基尿素、N-甲基丙酰胺、二甲基咪唑啉二酮等酰胺类及二甲基亚砜、环丁砜、二甲基硫代甲酰胺、N-甲基硫代吡咯烷酮、二甲基砜、二乙基砜、双(2-羟基乙基)砜、四亚甲基砜等含硫化合物类。
在添加酸的情况下,利用氢离子的效果可以对low-k膜及止动膜进一步选择地除去防反射膜及埋入材料。这样的酸例如有:氯化氢、溴化氢、碘化氢及其水溶液、硫酸、硝酸、磷酸、羧酸等。
在混合有含氟有机化合物时,可以提高防反射膜及埋入材料的除去液的渗透性。使其容易浸透防反射膜及埋入材料与low-k膜及止动膜等其它材料的界面,提高除去效果。含氟有机化合物例如有CHF2CF2OCH2CF3、CHF2CF2OCH3等的氢氟醚(HFE)类、CH3CCl2F等的氢氯氟碳(HCFC)等。
本发明的剥离液及清洗液中优选含有氟化氢或氟化氢和氨及/或胺组合后的物质作为腐蚀物质产生源之一的形态。这里所述的腐蚀物质是将绝缘膜阻挡层和low-k膜腐蚀的活性物质、对用于溶解腐蚀残渣中具有Si-N键的化合物的活性物质等腐蚀有效的活性物质。在腐蚀残渣中含有有机成分时,多使用有机酸及有机溶剂,有效提高残渣的溶解性。氟化氢可以作为气体导入,也可以作为100%氟化氢液体或用水稀释后的氢氟酸添加。氨、胺同样可以添加气体或液体。
但是,在将氟化氢和氨、胺添加在有机溶剂多的组成的溶液中时,不优选预先将氟化氢和氨、胺混合而生成盐。因为难以溶解在有机溶剂中。通常预先在有机溶剂中添加氟化氢或氨、胺中的任何一种,充分混合之后添加其余的物质。利用上述的方法可以防止在溶液中生成盐产生结晶,不成为完全作为离子分离状态的盐的电解溶液,可以作为溶剂化的离子对使其溶解。该离子对的一方形成腐蚀物质。
本发明的剥离液及清洗液的氟化氢含量也考虑绝缘膜阻挡层和low-k膜的腐蚀量及含有Si-N键的腐蚀残渣时的腐蚀量,通过腐蚀残渣的除去效果确定。氟化氢的供给源通常使用稀氟酸(50重量%水溶液),在剥离液中不含有水的情况下,可以使用100%氟化氢。根据剥离液及清洗液总量(以下各成分的含量也同样设定),氟化氢的含量为0重量%~10重量%的范围。
只含有质子供给性溶剂时的氟化氢的含量为约0.05~约5重量%,优选约0.1~约3重量%、更优选约0.5~约3重量%。
含有质子供给性溶剂和供体数为24以下的极性非质子性溶剂及/或中性溶剂的氟化氢的含量为约0.05~约10重量%,优选约0.1~约5重量%、更优选约0.5~约5重量%。
在含有中性溶剂及/或极性非质子性溶剂的情况下,氟化氢的含量为约0.1~约10重量%,优选约0.5~约7重量%、更优选约1~约5重量%。
剥离液及清洗液含有水的情况下水的含量为约90质量%以下、优选约10质量%以下、更优选约5质量%以下。
由中性溶剂、质子供给性溶剂、供体数为24以下的极性非质子性溶剂组成的组中的至少一种的含量为约25~约99.99重量%,优选约50~约99.99重量%、更优选约85~约99.99重量%、更优选约95~约99.99质量%。
本发明优选的剥离液及清洗液及其配比的一个例子如下所示。
HF∶质子供给性溶剂∶水=0.05~5质量%∶89.95~99.95质量%∶0~10质量%,
HF∶供体数为24以下的极性非质子性性溶剂∶水=0.5~5质量%∶89.5~99.5质量%∶0~10质量%,
HF∶中性溶剂∶水=0.5~5质量%∶89.5~99.5质量%∶0~10质量%,
本发明的更优选的剥离液及清洗液以及其配合比的一个实例如下所示。
HF∶乙酸∶水=0.05~5质量%∶85~99.95质量%∶0~10质量%,
HF∶异丙醇∶水=0.5~5质量%∶85~99.5质量%∶0~10质量%,
HF∶乙酸∶IPA∶水=0.1~5质量%∶1~98.9质量%∶1~98.9质量%∶0~10质量%,
HF∶甲醇∶异丙醇∶水=0.1~5质量%∶1~80质量%∶1~98.9质量%∶0~10质量%,
HF∶1,2-二甲氧基乙烷∶水=0.5~5质量%∶85~99.5质量%∶0~10质量%,
HF∶乙酸甲酯、乙酸乙酯、乙酸丙酯中的至少一种∶水=0.5~5质量%∶85~99.5质量%∶0~10质量%,
HF∶1,4-二噁烷∶水=0.5~5质量%∶85~99.5质量%∶0~10质量%,
HF∶碳酸亚丙基酯∶水=0.5~5质量%∶85~99.5质量%∶0~10质量%,
HF∶1,4-二噁烷与乙酸和乙酸酐中的至少一种∶水=0.1~5质量%∶85~99.9质量%∶0~10质量%,
HF∶乙二醇一甲基醚∶水=0.5~5质量%∶85~99.5质量%∶0~10质量%,
HF∶甲磺酸∶水=0.001~5质量%∶85~99.999质量%∶0~10质量%,
本发明的进一步优选的剥离液及清洗液以及其配合比的一个实例如下所示。
HF∶乙酸∶水=0.1~5质量%∶85~99.88质量%∶0.02~10质量%,
HF∶IPA∶水=1~4质量%∶88~98.5质量%∶0.5~8质量%,
HF∶乙酸∶IPA∶水=0.1~5质量%∶1~98.85质量%∶1~98.85质量%∶0.05~10质量%,
HF∶甲醇∶异丙醇∶水=0.1~5质量%∶1~80质量%∶1~98.85质量%∶0.05~10质量%,
HF∶1,2-二甲氧基乙烷∶水=0.5~5质量%∶85~99.3质量%∶0.2~10质量%,
HF∶乙酸甲酯、乙酸乙酯、乙酸丙酯中的至少一种∶水=0.5~5质量%∶85~99.3质量%∶0.2~10质量%,
HF∶1,4-二噁烷∶水=0.5~5质量%∶85~99.3质量%∶0.2~10质量%,
HF∶碳酸亚丙基酯∶水=0.5~5质量%∶85~99.3质量%∶0.2~10质量%,
HF∶1,4-二噁烷与乙酸和乙酸酐中的至少一种∶水=0.1~5质量%∶85~99.9质量%∶0~10质量%,
HF∶乙二醇一甲基醚∶水=0.5~5质量%∶85~99.3质量%∶0.2~10质量%,
HF∶甲磺酸∶水=0.001~5质量%∶85~99.998质量%∶0.001~10质量%
而且,其中有时也可以添加氨和/或胺、供体数为25以上的极性亲质子溶剂、酸、含氟有机化合物等。HF(氟化氢)∶氨及/或胺∶有机酸及有机溶剂中的至少一种∶水∶酸∶供体数为25以上的极性亲质子性溶剂∶含氟有机化合物的重量比优选:0.05~5质量%∶0.05~10质量%∶50~99.83质量%∶0.02~10质量%∶0.05~50质量%∶0~70质量%。
而且,使干腐蚀及其后使用了氧气、氢气、氮气、惰性气体等的等离子体进行的灰化(利用等离子体处理除去抗蚀剂及聚合物)受损的low-k膜和防反射膜以及埋入材料,可以同时或分别除去腐蚀残渣。另外,根据药液组成不除去受损的low-k膜,使其残留,可以除去防反射膜和埋入材料。
在铜和铜的合金等布线材料中当剥离液中的溶存氧量、氢离子量等多时,发生腐蚀。溶存氧量对于控制铜的腐蚀特别重要。当可以减少剥离液中的溶存氧量时,可以大幅度地抑制铜的腐蚀。如上所述,在布线材料的铜等金属共存时,混合惰性气体,在氧气分压为空气的氧气分压以下的气氛(实质上是惰性气体中)中,使惰性气体溶解到剥离液中,将剥离液中的氧气分压设定为饱和溶解后的空气的氧气分压以下的剥离液,通过用该剥离液除去抗蚀膜、防反射膜及含有其的腐蚀残渣,可以抑制其腐蚀。这种情况下,进一步溶解惰性气体,将水中的氧气分压设定为饱和溶解后的空气的氧气分压以下,使用这样的水除去除去液,进行冲洗,可以抑制冲洗阶段的腐蚀,且效果很好。惰性气体例如有氮气(N2)、氦、氖、氩等惰性气体。而且,也可以添加亚硫酸、亚硫酸铵等亚硫酸盐类脱氧剂及连苯三酚、邻苯二甲酸、苯并三唑、D-山梨糖醇等一般使用的防腐剂。由此可以有效地抑制铜的腐蚀。
本发明提供实质上不损坏low-k膜,可以剥离、除去抗蚀剂的抗蚀剂剥离液。另外,可以提供清洗通孔、电容器等中残存的钛化合物清洗液,可以除去钛化合物等的通孔、电容器等的清洗液。
具体实施方式
下面利用实施例对本发明进行更详细地说明,本发明不限于下述的实施例。
下述组合物的腐蚀量是使用各组合物,在23℃腐蚀各膜,计算腐蚀处理前的膜厚和腐蚀处理后的膜厚的差得到。
另外,下面SiN膜的腐蚀速率是利用ナノメトリクスジヤパン株式会社制ナノスペツク3000AF-T,测定腐蚀前后的膜厚得到的。另外,金属[TiN膜]的腐蚀速率使用共和理研社电阻率测定器K-705RS测定腐蚀前后的电阻率,从电阻率求得膜厚。
另外,抗蚀剂的剥离性、剖面形状利用日立制作所公司的扫描型电子显微镜(S-5000)的照相摄影进行观察。
试验例1:抗蚀剂剥离性
对于形成了low-k膜(多孔的MSQ)、SIN膜、防反射膜(BARC)、抗蚀剂(KrF)膜的Si基片,进行腐蚀处理,得到SiN膜的表面上具有由抗蚀剂(含有表面的抗蚀剂通过腐蚀处理变质的物质)的被处理物。
在下述表1~4所示的剥离液中在23℃边搅拌规特定时间边浸渍。使用的有机酸及有机溶剂的SP值如以下所示。
乙酸:10.1
己醇:10.7
月桂醇:9.8
丙二醇:12.6
二乙二醇:12.1
丙三醇:16.5
IPA:11.5
轻的氧气等离子体灰化在比通常更短的时间内进行O2等离子体灰化,实质上不损坏low-k膜。
另外,对下述表中的抗蚀剂剥离性A表示良好、B表示良、C表示不良。
表1
            组成(质量%)  浸渍时间(分)  有无轻的氧气等离子体灰化  抗蚀剂剥离性  SiN膜的腐蚀量(A)
    HF    水    乙酸
    实施例1     0.05    0.05    99.9    30    无    A    39
    实施例2     0.05    0.05    99.9    40    无    A    52
    实施例3     0.1    0.1    99.8    20    无    A    56
    实施例4     0.15    0.15    99.7    15    无    A    51
    实施例5     0.2    0.2    99.6    3    无    A    15
    实施例6     0.2    0.2    99.6    6    无    A    30
    实施例7     0.25    0.25    99.5    5    无    A    35
    实施例8     0.25    0.25    99.5    10    无    A    62
    实施例9     0.4    0.4    99.2    10    无    A    60
    实施例10     0.5    0.5    99.0    10    无    A    75
    实施例11     0.75    0.75    98.5    0.5    无    A    10
    实施例12     0.75    0.75    98.5    1    无    A    20
    实施例13     0.75    0.75    98.5    3    无    A    60
    实施例14     0.05    0.05    99.9    15    有    A    20
    实施例15     0.05    0.05    99.9    25    有    A    53
    实施例16     0.1    0.1    99.8    20    有    A    56
    实施例17     0.15    0.15    99.7    15    有    A    51
    实施例18     0.2    0.2    99.6    10    有    A    47
    实施例19     0.25    0.25    99.5    3    有    A    21
    实施例20     0.25    0.25    99.5    5    有    A    35
    实施例21     0.25    0.25    99.5    10    有    A    62
    实施例22     0.4    0.4    99.2    10    有    A    60
    实施例23     0.5    0.5    99.0    10    有    A    75
    实施例24     0.75    0.75    98.5    0.5    有    A    10
    实施例25     0.75    0.75    98.5    1    有    A    20
    实施例26     0.75    0.75    98.5    3    有    A    60
表2
                组成    浸渍时间(分)  有无轻的氧气等离子体灰化   抗蚀剂剥离性    SiN膜的腐蚀量(A)
实施例27   1质量%HF+1质量%H2O+己醇     10    无     A     251
实施例28   0.4质量%HF+0.4质量%H2O+月桂醇     10    无     A     283
实施例29   1.5质量%HF+1.5质量%H2O+丙二醇     5    无     A     22
实施例29   1.5质量%HF+1.5质量%H2O+丙二醇     10    无     A     48
实施例30   1.5质量%HF+1.5质量%H2O+丙二醇     15    无     A     72
实施例31   2.5质量%HF+2.5质量%H2O+丙二醇     10    无     A     203
实施例32   1.5质量%HF+1.5质量%H2O+乙二醇     10    无     A     180
实施例33   2质量%HF+2质量%H2O+二乙二醇     7.5    无     A     40
实施例34   2质量%HF+2质量%H2O+二乙二醇     10    无     A     87
实施例35   3质量%HF+3质量%H2O+丙三醇     10    无     A     480
实施例36   1.5质量%HF+1.5质量%H2O+IPA     10    无     A     41
实施例37   1.5质量%HF+1.5质量%H2O+IPA     15    无     A     62
实施例38   1质量%HF+1质量%H2O+己醇     10    有     A     251
实施例39   0.4质量%HF+0.4质量%H2O+月桂醇     10    有     A     283
实施例40   2.5质量%HF+2.5质量%H2O+丙二醇     10    有     A     203
实施例41   1.5质量%HF+1.5质量%H2O+乙二醇     10    有     A     180
实施例42   2质量%HF+2质量%H2O+二乙二醇     10    有     A     40
实施例43   3质量%HF+3质量%H2O+丙三醇     10    有     A     480
*表2中,HF和H2O以外的成分的配合量为HF和H2O的余量。
表3
      组成(质量%)  浸渍时间(分)  有无轻的氧气等离子体灰化  抗蚀剂剥离性
 NH4F   水   乙酸
    比较例1   0   0   100    10    无    C
    比较例2   0   0   100    10    有    C
表4
    组成(质量%)  浸渍时间(分)  有无轻的氧气等离子体灰化   抗蚀剂剥离性
    比较例3        IPA    10    无        C
    比较例4        IPA    10    有        C
**表4中,浓度表示相对于组合物总重量(kg)的摩尔数。
从用实施例1~46的剥离液处理过的基片上将抗蚀剂完全剥离。另外,防反射膜也被剥离。而且,附着防反射膜的SiN膜的腐蚀量都为1Å以上。另外,用比较例1~3的液体处理的基片上抗蚀剂没有除去。
试验例2:剖面形状
对于实施例1、5、6、7、11、12、14、15、19、20、24、25、29、30、33、34、36、37及42,用SEM观察沿垂直方向切断基片的剖面图,和用剥离液处理前的剖面图相比,确认了剥离液对low-k膜的影响。
确认实施例1、5、6、7、11、12、14、15、19、20、24、25、29、30、33、34、36、37及42中,在用剥离液处理前后其剖面形状实质上没有变化,low-k膜未受损。
本发明的剥离液对low-k膜不损坏,可以除去抗蚀剂。
比较例4
HF/H2O=15质量%/85质量%(23℃/10分钟、有或无轻氧气等离子体灰化)
比较例4中,抗蚀剂可以剥离,但对Low-k膜造成损坏,连同low-k膜一起剥离。
试验例3:聚合物及钛化合物清洗性
在底部具有TiN膜的带氧化膜的Si晶片上形成抗蚀剂,进行干腐蚀,进行氧气等离子体灰化,得到具有通孔的被处理物。在通孔的侧面和底面上残存聚合物及钛化合物。
将该被处理物在23℃、搅拌规定时间的条件下浸渍在下述清洗液(实施例44)中。
实施例44:HF/H2O/乙酸=0.75质量%/0.75质量%/98.5质量%(10分钟)
当用实施例44的清洗液处理时,可以除去残存在通孔中的聚合物和钛化合物,清洗通孔。另外,TiN膜的腐蚀量为2.4Å。
试验例4:抗蚀剂残渣清洗性试验
在底部具有氧化膜的带TiN(上层)/Ta2O5(下层)的Si晶片上形成抗蚀剂,进行TiN(上层)/Ta2O5(下层)的干腐蚀,进行氧气等离子体灰化,得到具有金属电容器电极的被处理物。在电极的表面上残存抗蚀剂残渣。
将该被处理物在23℃、搅拌规定时间的条件下浸渍在下述清洗液(实施例45和46)中。
实施例45:HF/H2O/乙酸=1.5质量%/1.5质量%/97质量%(10分钟)
实施例46:HF/H2O/乙酸=3质量%/3质量%/96质量%(10分钟)
当用实施例45及46的清洗液处理时,可以除去残存在金属电容器电极表面上的抗蚀剂,清洗电容器。另外,TiN膜的腐蚀量在实施例45中为6.2Å、实施例46中为12Å。
电容器的电极表面的抗蚀剂残渣可以剥离,并且如果残存在通孔中的聚合物和钛化合物可以除去则预测可以清洗电容器的电极表面的聚合物及钛化合物。
实施例47~50
对形成有low-k膜[CVD系]及抗蚀剂膜[KrF]的Si基片进行腐蚀处理,得到在low-k膜的表面上具有抗蚀剂(含有抗蚀剂通过腐蚀处理改性的物质)及在形成的孔中具有聚合物的被处理物。另外不进行抗蚀剂的灰化。
配制实施例47~50的组成的剥离液,浸渍被处理物,边照射超声波[950kHz、600W]边利用表5所示的时间清洗。超声波清洗机使用株式会社カイジヨ一制的高频超声波清洗机ハイ·メガソニツク(发振器:型号6848、振动子:7857S型)。
从用实施例47~50的剥离液处理的基片上完全地剥离抗蚀剂。另外聚合物也被除去。
以下述表6所示的有机溶剂为溶剂,配制含有氟酸及胺的剥离液,进行超声波清洗,可以剥离抗蚀剂及聚合物。
表5及表6记载的组合物剥离通孔内的聚合物这一点可以通过上述试验例进行确认,故可以预测这些化合物能够清洗通孔中残存的钛化合物。另外,可以预测这些组合物可以清洗电容器的电极表面的抗蚀剂残渣、聚合物及钛化合物。
表5
               溶剂    HF        胺  超声波照射时间  抗蚀剂剥离性
  多元醇   实施例47   乙二醇    1mol/kg     一乙醇胺0.5mol/kg    10分    A
  酰胺   实施例48   N,N-二甲基甲酰胺    0.02mol/kg     一乙醇胺0.01mol/kg    20分    A
  实施例49   N,N-二甲基甲酰胺    0.02mol/kg     乙胺0.01mol/kg    20分    A
  实施例50   N-甲基甲酰胺    0.5mol/kg     一乙醇胺0.25mol/kg    10分    A
表6
    溶剂
    酯     实施例51     乙酸丁酯
    实施例52     邻苯二甲酸二甲酯
    实施例53     碳酸亚丙基酯
    酮     实施例54     甲基异丁基酮
    实施例55     环己酮
    醇     实施例56     1-十二烷醇
    实施例57     1-己醇
    实施例58     1-丁醇
    实施例59     异丙醇
    实施例60     1-丙醇
    多元醇     实施例61     丙二醇
    含氮化合物     实施例62     N-甲基-2-吡咯烷酮
    实施例63     四甲基尿素
    磷酸酯     实施例64     磷酸三甲酯
    实施例65     磷酸三乙酯
    烷撑二醇一烷基醚     实施例66     2-乙氧基乙醇
试验例5:抗蚀剂剥离性
对于形成有多孔low-k膜(多孔的MSQ)、SiC膜、含有硅的防反射膜(BARC)、抗蚀剂(KrF)膜的Si基片,进行通路腐蚀处理,得到在SiC膜的表面上具有抗蚀剂(含有表面的抗蚀剂通过腐蚀处理变质的物质)和防反射膜、通孔内存在腐蚀残渣的铜布线形成前的镶嵌结构的被处理物。将该被处理物在23℃搅拌规定时间的条件下浸渍在以下所示的表7的剥离液中。
试验例67~83
在实施例67~83中,制作上述的被处理物之后,不进行用于除去抗蚀剂、防反射膜及腐蚀残渣的氧气灰化等的等离子体处理。使用表7所示的实施例67~83所示的剥离液时,在处理时间内SiC腐蚀量为1Å以上、SiN腐蚀量为12Å以上,防反射膜及腐蚀残渣中的都被完全从用这些剥离液处理过的基片上除去。腐蚀SiN的效果也就是,能够溶解含有SiN等的硅氮化物的腐蚀残渣的溶剂有:这里所示的中性溶剂(甲醇、乙醇、异丙醇)、质子供给性溶剂(三氟乙酸、乙酸、蚁酸)等两性溶剂、供体数为24以下的极性非质子性溶剂(1,2-二甲氧基乙烷、四氢呋喃、乙酸甲酯、乙酸乙酯、1,4-二噁烷、碳酸亚丙基酯、丙酮)等非质子性溶剂,使用这些溶剂时显示良好的除去抗蚀剂、防反射膜及腐蚀残渣的效果。在这些实施例中,当提高HF浓度时,抗蚀剂、防反射膜及腐蚀残渣的除去效果也提高。另外,通过将SiC腐蚀1Å以上,使抗蚀剂、防反射膜及腐蚀残渣的各界面分离,增减除去效果。
使用实施例71中显示的HF浓度以上的HF和乙醇的组合时,产生铜的腐蚀。其它的表7所示的实施例中几乎没有铜的腐蚀,实质上没有问题。
一方面,用表8中所示的比较例5~9的液体处理的基片不能除去抗蚀剂、防反射膜及腐蚀残渣。只将SiC腐蚀1Å以上,则除去抗蚀剂、防反射膜及腐蚀残渣的效果差,同时将SiN和SiC腐蚀在用于将其除去时是必要的。在比较例5~9的液体中铜容易腐蚀,在使用实施例所示的单独的溶剂时,不适合作剥离剂。
在实施例67~83和比较例5~9中,在两性溶剂中使用自身质子迁移常数大、供体数大的溶剂,在非质子性溶剂中使用供体数小的溶剂时,证实铜的腐蚀小。在两性溶剂中所述的自身质子迁移常数大、供体数大的溶剂是例如醇类中的异丙醇(IPA)、1-丙醇、叔丁醇等。非质子性溶剂中供体数小的溶剂是指供体数24以下的极性非质子性溶剂,酯类、醚类、酮类及酸酐类等与此相当。相反,自身质子迁移常数小、供体数小的两性溶剂及供体数为24以上的极性亲质子性溶剂容易腐蚀铜。自身质子迁移常数小、供体数小的两性溶剂例如醇类中的甲醇、乙醇等。供体数为25以上的极性质子性溶剂例如有二甲基甲酰胺等酰胺类及二甲基亚砜类等含硫的化合物等。
在比较例5~9中,当HF的浓度大时,剥离性多少有些改善的效果差。另外在铜布线露出的部分由于加速铜的腐蚀,难以使用。但是比较例5~9所示的有机溶剂显示除去铜的自然氧化膜的效果。因为铜的自然氧化膜提高布线的电阻,并且可能引起接触不良,故优选除去。实施例67~83中混合比较例5~9所示的有机溶剂,在铜的腐蚀少的处理时间,可以用于在除去抗蚀剂、防反射膜及腐蚀残渣时的同时,只除去铜的氧化膜。表9所示的比较例11、比较例12是在形成Al/SiO2多层布线构造时,使用作为聚合物剥离液的代表组成的药液进行处理的实例。这种情况下,铜的腐蚀不多,但不能将抗蚀剂、防反射膜及腐蚀残渣都除去。当处理时间长时,尽管它们的除去性多少有改善,但是铜有腐蚀,以及low-k的腐蚀量增多,按尺寸的加工变难。
根据氧等离子体、氢等离子体及水等离子体等的等离子体进行的灰化处理的结果,实施例、比较例具有几乎相同的效果。
表7
实施例                                 组成        有机溶剂的物性   处理时间        腐蚀量   腐蚀量的比   腐蚀        剥离性   形状
  HF                 有机酸及有机溶剂   水   接受体数   供体数   自身质子迁移常数   SiC   SiN    SiN/Low-k膜   抗蚀剂   BARC   残渣
  质量%     种类   质量%   种类   质量%   质量%     分   Å   Å   铜
67   0.4     三氟乙酸   98.2   -   -   0.4   105.3   -   -     1.0   6.4   31.0      1.3   A   A   A   A   A
68   1.0     蚁酸   96.1   -   -   1.0   83.6   19.0   6.2     0.5   2.6   33.1      1.1   A   A   A   A   A
69   0.7     乙酸   98.5   -   -   0.8   52.9   2.0   14.5     0.7   3.2   11.5      0.6   A   A   A   A   A
70   0.2     乙酸   99.6   -   -   0.2   52.9   2.0   14.5     3.0   2.0   14.1      0.4   A   A   A   A   A
71   1.0     乙醇   97.6   -   -   1.0   37.9   32.0   18.9     7.5   1.0   30.0      0.3   B   A   A   A   A
72   0.8     异丙醇   98.5   -   -   0.8   33.6   36.0   20.8     7.5   1.8   27.9      0.4   A   A   A   A   A
73   0.8     丙酮   97.5   -   -   0.8   12.5   17.0   >32.5     10.0   1.0   24.4      0.3   A   A   A   A   A
74   0.5     1,4-二噁烷   98.0   -   -   0.5   10.8   14.8   -     5.0   4.0   22.4      0.8   A   A   A   A   A
75   0.6     乙酸甲酯   98.3   -   -   0.6   10.7   16.5   -     5.0   1.0   22.6      0.4   A   A   A   A   A
76   0.5     乙酸乙酯   98.5   -   -   0.5   9.3   17.1   22.8     10.0   2.2   55.2      1.1   A   A   A   A   A
77   0.5     1,2-二甲氧基乙烷   98.0   -   -   0.5   10.2   23.9   -     15.0   2.4   30.6      1.0   A   A   A   A   A
78   2.3     1,2-二甲氧基乙烷   94.5   -   -   2.3   10.2   23.9   -     5.0   1.6   53.2      0.9   A   A   A   A   A
79   0.6     四氢呋喃   97.8   -   -   0.6   8.0   20.0   -     10.0   2.6   32.0      0.4   A   A   A   A   A
80   2.0     1,4-二噁烷   83.6   无水乙酸   11.4   2.0   11.0   14.8   -     5.0   1.6   33.2      1.0   A   A   A   A   A
81   2.0     1,4-二噁烷   83.6   乙酸   22.8   0.0   11.0   14.8   -     5.0   1.6   33.2      1.0   A   A   A   A   A
82   0.6     1,2-二甲氧基乙烷   58.9   乙酸   39.3   0.6   32.0   23.9   -     10.0   7.0   41.2      0.3   A   A   A   A   A
83   0.6     三乙二醇二甲基醚   58.9   -   -   0.6   -   -   -     5.0   4.3   60.0      1.9   A   A   A   A   A
A:良好、B良、C不良、-:未评价
表8
比较例                 组成          有机溶剂的物性  处理时间      腐蚀量    腐蚀量的比  腐蚀          剥离性  形状
  HF      有机溶剂   水   接受体数  供体数  自身质子迁移常数   SiC   SiN   SiN/Low-k膜  抗蚀剂  BARC  残渣
  质量%   种类   质量%   质量%   分   Å   Å   铜
5   0.58   DMSO   97.9   0.58     19.3   29.9    33.3   5   0.6   3        0.1   C    C    C   C   -
6   0.62   DMF   98.3   0.62     16.0   26.6    29.4   5   1.8   5        0.1   C    B    B   C   -
7   0.53   DMA   98.0   0.53     13.6   27.8    23.9   5   1.2   0        0.0   C    B    B   C   -
8   0.46   NMP   98.1   0.46     13.3   27.3    25.6   5   0.0   0        0.0   C    C    C   C   -
9   0.40   DMI   99.2   0.40     -   -    -   5   1.4   4        0.1   C    B    B   C   -
10   0.50   -   -   99.50     -   -    -   5   2.0   650        0.1   C    C    C   C   C
DMSO(二甲基亚砜)、DMF(二甲基甲酰胺)、DMA(二甲基乙酰胺)、NMP(N-甲基吡咯烷酮)、DMI(二甲基咪唑啉酮)    A:良好、B良、C不良、-:未评价
表9
比较例                组成            有机溶剂的物性  处理时间    腐蚀量    腐蚀量的比  腐蚀        剥离性  形状
    NH4F     有机溶剂    水   接受体数   供体数     自身质子迁移常数    分  SiC   SiN    SiN/Low-k膜  铜  抗蚀剂  BARC  残渣
    质量%  种类   质量%    质量%  Å   Å
11     0.6  DMF   70.6    29   19.3   29.9     33.3    5  0   5    0.1  B  C  C  C  -
12     0.6  DMSO   69    30.4   16.0   26.6     29.4    5  0   4    0.1  B  C  C  C  -
DMSO(二甲基亚砜)、DMF(二甲基甲酰胺)    A:良好、B良、C不良、-:未评价
表10所示的比较例13~43中,使用以往专利申请中记载的实施例的组合物作为剥离液和清洗液,表示了对本发明中使用的被处理物进行处理的结果。这些比较例显示的剥离液及清洗液用于制作Al/SiO2的多层布线构造而开发的占大半。
比较例13~16是用特开平1-146331号公报的实施例所示的组合物处理本发明的被处理物的结果。是氟化氢和异丙醇及水构成的清洗液,但是这些氟化氢浓度为0.5重量%以下,不能选择性地腐蚀绝缘膜阻挡层及硅氮化膜(SiN),故抗蚀剂、防反射膜及腐蚀残渣的除去性差。另外,当水分多时,则作为有机组合物的抗蚀剂及防反射膜(BARC)不能除去,腐蚀残渣的除去性降低,铜的腐蚀也严重。
比较例17~19也是用特开平1-146331号公报的实施例所示的组合物处理本发明的被处理物的结果。在用乙酸作为有机溶剂的情况下,当水分量多时和水分更多的情况下的实例。相对氟化氢浓度因为水分量多,故不能除去抗蚀剂、防反射膜(BARC)及腐蚀残渣。另外,随着水分量的增多,SiC的腐蚀量减少,SiN及loW-k膜的腐蚀量多,难以按照设计尺寸进行加工。
比较例20、21是用特开平8-202052号公报的实施例所示的组合物处理本发明的被处理物的结果。比较例20因为SiC的腐蚀量少,故不能除去抗蚀剂、防反射膜(BARC);比较例21因为对low-k膜选择性地腐蚀硅氮化物(SiN)的效果差,故不能除去腐蚀残渣。因为SiN相对low-k膜的腐蚀的选择比小,故当延长处理时间以除去抗蚀剂、防反射膜(BARC)及腐蚀残渣时,low-k膜的腐蚀量增多,难以按照尺寸加工。在特开平8-202052号公报中,作为有机溶剂,选择亚砜类、酰胺类、多元醇类等有效。亚砜类、酰胺类对铜的腐蚀大,特别是实施例中二甲基亚砜使铜剧烈地腐蚀。尽管添加了防腐剂,但这表明不是以布线材料铜为对象。相反,本发明是选择不需要添加防腐剂的有机溶剂。也就是,特愿平8-202052号不适合用作形成Cu/low-k多层布线构造中使用的剥离液。
比较例22是用特开平10-50647号公报的实施例所示的组合物处理本发明的被处理物的结果。该组成中SiC和SiN的腐蚀都少,抗蚀剂、防反射膜(BARC)及腐蚀残渣都不能完全除去。因为SiN对low-k膜的腐蚀选择比小,故当延长处理时间以除去抗蚀剂、防反射膜(BARC)及腐蚀残渣时,low-k膜的腐蚀量增多,难以按照尺寸加工。
比较例23~25是用USP6150282号的实施例、比较例26是用USP6150282号的实施例所示的组合物处理本发明的被处理物的结果。
比较例23~25在使用碳酸亚丙基酯作为溶剂时,铜的腐蚀小,腐蚀残渣的剥离性差。但是BARC、抗蚀剂的剥离性差,不能完全地除去这些。另外,加工形状也不好。
比较例26的特征在于,实质上不含有水分,对金属的腐蚀少。当没有水分时,不能对low-k膜选择性地除去硅氮化物(SiN),故特别是腐蚀残渣的剥离性变差。这种情况下也不能除去抗蚀剂及防反射膜(BARC)。
比较例26~35是用特开平11-340183号公报的实施例所示的组合物处理本发明的被处理物的结果。SiC和SiN的腐蚀速度慢,不能除去抗蚀剂、防反射膜(BARC)及腐蚀残渣。当增大HF浓度时,因为使用甲醇作为溶剂,故铜的腐蚀严重。因此,不适合用作形成Cu/low-k多层布线构造使用的剥离液。
比较例36~41是用特开平11-340183号公报的实施例所示的组合物,将有机溶剂由甲醇改变为异丙醇(IPA),处理本发明的被处理物的结果。即使是使用异丙醇的情况,在HF浓度低时,或者HF浓度提高水分含量少时,也不能除去抗蚀剂、防反射膜(BARC)及腐蚀残渣。
比较例42、比较例43是使用乙酸作为有机溶剂,HF浓度低和浓度高的情况。HF的浓度低时,抗蚀剂、防反射膜(BARC)及腐蚀残渣都不能除去。在浓度高时,抗蚀剂、防反射膜(BARC)及腐蚀残渣也都不能除去,但作为绝缘膜阻挡层的SiC和LOW-K膜的界面剥离,low-k膜的侧面腐蚀进行,不能得到符合设计尺寸的加工形状。
表10
 比较例                              组成    处理时间       腐蚀量   腐蚀量的比   腐蚀        剥离性  形状
   HF       有机溶剂     水         防腐剂    SiC   SiN   SiN/Low-k膜A  抗蚀剂  BARC  残渣
  质量%    种类   质量%    质量%   种类  质量%    分    Å   Å   铜
  13   0.3   异丙醇   16.4    83.3   -   -    5.0    0.2   31.0      0.5   C   C   C  C  C
  14   0.3   34.3    65.3   -   -    5.0    0.3   30.0      0.5   C   C   C  C  C
  15   0.3   53.9    45.7   -   -    5.0    0.3   28.0      0.5   C   C   C  C  C
  16   0.3   75.5    24.2   -   -    5.0    0.4   25.0      0.4   C   C   C  B  C
  17   0.3   乙酸   10.7    89.0   -   -    2.0    0.4   50.0      0.5   A   C   C  C  -
  18   0.3   31.5    68.2   -   -    2.0    0.6   35.0      0.5   A   C   C  C  -
  19   0.3   61.5    38.2   -   -    2.0    0.7   25.0      0.6   A   C   C  B  -
  20   2.0   二乙二醇一丁基醚   86.0    2.0   邻苯二酚   10.0    1.0    0.5   10.0      0.1   A   C   C  C  C
  21   5.0   二甲亚砜   80.0    5.0   邻苯二酚水杨酸   5:5    1.0    2.0   1.0      0.1   A   C   C  C  C
  22   0.4   异丙醇   95.6    4.0   -   -    5.0    0.5   9.0      0.2   A   C   C  A  C
  23   0.4   碳酸亚丙基酯   99.2    0.4   -   -    5.0    2.6   27.0      0.6   A   C   B  A  C
  24   0.8   98.4    0.8   -   -    5.0    3.0   40.0      0.6   A   C   B  A  C
  25   1.1   97.7    1.1   -   -    5.0    3.2   58.0      0.6   A   C   B  A  C
  26   1.0   99.1    0.0   -   -    5.0    0.7   8.0      0.2   A   C   C  C  C
  27   0.1   甲醇   99.9    0.0   -   -    5.0    0.4   2.5      0.1   B   C   C  C  -
  28   0.1   98.9    1.0   -   -    5.0    0.4   3.5      0.3   B   C   C  C  -
  29   0.1   94.9    5.0   -   -    5.0    0.4   4.0      0.3   B   C   C  C  -
  30   1.0   99.0    0.0   -   -    5.0    2.0   11.6      0.1   C   C   C  C  -
  31   1.0   98.0    1.0   -   -    5.0    2.0   17.3      3.6   C   C   C  B  C
  32   1.0   94.0    5.0   -   -    5.0    2.0   23.1      3.7   C   C   C  B  C
  33   5.0   95.0    0.0   -   -    5.0    2.3   8.3      0.2   C   C   C  C  C
  34   5.0   94.0    1.0   -   -    5.0    2.2   8.6      0.3   C   C   C  A  C
  35   5.0   90.0    5.0   -   -    5.0    2.2   62.9      4.0   C   C   C  A  C
  36   0.1   IPA   99.9    0.0   -   -    5.0    0.4   0.8      0.1   A   C   C  C  -
  37   0.1   98.9    1.0   -   -    5.0    0.4   1.2      0.2   A   C   C  C  -
  38   0.1   94.9    5.0   -   -    5.0    0.4   1.3      0.3   A   C   C  C  -
  39   1.0   99.0    0.0   -   -    5.0    2.2   2.9      0.2   A   C   C  C  -
  40   5.0   95.0    0.0   -   -    5.0    2.0   3.9      0.3   A   C   C  C  -
  41   5.0   94.0    1.0   -   -    5.0    0.8   21.0      0.5   A   C   C  C  -
  42   0.003   乙酸   99.994    0.003   -   -    2.0    0.0   0.2      0.1   A   C   C  C  -
  43   8.0   84.0    8.0   -   -    2.0    4.2   780.0      0.2   A   C   A  A  C
A:良好、B良、C不良、-:未评价
试验例6:剖面形状
对实施例67~83和比较例5~43用SEM观察沿垂直方向切断基片的剖面图,和用剥离液处理前的剖面图相比,确认剥离液对low-k膜的影响。结果表明实施例67~83中,其剖面形状在用剥离液处理前后实质上没有变化,low-k膜未受损。
本发明的剥离液可知对low-k膜不损坏,可以除去抗蚀剂、防反射膜及含有上述物质的腐蚀残渣。
比较例10
HF/H2O=0.5质量%/99.5质量%
在比较例10中,抗蚀剂、防反射膜可以剥离,但对low-k膜造成损坏,和low-k膜同时剥离。
比较例5~9、11、12因为不能除去抗蚀剂、防反射膜及含有所述物质的腐蚀残渣,故不能评价形状。当延长处理时间至将其除去时,low-k膜的腐蚀量增大,不能按照设计尺寸进行加工,加工形状显著差。
在比较例13~43中,因为不能除去抗蚀剂、防反射膜及含有所述物质的腐蚀残渣,故只有不能评价形状的情况、或者,即使除去抗蚀剂、防反射膜及含有所述物质的腐蚀残渣中的任何一个,加工形状也不好的情况。

Claims (73)

1.一种low-k膜用的抗蚀剂剥离液,其包含选自有机酸及有机溶剂中的至少一种,及氟化氢(HF)。
2.如权利要求1所述的抗蚀剂剥离液,其还含有选自氨和胺中的至少一种。
3.如权利要求1所述的剥离液,其用于超声波清洗。
4.如权利要求1所述的剥离液,其中low-k膜的介电常数为大于1且为3以下。
5.如权利要求1所述的剥离液,其可以将SiN膜腐蚀1Å以上。
6.如权利要求1所述的剥离液,其中,有机酸或有机溶剂的SP值为7~17。
7.如权利要求1所述的剥离液,其中,HF的浓度为0.01~10质量%。
8.如权利要求1所述的剥离液,其中,选自有机酸和有机溶剂中的至少一种是有机酸、或有机酸与有机溶剂的混合物,HF的浓度为0.01~5质量%。
9.如权利要求1所述的剥离液,其中,选自有机酸和有机溶剂中的至少一种是有机溶剂,HF的浓度为0.01~10质量%。
10.如权利要求1所述的剥离液,其中,还含有水,HF∶有机酸∶水的重量比为0.01~5质量%∶49~99.9质量%∶0~50质量%,有机酸为选自一元羧酸、磺酸及多元羧酸中的至少一种。
11.如权利要求10所述的剥离液,其中,一元羧酸是选自乙酸、丙酸、丁酸、异丁酸、戊酸、己酸、辛酸、一氯乙酸、二氯乙酸、三氯乙酸、一氟乙酸、二氟乙酸、三氟乙酸、α-氯丁酸、β-氯丁酸、γ-氯丁酸、乳酸、乙醇酸、丙酮酸、乙醛酸、甲基丙烯酸及丙烯酸中的至少一种;
磺酸是选自甲磺酸、苯磺酸、三氟甲磺酸及甲苯磺酸中的至少一种;
多元羧酸是选自草酸、琥珀酸、己二酸、酒石酸及柠檬酸中的至少一种。
12.如权利要求1所述的剥离液,其中,还含有水,HF∶有机溶剂∶水的重量比为0.01~10质量%∶49~99.9质量%∶0~50质量%,有机溶剂是选自一元醇类、多元醇类、酮类、酰胺类、腈类、醛类、烷撑二醇单烷基醚、醚类、酯类、烃类、卤素化合物类、含氟醇、磷酸酯类及含氮化合物类中的至少一种。
13.如权利要求2所述的剥离液,其中,HF∶选自氨和胺中的至少一种∶有机溶剂∶水的重量比为0.01~10质量%∶0.01~30质量%∶49~99.9质量%∶0~50质量%,有机溶剂是选自一元醇类、多元醇类、酮类、酰胺类、腈类、醛类、烷撑二醇单烷基醚、醚类、酯类、烃类、卤素化合物类、含氟醇、磷酸酯类及含氮化合物类中的至少一种。
14.如权利要求12所述的剥离液,其中,
一元醇类是选自甲醇、乙醇、异丙醇(IPA)、1-丙醇、1-丁醇、2-丁醇、叔丁醇、2-甲基-1-丙醇、1-戊醇、1-己醇、1-庚醇、4-庚醇、1-辛醇、1-壬醇、1-癸醇、1-十二烷醇、月桂醇和环己醇中的至少一种(但是,甲醇、乙醇与其它有机溶剂或有机酸组合使用);
多元醇类是选自乙二醇、二乙二醇、1,2-丙二醇、丙二醇、2,3-丁二醇及丙三醇中的至少一种;
酮类是选自丙酮、乙酰丙酮、甲基乙基酮、甲基异丁基酮、环己酮、二乙基酮及二异丁基酮中的至少一种;
酰胺类是选自N-甲基甲酰胺、N,N-二甲基甲酰胺、N-甲基乙酰胺及N,N-二甲基乙酰胺中的至少一种;
腈类是选自乙腈、丙腈、丁腈、异丁腈及苄腈中的至少一种;
醛类是选自甲醛、乙醛及丙醛中的至少一种;
烷撑二醇单烷基醚是选自乙二醇一甲基醚及乙二醇一乙基醚中的至少一种;
醚类是选自四氢呋喃、二噁烷、二异丙基醚、二丁基醚、四氢吡喃、苯甲醚、1,2-二甲氧基乙烷及二乙二醇二甲基醚中的至少一种;
酯类是选自乙酸甲酯、乙酸乙酯、乙酸丙酯、乙酸异丙酯、乙酸丁酯、乙酸异丁酯、乙酸戊酯、乙酸己酯、丙酸甲酯、丙酸乙酯、丙酸丙酯、丙酸异丙酯、丙酸丁酯、丙酸异丁酯、丙酸戊酯、丙酸己酯、丁酸甲酯、丁酸乙酯、丁酸丙酯、丁酸异丙酯、丁酸丁酯、丁酸异丁酯、丁酸戊酯、丁酸己酯、异丁酸甲酯、异丁酸乙酯、异丁酸丙酯、异丁酸异丙酯、异丁酸丁酯、异丁酸异丁酯、异丁酸戊酯、异丁酸己酯、戊酸甲酯、戊酸乙酯、戊酸丙酯、戊酸异丙酯、戊酸丁酯、戊酸异丁酯、戊酸戊酯、戊酸己酯、异戊酸甲酯、异戊酸乙酯、异戊酸丙酯、异戊酸异丙酯、异戊酸丁酯、异戊酸异丁酯、异戊酸戊酯、异戊酸己酯、己酸甲酯、己酸乙酯、己酸丙酯、己酸异丙酯、己酸丁酯、己酸异丁酯、己酸戊酯、己酸己酯、辛酸甲酯、辛酸乙酯、辛酸丙酯、辛酸异丙酯、辛酸丁酯、辛酸异丁酯、辛酸戊酯、辛酸己酯、辛酸甲酯、辛酸乙酯、辛酸丙酯、辛酸异丙酯、辛酸丁酯、辛酸异丁酯、辛酸戊酯、辛酸己酯、壬酸甲酯、壬酸乙酯、壬酸丙酯、壬酸异丙酯、壬酸丁酯、壬酸异丁酯、壬酸戊酯、壬酸己酯、癸酸甲酯、癸酸乙酯、癸酸丙酯、癸酸异丙酯、癸酸丁酯、癸酸异丁酯、癸酸戊酯、癸酸己酯、十二烷酸甲酯、十二烷酸乙酯、十二烷酸丙酯、十二烷酸异丙酯、十二烷酸丁酯、十二烷酸异丁酯、十二烷酸戊酯、十二烷酸己酯、月桂酸甲酯、月桂酸乙酯、月桂酸丙酯、月桂酸异丙酯、月桂酸丁酯、月桂酸异丁酯、月桂酸戊酯、月桂酸己酯、丙烯酸甲酯、丙烯酸乙酯、丙烯酸丙酯、丙烯酸异丙酯、丙烯酸丁酯、丙烯酸异丁酯、丙烯酸戊酯、丙烯酸己酯、草酸一甲酯、草酸二甲酯、草酸一乙酯、草酸二乙酯、草酸一丙酯、草酸二丙酯、草酸一丁酯、草酸二丁酯、琥珀酸一甲酯、琥珀酸二甲酯、琥珀酸一乙酯、琥珀酸二乙酯、琥珀酸一丙酯、琥珀酸二丙酯、琥珀酸一丁酯、琥珀酸二丁酯、己二酸一甲酯、己二酸二甲酯、己二酸一乙酯、己二酸二乙酯、己二酸一丙酯、己二酸二丙酯、己二酸一丁酯、己二酸二丁酯、酒石酸一甲酯、酒石酸二甲酯、酒石酸一乙酯、酒石酸二乙酯、酒石酸一丙酯、酒石酸二丙酯、酒石酸一丁酯、酒石酸二丁酯、柠檬酸一甲酯、柠檬酸二甲酯、柠檬酸一乙酯、柠檬酸二乙酯、柠檬酸一丙酯、柠檬酸二丙酯、柠檬酸一丁酯、柠檬酸二丁酯、邻苯二甲酸二甲酯、邻苯二甲酸二乙酯、邻苯二甲酸二丙酯、邻苯二甲酸二丁酯、邻苯二甲酸二戊酯、邻苯二甲酸二己酯、邻苯二甲酸二庚酯、邻苯二甲酸二辛酯、邻苯二甲酸二壬酯、邻苯二甲酸二癸酯、邻苯二甲酸二(十二烷基)酯、对苯二甲酸二甲酯、对苯二甲酸二乙酯、对苯二甲酸二丙酯、对苯二甲酸二丁酯、对苯二甲酸二戊酯、对苯二甲酸二己酯、对苯二甲酸二庚酯、对苯二甲酸二辛酯、对苯二甲酸二壬酯、对苯二甲酸二癸酯、对苯二甲酸二(十二烷基)酯、碳酸亚丙基酯及γ-丁内酯中的至少一种;
烃类是选自己烷、环己烷、辛烷、异辛烷、苯和甲苯中的至少一种;
卤素化合物类是选自氯仿、邻-二氯苯、全氟己烷及全氟甲基环己烷中的至少一种;
含氟醇类是选自三氟乙醇、五氟丙醇及2,2,3,3-四氟丙醇中的至少一种;
磷酸酯类是选自磷酸二甲酯、磷酸二丁酯、磷酸二苯基酯、磷酸二苄基酯、磷酸三甲酯、磷酸三乙酯、磷酸三丙酯、磷酸三丁酯及磷酸三苯基酯中的至少一种;
含氮化合物类是选自四甲基尿素及N-甲基-2-吡咯烷酮中的至少一种。
15.一种抗蚀剂剥离方法,其特征在于,使用权利要求1所述的剥离液,在剥离抗蚀剂但是实质上不破坏low-k膜的温度及时间下,通过low-k膜的表面上或low-k膜上的防反射膜对具有抗蚀剂的被处理物进行处理。
16.如权利要求15所述的方法,其特征在于,在用剥离液处理之前,将抗蚀剂灰化处理至实质上不破坏low-k膜的程度。
17.如权利要求15所述的方法,其中,实质上不破坏low-k膜是指实质上不腐蚀low-k膜和/或处理前后的low-k膜的介电常数率实质上没有变化。
18.如权利要求15所述的方法,其特征在于,在进行超声波清洗的同时进行被处理物的处理。
19.一种抗蚀剂剥离处理物,其可以通过权利要求15所述的方法得到。
20.一种通孔或电容器清洗液,其中,含有选自有机酸和有机溶剂中的至少一种,及氟化氢(HF)。
21.如权利要求20所述的清洗液,其中,还含有选自氨和胺中的至少一种。
22.如权利要求20所述的清洗液,其用于超声波清洗。
23.如权利要求20所述的清洗液,其可以将TiN膜腐蚀0.01Å以上。
24.如权利要求20所述的清洗液,其中,还含有水,HF∶有机酸∶水的重量比为0.01~5质量%∶49~99.9质量%∶0~50质量%,有机酸为选自一元羧酸、磺酸及多元羧酸中的至少一种。
25.如权利要求24所述的清洗液,其中,一元羧酸是选自乙酸、丙酸、丁酸、异丁酸、戊酸、己酸、辛酸、一氯乙酸、二氯乙酸、三氯乙酸、一氟乙酸、二氟乙酸、三氟乙酸、α-氯丁酸、β-氯丁酸、γ-氯丁酸、乳酸、乙醇酸、丙酮酸、乙醛酸、甲基丙烯酸及丙烯酸中的至少一种;
磺酸是选自甲磺酸、苯磺酸、三氟甲磺酸及甲苯磺酸中的至少一种;
多元羧酸是选自草酸、琥珀酸、己二酸、酒石酸及柠檬酸中的至少一种。
26.如权利要求20所述的清洗液,其中,还含有水,HF∶有机溶剂∶水的重量比为0.01~10质量%∶49~99.9质量%∶0~50质量%,有机溶剂是选自一元醇类、多元醇类、酮类、酰胺类、腈类、醛类、烷撑二醇单烷基醚、醚类、酯类、烃类、卤素化合物类、含氟醇、磷酸酯类及含氮化合物类中的至少一种。
27.如权利要求21所述的清洗液,其中,HF∶选自氨和胺中的至少一种∶有机溶剂∶水的重量比为0.01~10质量%∶0.01~30质量%∶49~99.9质量%∶0~50质量%,有机溶剂是选自一元醇类、多元醇类、酮类、酰胺类、腈类、醛类、烷撑二醇单烷基醚、醚类、酯类、烃类、卤素化合物类、含氟醇、磷酸酯类及含氮化合物类中的至少一种。
28.如权利要求26所述的清洗液,其中,
一元醇类是选自甲醇、乙醇、异丙醇(IPA)、1-丙醇、1-丁醇、2-丁醇、叔丁醇、2-甲基-1-丙醇、1-戊醇、1-己醇、1-庚醇、4-庚醇、1-辛醇、1-壬醇、1-癸醇、1-十二烷醇、月桂醇和环己醇中的至少一种;
多元醇类是选自乙二醇、二乙二醇、1,2-丙二醇、丙二醇、2,3-丁二醇及丙三醇中的至少一种;
酮类是选自丙酮、乙酰丙酮、甲基乙基酮、甲基异丁基酮、环己酮、二乙基酮及二异丁基酮中的至少一种;
酰胺类是选自N-甲基甲酰胺、N,N-二甲基甲酰胺、N-甲基乙酰胺及N,N-二甲基乙酰胺中的至少一种;
腈类是选自乙腈、丙腈、丁腈、异丁腈及苄腈中的至少一种;
醛类是选自甲醛、乙醛及丙醛中的至少一种;
烷撑二醇单烷基醚是选自乙二醇一甲基醚及乙二醇一乙基醚中的至少一种;
醚类是选自四氢呋喃、二噁烷、二异丙基醚、二丁基醚、四氢吡喃、苯甲醚、1,2-二甲氧基乙烷及二乙二醇二甲基醚中的至少一种;
酯类是选自乙酸甲酯、乙酸乙酯、乙酸丙酯、乙酸异丙酯、乙酸丁酯、乙酸异丁酯、乙酸戊酯、乙酸己酯、丙酸甲酯、丙酸乙酯、丙酸丙酯、丙酸异丙酯、丙酸丁酯、丙酸异丁酯、丙酸戊酯、丙酸己酯、丁酸甲酯、丁酸乙酯、丁酸丙酯、丁酸异丙酯、丁酸丁酯、丁酸异丁酯、丁酸戊酯、丁酸己酯、异丁酸甲酯、异丁酸乙酯、异丁酸丙酯、异丁酸异丙酯、异丁酸丁酯、异丁酸异丁酯、异丁酸戊酯、异丁酸己酯、戊酸甲酯、戊酸乙酯、戊酸丙酯、戊酸异丙酯、戊酸丁酯、戊酸异丁酯、戊酸戊酯、戊酸己酯、异戊酸甲酯、异戊酸乙酯、异戊酸丙酯、异戊酸异丙酯、异戊酸丁酯、异戊酸异丁酯、异戊酸戊酯、异戊酸己酯、己酸甲酯、己酸乙酯、己酸丙酯、己酸异丙酯、己酸丁酯、己酸异丁酯、己酸戊酯、己酸己酯、辛酸甲酯、辛酸乙酯、辛酸丙酯、辛酸异丙酯、辛酸丁酯、辛酸异丁酯、辛酸戊酯、辛酸己酯、辛酸甲酯、辛酸乙酯、辛酸丙酯、辛酸异丙酯、辛酸丁酯、辛酸异丁酯、辛酸戊酯、辛酸己酯、壬酸甲酯、壬酸乙酯、壬酸丙酯、壬酸异丙酯、壬酸丁酯、壬酸异丁酯、壬酸戊酯、壬酸己酯、癸酸甲酯、癸酸乙酯、癸酸丙酯、癸酸异丙酯、癸酸丁酯、癸酸异丁酯、癸酸戊酯、癸酸己酯、十二烷酸甲酯、十二烷酸乙酯、十二烷酸丙酯、十二烷酸异丙酯、十二烷酸丁酯、十二烷酸异丁酯、十二烷酸戊酯、十二烷酸己酯、月桂酸甲酯、月桂酸乙酯、月桂酸丙酯、月桂酸异丙酯、月桂酸丁酯、月桂酸异丁酯、月桂酸戊酯、月桂酸己酯、丙烯酸甲酯、丙烯酸乙酯、丙烯酸丙酯、丙烯酸异丙酯、丙烯酸丁酯、丙烯酸异丁酯、丙烯酸戊酯、丙烯酸己酯、草酸一甲酯、草酸二甲酯、草酸一乙酯、草酸二乙酯、草酸一丙酯、草酸二丙酯、草酸一丁酯、草酸二丁酯、琥珀酸一甲酯、琥珀酸二甲酯、琥珀酸一乙酯、琥珀酸二乙酯、琥珀酸一丙酯、琥珀酸二丙酯、琥珀酸一丁酯、琥珀酸二丁酯、己二酸一甲酯、己二酸二甲酯、己二酸一乙酯、己二酸二乙酯、己二酸一丙酯、己二酸二丙酯、己二酸一丁酯、己二酸二丁酯、酒石酸一甲酯、酒石酸二甲酯、酒石酸一乙酯、酒石酸二乙酯、酒石酸一丙酯、酒石酸二丙酯、酒石酸一丁酯、酒石酸二丁酯、柠檬酸一甲酯、柠檬酸二甲酯、柠檬酸一乙酯、柠檬酸二乙酯、柠檬酸一丙酯、柠檬酸二丙酯、柠檬酸一丁酯、柠檬酸二丁酯、邻苯二甲酸二甲酯、邻苯二甲酸二乙酯、邻苯二甲酸二丙酯、邻苯二甲酸二丁酯、邻苯二甲酸二戊酯、邻苯二甲酸二己酯、邻苯二甲酸二庚酯、邻苯二甲酸二辛酯、邻苯二甲酸二壬酯、邻苯二甲酸二癸酯、邻苯二甲酸二(十二烷基)酯、对苯二甲酸二甲酯、对苯二甲酸二乙酯、对苯二甲酸二丙酯、对苯二甲酸二丁酯、对苯二甲酸二戊酯、对苯二甲酸二己酯、对苯二甲酸二庚酯、对苯二甲酸二辛酯、对苯二甲酸二壬酯、对苯二甲酸二癸酯、对苯二甲酸二(十二烷基)酯、碳酸亚丙基酯及γ-丁内酯中的至少一种;
烃类是选自己烷、环己烷、辛烷、异辛烷、苯和甲苯中的至少一种;
卤素化合物类是选自氯仿、邻-二氯苯、全氟己烷及全氟甲基环己烷中的至少一种;
含氟醇类是选自三氟乙醇、五氟丙醇及2,2,3,3-四氟丙醇中的至少一种;
磷酸酯类是选自磷酸二甲酯、磷酸二丁酯、磷酸二苯基酯、磷酸二苄基酯、磷酸三甲酯、磷酸三乙酯、磷酸三丙酯、磷酸三丁酯及磷酸三苯基酯中的至少一种;
含氮化合物类是选自四甲基尿素及N-甲基-2-吡咯烷酮中的至少一种。
29.一种通孔清洗方法,其特征在于,使用权利要求20中所述的清洗液清洗具有通孔的被处理物,所述的通孔是选自钛化合物及聚合物中的至少一种附着在选自侧壁及底部中的至少一种上的通孔。
30.如权利要求29所述的方法,其特征在于,在进行超声波清洗的同时进行被处理物的处理。
31.一种清洗处理物,其可以通过权利要求29所述的方法得到。
32.一种电容器清洗方法,其特征在于,使用权利要求20中所述的清洗液清洗被处理物,
所述的被处理物是具有金属电容器的上部或下部电极,并且在选自该电极的侧壁、底面及表面的至少一种上附着了选自抗蚀剂残渣、聚合物及钛化合物中的至少一种的被处理物。
33.如权利要求32所述的方法,其特征在于,在进行超声波清洗的同时进行被处理物的处理。
34.一种清洗处理物,其可以通过权利要求32的方法得到。
35.如权利要求1所述的抗蚀剂剥离液,在利用剥离液在0.1分~120分的处理时间中(1)绝缘膜阻挡层的腐蚀量为1Å以上200Å以下,(2)low-k膜的腐蚀量为1Å以上200Å以下,(3)Cu的腐蚀速度为10Å/min以下,在上述条件下除去形成Cu/low-k多层互连结构中的镶嵌及双重镶嵌结构时的干腐蚀后的抗蚀剂、防反射膜及含有上述物质的腐蚀残渣等。
36.如权利要求35所述的剥离液,其绝缘膜阻挡层和low-k膜是含有SiN、SiC、SiCN、SiOC、SiO2等的含Si化合物。
37.如权利要求35所述的剥离液,不管有无利用等离子体的灰化处理,其除去使用含氮的腐蚀气体进行腐蚀时产生的残渣。
38.如权利要求35所述的剥离液,其除去使用含氮的气体进行灰化后的残渣。
39.如权利要求35所述的剥离液,其中,使惰性气体溶解、并使剥离液中的氧分压为饱和溶解的空气的氧分压以下。
40.如权利要求35所述的剥离液,其含有(i)HF;及(ii)质子供给性溶剂;和(iii)选自由中性溶剂、供体数为24以下的极性亲质子性溶剂和极性疏质子性溶剂构成的组中的至少一种有机化合物;并且,(i)HF(氟化氢)∶(ii)质子供给性溶剂∶(iii)选自由中性溶剂、供体数为24以下的极性亲质子性溶剂和极性疏质子性溶剂构成的组中的至少一种有机化合物的重量比为(i)0.05~5质量%∶(ii)1~98.95质量%∶(iii)1~98.95质量%。
41.如权利要求35所述的剥离液,其中,在含有(i)HF;及(ii)质子供给性溶剂;和(iii)选自由中性溶剂、供体数为24以下的极性亲质子性溶剂和极性疏质子性溶剂构成的组中的至少一种有机化合物的剥离液中还含有(iv)水;并且,(i)HF(氟化氢)∶(ii)质子供给性溶剂∶(iii)选自由中性溶剂、供体数为24以下的极性亲质子性溶剂和极性疏质子性溶剂构成的组中的至少一种有机化合物:(iv)水的重量比为(i)0.05~5质量%∶(ii)1~98.93质量%∶(iii)1~98.93质量%;(iv)0.02~90质量%。
42.如权利要求35所述的剥离液,其中,在含有(i)HF;及(ii)质子供给性溶剂;和(iii)选自由中性溶剂、供体数为24以下的极性亲质子性溶剂和极性疏质子性溶剂构成的组中的至少一种有机化合物;和(iv)水的剥离液中,还含有(v)酸、(vi)供体数为25以上的极性亲质子性溶剂、(vii)含氟有机化合物中的至少一种;并且,(i)HF∶(ii)质子供给性溶剂∶(iii)选自由中性溶剂、供体数为24以下的极性亲质子性溶剂和极性疏质子性溶剂构成的组中的至少一种有机化合物:(iv)水∶(v)酸∶(vi)供体数为25以上的极性亲质子性溶剂∶(vii)含氟有机化合物的重量比为(i)0.05~5质量%∶(ii)1~98.83质量%∶(iii)1~98.83质量%;(iv)0.02~90质量%∶(v)0~10质量%∶(vi)0~50质量%∶(vii)0~70质量%;但是,酸、供体数为25以上的极性亲质子性溶剂和含氟有机化合物的总量为0.1~74.93质量%。
43.如权利要求35所述的剥离液,其中,在含有(i)HF;及(ii)质子供给性溶剂;和(iii)选自由中性溶剂、供体数为24以下的极性亲质子性溶剂和极性疏质子性溶剂构成的组中的至少一种有机化合物;和(iv)水;和(v)酸、(vi)供体数为25以上的极性亲质子性溶剂及(vii)含氟有机化合物中的至少一种的剥离液中,还含有氨和/或胺;并且,(i)HF∶(ii)质子供给性溶剂∶(iii)选自由中性溶剂、供体数为24以下的极性亲质子性溶剂和极性疏质子性溶剂构成的组中的至少一种有机化合物:(iv)水∶(v)酸∶(vi)供体数为25以上的极性亲质子性溶剂∶(vii)含氟有机化合物:(viii)氨和/或胺的重量比为(i)0.05~5质量%∶(ii)1~98.73质量%∶(iii)1~98.73质量%;(iv)0.02~90质量%∶(v)0~10质量%∶(vi)0~50质量%∶(vii)0~70质量%∶(viii)0.05~10质量%;但是,酸、供体数为25以上的极性亲质子性溶剂和含氟有机化合物的总量为0.1~74.83质量%。
44.如权利要求35所述的剥离液,其中,含有(i)HF;及(ii)选自由中性溶剂、质子供给性溶剂、供体数为24以下的极性亲质子性溶剂和极性疏质子性溶剂构成的组中的至少一种有机化合物;和(iii)水;并且,(i)HF(氟化氢)∶(ii)选自由中性溶剂、质子供给性溶剂、供体数为24以下的极性亲质子性溶剂和极性疏质子性溶剂构成的组中的至少一种有机化合物:(iii)水的重量比为:0.05~5质量%∶85~99.93质量%∶0.02~10质量%。
45.如权利要求35所述的剥离液,其中,在含有(i)HF;及(ii)选自由中性溶剂、质子供给性溶剂、供体数为24以下的极性亲质子性溶剂及极性疏质子性溶剂构成的组中的至少一种有机化合物;和(iii)水的剥离液中,还含有酸、供体数为25以上的极性亲质子性溶剂和含氟有机化合物中的至少一种;并且,(i)HF∶(ii)选自由中性溶剂、质子供给性溶剂、供体数为24以下的极性亲质子性溶剂及极性疏质子性溶剂构成的组中的至少一种有机化合物:(iii)水∶(iv)酸∶(v)供体数为25以上的极性亲质子性溶剂∶(vi)含氟有机化合物的重量比为(i)0.05~5质量%∶(ii)25~99.83质量%∶(iii)0.02~10质量%;(iv)0~10质量%∶(v)0~50质量%∶(vi)0~70质量%;但是,酸、供体数为25以上的极性亲质子性溶剂和含氟有机化合物的总量为0.1~74.93质量%。
46.如权利要求35所述的剥离液,其中,在含有(i)HF;及(ii)选自由中性溶剂、质子供给性溶剂、供体数为24以下的极性亲质子性溶剂及极性疏质子性溶剂构成的组中的至少一种有机化合物;和(iii)水;和(iv)酸;(v)供体数为25以上的极性亲质子性溶剂和(vi)含氟有机化合物中的至少一种的剥离液中,还含有(vii)氨和/或胺;并且,(i)HF∶(ii)选自由中性溶剂、质子供给性溶剂、供体数为24以下的极性亲质子性溶剂及极性疏质子性溶剂构成的组中的至少一种有机化合物:(iii)水∶(iv)酸∶(v)供体数为25以上的极性亲质子性溶剂:(vi)含氟有机化合物:(vii)氨和/或胺的重量比为(i)0.05~5质量%∶(ii)25~99.78质量%∶(iii)0.02~10质量%;(iv)0~10质量%∶(v)0~10质量%∶(vi)0~70质量%∶(vii)0.05~10质量%;但是,酸、供体数为25以上的极性亲质子性溶剂和含氟有机化合物中的至少一种的总量为0.1~74.88质量%。
47.如权利要求40所述的剥离液,其中,中性溶剂为醇类,质子供给性溶剂为一元羧酸类、多元羧酸类及磺酸类,供体数为24以下的极性非质子性溶剂为酯类和醚类,供体数为25以上的极性亲质子性溶剂为酯类、醚类、酮类和酸酐类。
48.如权利要求47所述的剥离液,其中,
(I)中性溶剂的醇类为甲醇、乙醇、丙醇、异丙醇、叔丁醇、烯丙醇及乙二醇;
(II)质子供给性溶剂的一元羧酸是甲酸、乙酸、丙酸、丁酸、异丁酸、一氯乙酸、二氯乙酸、三氯乙酸、一氟乙酸、二氟乙酸、三氟乙酸、α-氯丁酸、β-氯丁酸、γ-氯丁酸、乳酸、乙醇酸、丙酮酸、乙醛酸、甲基丙烯酸及丙烯酸;多元羧酸是草酸、琥珀酸、己二酸及柠檬酸;磺酸类是甲磺酸、苯磺酸、甲苯磺酸及三氟甲磺酸;
(III)供体数为24以下的极性亲质子性溶剂的酯类是磷酸三丁酯、磷酸三甲酯;醚类是1,2-二甲氧基乙烷、四氢呋喃、二乙基醚、乙二醇一甲基醚、乙二醇一乙基醚、二甲氧基甲烷、二甲氧基丙烷、二乙氧基甲烷和1,1-二甲氧基乙烷,
(IV)极性疏质子性溶剂的酯类为乙酸甲酯、乙酸乙酯、乙酸丁酯、碳酸亚丙基酯、碳酸亚乙酯、亚硫酸亚乙酯及内酯;醚类是二噁烷、三噁烷及二甘醇二甲醚;酮类为丙酮;酸酐类为乙酸酐。
49.如权利要求42、43、45或46所述的剥离液,其中,酸为选自氯化氢、溴化氢、碘化氢及它们的水溶液、硫酸、硝酸、磷酸、羧酸中的至少一种。
50.如权利要求42、43、45或46所述的剥离液,其中,含氟有机化合物为CHF2CF2OCH2CF3、CHF2CF2OCH4等含氟醚类、CH3CCl2F、CClF2CF2CHClF等氢氯氟碳化合物(HCFC)类。
51.如权利要求42、43、45或46所述的剥离液,其中,供体数为25以上的极性亲质子性溶剂是选自二甲基甲酰胺、二甲基乙酰胺、六甲基磷酰三胺、N-甲基-2-吡咯烷酮、1,1,3,3-四甲基尿素、N-甲基丙酰胺、二甲基咪唑烷酮等酰胺类、二甲基亚砜、环丁砜、二甲基硫代甲酰胺、N-甲基硫代吡咯烷酮等的硫化合物中的至少一种。
52.如权利要求40~46任何一项所述的剥离液,其中,含有作为质子供给性溶剂的羧酸类、和作为中性溶剂的醇类、作为供体数为24以下的极性非质子性溶剂的酯类和醚类中的至少一种。
53.如权利要求52所述的剥离液,其中,羧酸为乙酸。
54.如权利要求47所述的剥离液,其含有作为中性溶剂的醇类和作为供体数为24以下的极性非质子性溶剂的酯类和醚类中的至少一种。
55.如权利要求47所述的剥离液,其中醇为选自丙醇、异丙醇、叔丁醇、烯丙醇及乙二醇中的至少一种。
56.如权利要求40~46中任何一项所述的剥离液,其中,含有作为供体数为24以下的极性非质子性溶剂的酯类和醚类中的至少一种。
57.如权利要求40~46中任何一项所述的剥离液,其中,酯类为乙酸甲酯、乙酸乙酯、乙酸丁酯、碳酸亚丙基酯和碳酸亚乙酯,醚类为1,2-二甲氧基乙烷、四氢呋喃、二噁烷、三噁烷、二甘醇二甲醚、乙二醇一甲基醚、乙二醇二乙基醚、二乙二醇甲基乙基醚、四乙二醇二甲基醚、聚乙二醇二甲基醚、乙二醇一烯丙基醚、二乙二醇一丁基醚、乙二醇丁基醚、三乙二醇一丁基醚、二乙二醇二乙基醚、二乙二醇二甲基醚、三乙二醇二甲基醚、二乙二醇一异丁基醚、乙二醇一异丁基醚、乙二醇一异丙基醚、二乙二醇一甲基醚、二丙二醇一甲基醚、丙二醇一甲基醚、三丙二醇一甲基醚、乙二醇一乙基醚、聚乙二醇一甲基醚、三乙二醇一甲基醚、丙二醇一丙基醚及乙二醇甲基乙基醚中的一种。
58.如权利要求44所述的剥离液,其含有HF、乙酸、水,并且HF∶乙酸∶水的重量比为0.05~5质量%∶85~99.93质量%∶0.02~10质量%。
59.如权利要求44所述的剥离液,其含有HF、异丙醇、水,并且HF∶异丙醇∶水的重量比为1~7质量%∶88~98.5质量%∶0.5~5质量%。
60.如权利要求44所述的剥离液,其含有HF、乙酸、异丙醇、水,并且HF∶乙酸∶异丙醇∶水的重量比为0.05~6质量%∶1~98.93质量%∶1~98.85质量%∶0.02~12质量%。
61.如权利要求44所述的剥离液,其含有HF、1,2-二甲氧基乙烷、水,并且HF∶1,2-二甲氧基乙烷∶水的重量比为0.50~5质量%∶85.00~99.3质量%∶0.20~10质量%。
62.如权利要求44所述的剥离液,其含有HF、乙酸甲酯、乙酸乙酯、乙酸丁酯中的至少一种、水,并且HF∶乙酸甲酯、乙酸乙酯、乙酸丁酯中的至少一种∶水的重量比为0.50~5质量%∶85.00~99.30质量%∶0.20~10质量%。
63.如权利要求44所述的剥离液,其含有HF、1,4-二噁烷、水,并且HF∶1,4-二噁烷∶水的重量比为0.50~5质量%∶85.00~99.3质量%∶0.20~10质量%。
64.如权利要求44所述的剥离液,其含有HF、1,4-二噁烷和乙酸酐及乙酸中的至少一种、水,并且HF∶1,4-二噁烷和乙酸酐及乙酸中的至少一种∶水的重量比为0.50~6质量%∶82.00~99.30质量%∶0.20~12质量%。
65.如权利要求44所述的剥离液,其含有HF、乙二醇一甲基醚、乙二醇二乙基醚、二乙二醇甲基乙基醚、四乙二醇二甲基醚、聚乙二醇二甲基醚、乙二醇一烯丙基醚、二乙二醇一丁基醚、乙二醇丁基醚、三乙二醇一丁基醚、二乙二醇二乙基醚、二乙二醇二甲基醚、三乙二醇二甲基醚、二乙二醇一异丁基醚、乙二醇一异丁基醚、乙二醇一异丙基醚、二乙二醇一甲基醚、二丙二醇一甲基醚、丙二醇一甲基醚、三丙二醇一甲基醚、乙二醇一乙基醚、聚乙二醇一甲基醚、三乙二醇一甲基醚、丙二醇一丙基醚及乙二醇甲基乙基醚中的至少一种、和水;并且,HF∶乙二醇一甲基醚、乙二醇二乙基醚、二乙二醇甲基乙基醚、四乙二醇二甲基醚、聚乙二醇二甲基醚、乙二醇一烯丙基醚、二乙二醇一丁基醚、乙二醇丁基醚、三乙二醇一丁基醚、二乙二醇二乙基醚、二乙二醇二甲基醚、三乙二醇二甲基醚、二乙二醇一异丁基醚、乙二醇一异丁基醚、乙二醇一异丙基醚、二乙二醇一甲基醚、二丙二醇一甲基醚、丙二醇一甲基醚、三丙二醇一甲基醚、乙二醇一乙基醚、聚乙二醇一甲基醚、三乙二醇一甲基醚、丙二醇一丙基醚及乙二醇甲基乙基醚中的至少一种∶水的重量比为0.50~5质量%∶85.00~99.30质量%∶0.20~10质量%。
66.如权利要求35所述的剥离液,其含有HF、甲磺酸、水,并且HF∶甲磺酸∶水的重量比为大于0质量%且5质量%以下∶45质量%以上且小于100质量%∶大于0质量%且50质量%以下。
67.一种剥离方法,其特征在于,使用权利要求1或权利要求35所述的剥离液,使通过等离子体工艺受到破坏的low-k膜留下,除去腐蚀残渣。
68.如权利要求15或67所述的方法,其中,在混合惰性气体、并且氧分压为空气的氧分压以下的气氛中(实质上在惰性气体中)进行剥离处理。
69.一种冲洗处理方法,其对于实施了权利要求15或67所述的剥离处理方法的剥离处理物,在混合惰性气体、并且氧分压为空气的氧分压以下的气氛中(实质上在惰性气体中),使用使惰性气体溶解、并使水中的氧分压为饱和溶解的空气的氧分压以下的水,除去剥离液。
70.一种剥离处理物,其可以通过利用权利要求67或68所述的剥离方法及权利要求69所述的冲洗处理方法进行处理而得到。
71.如权利要求20所述的通孔或电容器清洗液,其将权利要求35所述的剥离液用于通孔或电容器的清洗。
72.如权利要求29的通孔清洗方法或权利要求32所述的电容器清洗方法,其使用权利要求71的通孔或电容器的清洗液进行清洗。
73.一种清洗处理物,其可以通过利用权利要求71的通孔或电容器的清洗液进行清洗处理而得到。
CN038198762A 2002-08-22 2003-08-21 剥离液 Expired - Fee Related CN1678961B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
JP2002242392 2002-08-22
JP242392/2002 2002-08-22
JP299657/2002 2002-10-11
JP2002299657 2002-10-11
PCT/JP2003/010547 WO2004019134A1 (ja) 2002-08-22 2003-08-21 剥離液

Publications (2)

Publication Number Publication Date
CN1678961A true CN1678961A (zh) 2005-10-05
CN1678961B CN1678961B (zh) 2010-05-05

Family

ID=31949564

Family Applications (1)

Application Number Title Priority Date Filing Date
CN038198762A Expired - Fee Related CN1678961B (zh) 2002-08-22 2003-08-21 剥离液

Country Status (8)

Country Link
US (1) US7833957B2 (zh)
EP (1) EP1536291A4 (zh)
JP (1) JP4434950B2 (zh)
KR (1) KR100649418B1 (zh)
CN (1) CN1678961B (zh)
AU (1) AU2003257636A1 (zh)
TW (1) TWI266969B (zh)
WO (1) WO2004019134A1 (zh)

Cited By (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101995778A (zh) * 2009-08-13 2011-03-30 佳能株式会社 液体的处理方法
CN102024562A (zh) * 2009-09-17 2011-04-20 大赛璐化学工业株式会社 用于制造叠层陶瓷部件的溶剂组合物
CN102217042A (zh) * 2008-10-02 2011-10-12 高级技术材料公司 表面活性剂/消泡剂混合物用于增强硅基板的金属负载及表面钝化的应用
CN102449746A (zh) * 2009-05-25 2012-05-09 Az电子材料(日本)株式会社 蚀刻液及用其形成沟槽隔离结构的方法
CN102468223A (zh) * 2010-11-16 2012-05-23 中芯国际集成电路制造(上海)有限公司 接触孔的形成方法
CN102484057A (zh) * 2009-09-02 2012-05-30 和光纯药工业株式会社 半导体表面用处理剂组合物和使用该组合物的半导体表面的处理方法
CN101256366B (zh) * 2007-02-20 2012-06-06 三星电子株式会社 光刻胶剥离组合物和使用其剥离光刻胶膜的方法
CN102569202A (zh) * 2010-12-16 2012-07-11 中芯国际集成电路制造(北京)有限公司 静态随机存储器的制造方法
CN1831654B (zh) * 2005-03-11 2012-07-18 关东化学株式会社 光致抗蚀剂剥离液组合物以及光致抗蚀剂的剥离方法
CN102782113A (zh) * 2010-03-05 2012-11-14 朗姆研究公司 用于镶嵌工艺侧壁聚合物的清洗溶液
CN101960388B (zh) * 2008-03-07 2012-11-28 和光纯药工业株式会社 半导体表面用处理剂组合物和使用该组合物的半导体表面的处理方法
CN102880017A (zh) * 2012-09-28 2013-01-16 京东方科技集团股份有限公司 光刻胶用剥离液组合物及其制备和应用
CN103396695A (zh) * 2013-07-01 2013-11-20 华南理工大学 一种电泳漆去除液及其制备方法与应用
CN103605266A (zh) * 2006-10-24 2014-02-26 关东化学株式会社 光刻胶残渣及聚合物残渣去除液组合物
CN104614954A (zh) * 2015-01-09 2015-05-13 苏州瑞红电子化学品有限公司 一种去除光刻胶的水系剥离液组合物
CN105218364A (zh) * 2015-10-27 2016-01-06 诺泰生物科技(合肥)有限公司 一种脂肪酸异丙酯的合成方法及其在特种油中的应用
CN106298441A (zh) * 2015-05-18 2017-01-04 盛美半导体设备(上海)有限公司 半导体工艺中去除残余物质的方法
CN106519783A (zh) * 2016-11-11 2017-03-22 太原市塑料研究所 一种环保水性脱漆剂及其制备方法
CN107653098A (zh) * 2017-10-30 2018-02-02 苏州林恩色谱科技有限公司 蒸发光检测器用清洗剂
CN108138334A (zh) * 2015-07-14 2018-06-08 富士胶片电子材料美国有限公司 清洁组合物及其使用方法
CN108803262A (zh) * 2018-07-03 2018-11-13 昆山欣谷微电子材料有限公司 一种酸性光刻胶剥离液
CN110047735A (zh) * 2019-04-02 2019-07-23 深圳市华星光电技术有限公司 金属结构湿制程处理方法、tft制备方法、tft及显示装置
CN111279460A (zh) * 2017-11-14 2020-06-12 中央硝子株式会社 干式蚀刻方法
CN112495916A (zh) * 2020-11-04 2021-03-16 上海江丰平芯电子科技有限公司 一种化学机械抛光保持环的清洗方法
CN115820257A (zh) * 2021-09-16 2023-03-21 苏州阿特斯阳光电力科技有限公司 一种单晶硅太阳能电池片单面去除磷硅玻璃的槽液及方法

Families Citing this family (97)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7390755B1 (en) 2002-03-26 2008-06-24 Novellus Systems, Inc. Methods for post etch cleans
JP2005203429A (ja) * 2004-01-13 2005-07-28 Semiconductor Leading Edge Technologies Inc 半導体装置の製造方法
JP2005277375A (ja) * 2004-02-27 2005-10-06 Nec Electronics Corp 半導体装置の製造方法
US8030263B2 (en) 2004-07-01 2011-10-04 Air Products And Chemicals, Inc. Composition for stripping and cleaning and use thereof
US7288484B1 (en) 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US9217929B2 (en) 2004-07-22 2015-12-22 Air Products And Chemicals, Inc. Composition for removing photoresist and/or etching residue from a substrate and use thereof
JP2006072083A (ja) * 2004-09-03 2006-03-16 Mitsubishi Electric Corp レジスト除去用組成物
US7658288B2 (en) * 2004-11-08 2010-02-09 Applied Biosystems, Llc Bisulfite conversion reagent
KR100669866B1 (ko) 2004-12-06 2007-01-16 삼성전자주식회사 포토레지스트 제거용 조성물, 이를 이용한 포토레지스트의 제거 방법 및 반도체 장치의 제조 방법
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US7288488B2 (en) * 2005-05-10 2007-10-30 Lam Research Corporation Method for resist strip in presence of regular low k and/or porous low k dielectric materials
US7713885B2 (en) 2005-05-11 2010-05-11 Micron Technology, Inc. Methods of etching oxide, reducing roughness, and forming capacitor constructions
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
EP1891482B1 (en) * 2005-06-07 2014-04-30 Advanced Technology Materials, Inc. Metal and dielectric compatible sacrificial anti-reflective coating cleaning and removal composition
US20070043290A1 (en) * 2005-08-03 2007-02-22 Goepp Julius G Method and apparatus for the detection of a bone fracture
US7279427B2 (en) * 2005-08-03 2007-10-09 Tokyo Electron, Ltd. Damage-free ashing process and system for post low-k etch
JP4588590B2 (ja) * 2005-09-09 2010-12-01 ダイセル化学工業株式会社 リソグラフィー用洗浄剤又はリンス剤
JP4758187B2 (ja) * 2005-09-26 2011-08-24 関東化学株式会社 フォトレジスト残渣及びポリマー残渣除去液
WO2007045269A1 (en) * 2005-10-21 2007-04-26 Freescale Semiconductor, Inc. Method for cleaning a semiconductor structure and chemistry thereof
US9329486B2 (en) 2005-10-28 2016-05-03 Dynaloy, Llc Dynamic multi-purpose composition for the removal of photoresists and method for its use
US7632796B2 (en) * 2005-10-28 2009-12-15 Dynaloy, Llc Dynamic multi-purpose composition for the removal of photoresists and method for its use
US8263539B2 (en) * 2005-10-28 2012-09-11 Dynaloy, Llc Dynamic multi-purpose composition for the removal of photoresists and methods for its use
US20070243773A1 (en) * 2005-10-28 2007-10-18 Phenis Michael T Dynamic multi-purpose composition for the removal of photoresists and method for its use
KR20080072905A (ko) 2005-11-09 2008-08-07 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 표면에 저유전 물질이 있는 반도체 웨이퍼를 재생하기 위한조성물 및 방법
JP2007142335A (ja) * 2005-11-22 2007-06-07 Dainippon Screen Mfg Co Ltd 高圧処理方法
KR100778851B1 (ko) * 2005-12-28 2007-11-22 동부일렉트로닉스 주식회사 반도체 소자의 mim 커패시터 형성방법
JP4828451B2 (ja) * 2006-03-27 2011-11-30 東京エレクトロン株式会社 基板処理方法、半導体装置の製造方法および基板処理装置
US7585782B2 (en) * 2006-04-11 2009-09-08 Micron Technology, Inc. Methods of forming semiconductor constructions, and methods of selectively removing metal-containing materials relative to oxide
KR100753038B1 (ko) * 2006-06-29 2007-08-30 주식회사 하이닉스반도체 반도체 소자의 컨택 플러그 형성방법
EP1903400A1 (en) * 2006-09-20 2008-03-26 Interuniversitair Microelektronica Centrum A method to remove resist layers from a substrate
US7740768B1 (en) 2006-10-12 2010-06-22 Novellus Systems, Inc. Simultaneous front side ash and backside clean
US20080096785A1 (en) * 2006-10-19 2008-04-24 Air Products And Chemicals, Inc. Stripper Containing an Acetal or Ketal for Removing Post-Etched Photo-Resist, Etch Polymer and Residue
US20100104824A1 (en) * 2006-10-23 2010-04-29 Phenis Michael T Dynamic multi-purpose composition for the removal of photoresists
US7977121B2 (en) * 2006-11-17 2011-07-12 Air Products And Chemicals, Inc. Method and composition for restoring dielectric properties of porous dielectric materials
US8021490B2 (en) * 2007-01-04 2011-09-20 Eastman Chemical Company Substrate cleaning processes through the use of solvents and systems
FR2912151B1 (fr) * 2007-02-05 2009-05-08 Arkema France Formulation de dimethylsulfoxyde en melange avec un additif permettant d'abaisser le point de cristallisation de ce dernier, et applications de ce melange
US8435895B2 (en) 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
KR101403827B1 (ko) * 2007-08-10 2014-06-09 동우 화인켐 주식회사 포토레지스트 잔류물 제거용 박리액 조성물 및 이를 이용한박리 방법
US7851374B2 (en) * 2007-10-31 2010-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. Silicon wafer reclamation process
KR101359919B1 (ko) * 2007-11-01 2014-02-11 주식회사 동진쎄미켐 포토레지스트 박리 조성물, 이를 사용한 포토레지스트 박리방법 및 표시 장치의 제조 방법
TWI591158B (zh) * 2008-03-07 2017-07-11 恩特葛瑞斯股份有限公司 非選擇性氧化物蝕刻濕清潔組合物及使用方法
US20090253268A1 (en) * 2008-04-03 2009-10-08 Honeywell International, Inc. Post-contact opening etchants for post-contact etch cleans and methods for fabricating the same
US20090291562A1 (en) * 2008-05-20 2009-11-26 Lam Research Corporation Helium descumming
US8183156B2 (en) * 2008-06-06 2012-05-22 Infineon Technologies Ag Method of etching a material surface
TWI450052B (zh) * 2008-06-24 2014-08-21 Dynaloy Llc 用於後段製程操作有效之剝離溶液
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
JP4903242B2 (ja) * 2008-10-28 2012-03-28 アバントール パフォーマンス マテリアルズ, インコーポレイテッド 多金属デバイス処理のためのグルコン酸含有フォトレジスト洗浄組成物
KR101022671B1 (ko) * 2008-11-20 2011-03-22 주식회사 하이닉스반도체 반도체 소자의 캐패시터 형성 방법
US8052800B2 (en) * 2008-12-04 2011-11-08 General Electric Company Method for the removal of an insulative coating using an aqueous solution comprising dimethyl formamide
RU2011139105A (ru) 2009-02-25 2013-04-10 Авантор Перформанс Матириалз, Инк. Композиции для удаления фоторезиста для очистки ионно-имплантированного фоторезиста с пластин полупроводниковых устройств
KR101092317B1 (ko) 2009-04-10 2011-12-09 주식회사 하이닉스반도체 반도체 소자의 제조방법
US20120065116A1 (en) * 2009-05-21 2012-03-15 Stella Chemifa Corporation Cleaning liquid and cleaning method
WO2011037008A1 (en) 2009-09-24 2011-03-31 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing oxide semiconductor film and method for manufacturing semiconductor device
WO2011043163A1 (en) 2009-10-05 2011-04-14 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
KR102142450B1 (ko) 2009-10-30 2020-08-10 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치 및 반도체 장치의 제작방법
JP5770740B2 (ja) * 2009-12-11 2015-08-26 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 高ドーズインプラントストリップの前に行われる、シリコンを保護するためのパッシベーションプロセスの改善方法およびそのための装置
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
US8128755B2 (en) * 2010-03-03 2012-03-06 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Cleaning solvent and cleaning method for metallic compound
TWI539493B (zh) 2010-03-08 2016-06-21 黛納羅伊有限責任公司 用於摻雜具有分子單層之矽基材之方法及組合物
JP5519402B2 (ja) * 2010-05-24 2014-06-11 日本電信電話株式会社 微細構造体の製造方法
JP5719698B2 (ja) 2010-06-30 2015-05-20 富士フイルム株式会社 パターン形成方法及び該パターン形成方法に用いられる現像液
JP2012058273A (ja) * 2010-09-03 2012-03-22 Kanto Chem Co Inc フォトレジスト残渣およびポリマー残渣除去液組成物
US20120090648A1 (en) * 2010-10-15 2012-04-19 United Microelectronics Corp. Cleaning method for semiconductor wafer and cleaning device for semiconductor wafer
JP2012252070A (ja) * 2011-06-01 2012-12-20 Panasonic Corp 剥離剤組成物
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
CN103748202A (zh) * 2011-08-29 2014-04-23 罗门哈斯公司 可生物再生溶剂和清洁方法
US8530356B2 (en) 2011-10-07 2013-09-10 Applied Materials, Inc. Method of BARC removal in semiconductor device manufacturing
US20130220159A1 (en) * 2012-02-28 2013-08-29 Sony Corporation Offset printing blanket cleaning liquid, method of cleaning offset printing blanket, method of manufacturing display unit, method of manufacturing printed material, and ink composition and printing method using the same
JP5952613B2 (ja) * 2012-03-30 2016-07-13 富士フイルム株式会社 レジストの現像方法、レジストパターンの形成方法およびモールドの製造方法並びにそれらに使用される現像液
JP6151484B2 (ja) 2012-06-11 2017-06-21 東京応化工業株式会社 リソグラフィー用洗浄液及び配線形成方法
US9158202B2 (en) 2012-11-21 2015-10-13 Dynaloy, Llc Process and composition for removing substances from substrates
WO2014104192A1 (ja) * 2012-12-27 2014-07-03 富士フイルム株式会社 レジスト除去液およびレジスト剥離方法
US9263348B2 (en) 2013-01-10 2016-02-16 International Business Machines Corporation Film thickness metrology
KR101790090B1 (ko) * 2013-05-02 2017-10-25 후지필름 가부시키가이샤 에칭 방법, 이에 이용하는 에칭액 및 에칭액의 키트, 및 반도체 기판 제품의 제조 방법
JP2015005660A (ja) * 2013-06-21 2015-01-08 東京エレクトロン株式会社 酸化タンタル膜の除去方法および除去装置
JP6776125B2 (ja) * 2013-12-20 2020-10-28 インテグリス・インコーポレーテッド イオン注入レジストの除去のための非酸化性の強酸の使用
WO2015154613A1 (zh) * 2014-04-09 2015-10-15 中国科学院上海硅酸盐研究所 对聚醚醚酮材料进行表面改性的方法
RU2676474C2 (ru) * 2014-04-16 2018-12-29 Эколаб Инк. Составы и способы, применяемые для удаления оболочек таблеток
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
US20160020246A1 (en) * 2014-07-15 2016-01-21 United Microelectronics Corporation Method for fabricating cmos image sensors and surface treating process thereof
KR102392062B1 (ko) * 2014-09-11 2022-04-29 동우 화인켐 주식회사 레지스트 박리액 조성물
KR102392027B1 (ko) * 2014-09-17 2022-04-29 동우 화인켐 주식회사 레지스트 박리액 조성물, 상기 조성물을 사용하는 플랫 패널 디스플레이 기판의 제조방법, 및 상기 제조방법으로 제조된 플랫 패널 디스플레이 기판
KR102464723B1 (ko) 2015-04-13 2022-11-08 미쯔비시 가스 케미칼 컴파니, 인코포레이티드 웨이퍼를 재생하기 위한 탄소함유 실리콘 산화물을 포함하는 재료의 세정액 및 세정방법
US10551165B2 (en) 2015-05-01 2020-02-04 Adarza Biosystems, Inc. Methods and devices for the high-volume production of silicon chips with uniform anti-reflective coatings
JP6552931B2 (ja) * 2015-09-18 2019-07-31 東京エレクトロン株式会社 基板処理装置及び基板処理方法
FR3046179A1 (fr) * 2015-12-23 2017-06-30 Oleon Nv Composition dispersante
US9613808B1 (en) 2016-01-19 2017-04-04 United Microelectronics Corp. Method of forming multilayer hard mask with treatment for removing impurities and forming dangling bonds
US11186771B2 (en) * 2017-06-05 2021-11-30 Versum Materials Us, Llc Etching solution for selectively removing silicon nitride during manufacture of a semiconductor device
KR102311328B1 (ko) * 2017-08-18 2021-10-14 오씨아이 주식회사 실리콘 질화막 식각 방법 및 이를 이용한 반도체 소자의 제조 방법
JP2018121077A (ja) * 2018-04-19 2018-08-02 東京エレクトロン株式会社 酸化チタン膜の除去方法および除去装置
US11094527B2 (en) * 2018-10-10 2021-08-17 International Business Machines Corporation Wet clean solutions to prevent pattern collapse
KR102195007B1 (ko) * 2018-10-11 2020-12-29 세메스 주식회사 기판 세정 조성물, 이를 이용한 기판 처리 방법 및 기판 처리 장치
US11164780B2 (en) * 2019-06-07 2021-11-02 Applied Materials, Inc. Process integration approach for selective metal via fill
KR20210026307A (ko) * 2019-08-29 2021-03-10 에스케이이노베이션 주식회사 식각 조성물, 이를 이용한 절연막의 식각방법 및 반도체 소자의 제조방법
KR20210069469A (ko) 2019-12-03 2021-06-11 삼성전자주식회사 알루미늄 가공물의 표면 무늬 형성 방법
CN111050478B (zh) * 2019-12-25 2021-03-02 浙江振有电子股份有限公司 一种环氧基板微米级细孔去渣方法
JP7407324B1 (ja) * 2023-06-15 2023-12-28 東京応化工業株式会社 半導体デバイス用処理液、基板の処理方法、及び半導体デバイスの製造方法

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01146331A (ja) 1987-12-03 1989-06-08 Matsushita Electric Ind Co Ltd 板状被処理物の表面処理方法
JPH07216392A (ja) * 1994-01-26 1995-08-15 Daikin Ind Ltd 洗浄剤及び洗浄方法
JPH07302744A (ja) * 1994-05-09 1995-11-14 Toshiba Corp 半導体ウエーハの薬液処理方法及びその薬液処理装置
JPH08195369A (ja) 1995-01-13 1996-07-30 Daikin Ind Ltd 基板の洗浄方法
JP3255551B2 (ja) 1995-01-31 2002-02-12 東京応化工業株式会社 レジスト用剥離液組成物
JP3236220B2 (ja) * 1995-11-13 2001-12-10 東京応化工業株式会社 レジスト用剥離液組成物
KR100269013B1 (ko) 1995-11-15 2000-11-01 이노우에 노리유끼 웨이퍼 처리액 및 그 제조방법
JPH1050647A (ja) 1996-05-14 1998-02-20 Samsung Electron Co Ltd 洗浄溶液およびそれを用いた洗浄方法
JPH1055993A (ja) 1996-08-09 1998-02-24 Hitachi Ltd 半導体素子製造用洗浄液及びそれを用いた半導体素子の製造方法
US6296714B1 (en) 1997-01-16 2001-10-02 Mitsubishi Materials Silicon Corporation Washing solution of semiconductor substrate and washing method using the same
JPH10219156A (ja) * 1997-02-12 1998-08-18 Kansai Paint Co Ltd 塗膜の除去方法
US6500605B1 (en) * 1997-05-27 2002-12-31 Tokyo Electron Limited Removal of photoresist and residue from substrate using supercritical carbon dioxide process
US6815151B2 (en) * 1997-09-05 2004-11-09 Tokyo Ohika Kogyo Co., Ltd. Rinsing solution for lithography and method for processing substrate with the use of the same
US6033993A (en) 1997-09-23 2000-03-07 Olin Microelectronic Chemicals, Inc. Process for removing residues from a semiconductor substrate
US6150282A (en) 1997-11-13 2000-11-21 International Business Machines Corporation Selective removal of etching residues
JPH11323394A (ja) * 1998-05-14 1999-11-26 Texas Instr Japan Ltd 半導体素子製造用洗浄剤及びそれを用いた半導体素子の製造方法
JPH11340183A (ja) 1998-05-27 1999-12-10 Morita Kagaku Kogyo Kk 半導体装置用洗浄液およびそれを用いた半導体装置の製 造方法
JP2000056479A (ja) * 1998-08-07 2000-02-25 Showa Denko Kk サイドウォールの除去方法
JP3903215B2 (ja) * 1998-11-24 2007-04-11 ダイキン工業株式会社 エッチング液
JP2000164586A (ja) * 1998-11-24 2000-06-16 Daikin Ind Ltd エッチング液
KR100319881B1 (ko) * 1999-02-03 2002-01-10 윤종용 집적 회로 기판 표면의 불순물을 제거하기 위한 세정 수용액 및 이를 이용한 세정 방법
US6562726B1 (en) * 1999-06-29 2003-05-13 Micron Technology, Inc. Acid blend for removing etch residue
US6361712B1 (en) * 1999-10-15 2002-03-26 Arch Specialty Chemicals, Inc. Composition for selective etching of oxides over metals
KR100677782B1 (ko) * 2000-01-17 2007-02-05 제이에스알 가부시끼가이샤 절연막 형성용 재료의 제조 방법
MY129673A (en) 2000-03-20 2007-04-30 Avantor Performance Mat Inc Method and composition for removing sodium-containing material from microcircuit substrates
US6372700B1 (en) * 2000-03-31 2002-04-16 3M Innovative Properties Company Fluorinated solvent compositions containing ozone
JP2001330970A (ja) * 2000-05-23 2001-11-30 Daikin Ind Ltd 微細パターン用ポリマー剥離液組成物
US6831048B2 (en) 2000-04-26 2004-12-14 Daikin Industries, Ltd. Detergent composition
KR100363092B1 (ko) * 2000-06-27 2002-12-05 삼성전자 주식회사 강유전체막의 손상층을 제거하기 위한 세정액 및 이를이용한 세정방법
JP4415480B2 (ja) * 2000-09-26 2010-02-17 ソニー株式会社 構造基板および半導体装置の製造方法
US6967173B2 (en) 2000-11-15 2005-11-22 Texas Instruments Incorporated Hydrogen plasma photoresist strip and polymeric residue cleanup processs for low dielectric constant materials
JP2002169305A (ja) * 2000-12-04 2002-06-14 Dainippon Screen Mfg Co Ltd ポリマー除去液およびポリマー除去装置
JP2003115479A (ja) * 2001-10-03 2003-04-18 Toshiba Corp 半導体装置の製造方法およびウエット処理装置
JP2003129089A (ja) * 2001-10-24 2003-05-08 Daikin Ind Ltd 洗浄用組成物
JP2004045774A (ja) * 2002-07-11 2004-02-12 Mitsubishi Gas Chem Co Inc レジスト剥離液組成物
JP2004277576A (ja) * 2003-03-17 2004-10-07 Daikin Ind Ltd エッチング用又は洗浄用の溶液の製造法

Cited By (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1831654B (zh) * 2005-03-11 2012-07-18 关东化学株式会社 光致抗蚀剂剥离液组合物以及光致抗蚀剂的剥离方法
CN103605266A (zh) * 2006-10-24 2014-02-26 关东化学株式会社 光刻胶残渣及聚合物残渣去除液组合物
CN101256366B (zh) * 2007-02-20 2012-06-06 三星电子株式会社 光刻胶剥离组合物和使用其剥离光刻胶膜的方法
CN101960388B (zh) * 2008-03-07 2012-11-28 和光纯药工业株式会社 半导体表面用处理剂组合物和使用该组合物的半导体表面的处理方法
CN102217042A (zh) * 2008-10-02 2011-10-12 高级技术材料公司 表面活性剂/消泡剂混合物用于增强硅基板的金属负载及表面钝化的应用
CN102449746A (zh) * 2009-05-25 2012-05-09 Az电子材料(日本)株式会社 蚀刻液及用其形成沟槽隔离结构的方法
CN102449746B (zh) * 2009-05-25 2015-02-18 Az电子材料Ip(日本)株式会社 蚀刻液及用其形成沟槽隔离结构的方法
CN101995778A (zh) * 2009-08-13 2011-03-30 佳能株式会社 液体的处理方法
CN102484057A (zh) * 2009-09-02 2012-05-30 和光纯药工业株式会社 半导体表面用处理剂组合物和使用该组合物的半导体表面的处理方法
CN102484057B (zh) * 2009-09-02 2015-10-14 和光纯药工业株式会社 半导体表面用处理剂组合物和使用该组合物的半导体表面的处理方法
CN102024562A (zh) * 2009-09-17 2011-04-20 大赛璐化学工业株式会社 用于制造叠层陶瓷部件的溶剂组合物
CN102782113A (zh) * 2010-03-05 2012-11-14 朗姆研究公司 用于镶嵌工艺侧壁聚合物的清洗溶液
CN102468223A (zh) * 2010-11-16 2012-05-23 中芯国际集成电路制造(上海)有限公司 接触孔的形成方法
CN102569202A (zh) * 2010-12-16 2012-07-11 中芯国际集成电路制造(北京)有限公司 静态随机存储器的制造方法
CN102880017A (zh) * 2012-09-28 2013-01-16 京东方科技集团股份有限公司 光刻胶用剥离液组合物及其制备和应用
CN103396695B (zh) * 2013-07-01 2014-07-30 华南理工大学 一种电泳漆去除液及其制备方法与应用
CN103396695A (zh) * 2013-07-01 2013-11-20 华南理工大学 一种电泳漆去除液及其制备方法与应用
CN104614954A (zh) * 2015-01-09 2015-05-13 苏州瑞红电子化学品有限公司 一种去除光刻胶的水系剥离液组合物
CN106298441B (zh) * 2015-05-18 2020-03-27 盛美半导体设备(上海)股份有限公司 半导体工艺中去除残余物质的方法
CN106298441A (zh) * 2015-05-18 2017-01-04 盛美半导体设备(上海)有限公司 半导体工艺中去除残余物质的方法
CN108138334A (zh) * 2015-07-14 2018-06-08 富士胶片电子材料美国有限公司 清洁组合物及其使用方法
US10619126B2 (en) 2015-07-14 2020-04-14 Fujifilm Electronic Materials U.S.A., Inc. Cleaning compositions and methods of use therefor
CN105218364A (zh) * 2015-10-27 2016-01-06 诺泰生物科技(合肥)有限公司 一种脂肪酸异丙酯的合成方法及其在特种油中的应用
CN106519783A (zh) * 2016-11-11 2017-03-22 太原市塑料研究所 一种环保水性脱漆剂及其制备方法
CN107653098A (zh) * 2017-10-30 2018-02-02 苏州林恩色谱科技有限公司 蒸发光检测器用清洗剂
CN111279460A (zh) * 2017-11-14 2020-06-12 中央硝子株式会社 干式蚀刻方法
CN111279460B (zh) * 2017-11-14 2023-07-18 中央硝子株式会社 干式蚀刻方法
CN108803262A (zh) * 2018-07-03 2018-11-13 昆山欣谷微电子材料有限公司 一种酸性光刻胶剥离液
CN110047735A (zh) * 2019-04-02 2019-07-23 深圳市华星光电技术有限公司 金属结构湿制程处理方法、tft制备方法、tft及显示装置
CN112495916A (zh) * 2020-11-04 2021-03-16 上海江丰平芯电子科技有限公司 一种化学机械抛光保持环的清洗方法
CN115820257A (zh) * 2021-09-16 2023-03-21 苏州阿特斯阳光电力科技有限公司 一种单晶硅太阳能电池片单面去除磷硅玻璃的槽液及方法

Also Published As

Publication number Publication date
JPWO2004019134A1 (ja) 2005-12-15
TWI266969B (en) 2006-11-21
TW200411326A (en) 2004-07-01
KR20050058448A (ko) 2005-06-16
CN1678961B (zh) 2010-05-05
AU2003257636A1 (en) 2004-03-11
US20060138399A1 (en) 2006-06-29
JP4434950B2 (ja) 2010-03-17
EP1536291A1 (en) 2005-06-01
KR100649418B1 (ko) 2006-11-27
WO2004019134A1 (ja) 2004-03-04
US7833957B2 (en) 2010-11-16
EP1536291A4 (en) 2008-08-06

Similar Documents

Publication Publication Date Title
CN1678961A (zh) 剥离液
CN100341992C (zh) 去除半导体生产中残留物用的组合物和方法
EP2798669B1 (en) Compositions and methods for selectively etching titanium nitride
US20100065530A1 (en) COMPOSITION AND PROCESS FOR THE SELECTIVE REMOVE OF TiSiN
CN1961065A (zh) 纳米电子和微电子清洗组合物
KR101382935B1 (ko) 반도체 드라이 프로세스 후의 잔사 제거액 및 이를 이용한 잔사 제거 방법
KR20150143676A (ko) 구리 세정 및 보호 제형
KR20160010538A (ko) 표면으로부터 세리아 입자를 제거하기 위한 조성물 및 방법
CN1918698A (zh) 半导体装置用基板的洗涤液及洗涤方法
CN1616575A (zh) 抛光组合物
KR20170015452A (ko) 금속, 유전체 및 니트라이드 상용성을 가진 반사-방지 코팅 세정 및 에칭-후 잔류물 제거 조성물
CN1860592A (zh) 研磨用组合物及研磨方法
CN1920671A (zh) 光致抗蚀剂残渣、聚合物残渣除去组合物和残渣除去方法
CN1828843A (zh) 以高源和低轰击等离子体提供高蚀刻速率的电介质蚀刻方法
EP3169765B1 (en) Cleaning composition following cmp and methods related thereto
CN114258424B (zh) 蚀刻组合物
CN1929096A (zh) 等离子体灰化方法
TW201923058A (zh) 清潔液、清潔方法及半導體晶圓之製造方法
CN113186043A (zh) 一种含氟清洗液组合物及其应用
WO2009056491A1 (en) Cmp slurry composition and process for planarizing copper containing surfaces provided with a diffusion barrier layer
CN101078892A (zh) 用于光刻胶去除的组合物和方法
KR101678072B1 (ko) 세정제 조성물
WO2021067150A1 (en) Etching composition and method for selectively removing silicon nitride during manufacture of a semiconductor device
WO2004112115A1 (ja) シリコンを含有する反射防止膜および埋め込み材の除去液と除去方法
CN109642159B (zh) 非水性钨相容性金属氮化物选择性蚀刻剂和清洁剂

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20100505

Termination date: 20200821