CN1682340A - 用于等离子体工艺系统中的光学窗口淀积屏蔽 - Google Patents

用于等离子体工艺系统中的光学窗口淀积屏蔽 Download PDF

Info

Publication number
CN1682340A
CN1682340A CNA038220806A CN03822080A CN1682340A CN 1682340 A CN1682340 A CN 1682340A CN A038220806 A CNA038220806 A CN A038220806A CN 03822080 A CN03822080 A CN 03822080A CN 1682340 A CN1682340 A CN 1682340A
Authority
CN
China
Prior art keywords
optical window
window deposition
shielding
liner
deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA038220806A
Other languages
English (en)
Other versions
CN100367446C (zh
Inventor
西本伸也
三桥康至
三枝秀仁
高濑均
中山博之
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN1682340A publication Critical patent/CN1682340A/zh
Application granted granted Critical
Publication of CN100367446C publication Critical patent/CN100367446C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32972Spectral analysis
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K99/00Subject matter not provided for in other groups of this subclass

Abstract

本发明提出了用于等离子体工艺系统的改进的光学窗口淀积屏蔽,光学窗口淀积屏蔽通过一个淀积屏蔽,用于等离子体工艺系统中的工艺空间的光学入口,其中光学窗口淀积屏蔽的设计和制作对工艺空间中的工艺等离子体方便地提供了一个光学清洁入口,而基本上保持最小地腐蚀光学窗口淀积屏蔽。

Description

用于等离子体工艺系统中的 光学窗口淀积屏蔽
相关申请对照
该申请涉及共同未决美国专利申请系列no.10/XXX,XXX,题目“用于一个等离子体工艺系统中的一个改进上电极板与淀积屏蔽的方法和装置”,代理人摘要号226272US6YA,于此在相同日期公开;共同未决美国专利申请系列no.10/XXX,XXX,题目“用于一个等离子体工艺系统中的一个改进挡板的方法和装置”,代理人摘要号226274US6YA,于此在相同日期公开;共同未决美国专利申请系列no.10/XXX,XXX,题目“用于一个等离子体工艺系统中的一个改进挡板的方法和装置”,代理人摘要号228411US6YA,于此在相同日期公开;共同未决美国专利申请系列no.10/XXX,XXX,题目“用于一个等离子体工艺系统中的一个改进淀积屏蔽的方法和装置”,代理人摘要号226275US6YA,于此在相同日期公开;共同未决美国专利申请系列no.10/XXX,XXX,题目“用于一个等离子体工艺系统中的一个改进上电极板的方法和装置”,代理人摘要号225277US6YA,于此在相同日期公开;以及共同未决美国专利申请系列no.10/XXX,XXX,题目“用于一个等离子体工艺系统中的一个改进上电极板的方法和装置”,代理人摘要号226277US6YA,于此在相同日期公开。所有申请的全部内容在此完全引用作为参考。
技术领域
本发明涉及用于等离子体工艺系统的改进组件,以及更一般而言,涉及在等离子体工艺系统中使用的光学窗口淀积屏蔽,以通过淀积屏蔽对工艺空间提供光学入口。
背景技术
半导体工业中集成电路(IC)的制造典型地在等离子体反应器中使用等离子体来产生和辅助表面化学,这是从衬底去除材料以及淀积材料所必须的。一般,在等离子体反应器中在真空环境下通过加热电子到足够的能量,以维持与一种供给的工艺气体的电离碰撞,从而形成等离子体。此外,加热的电子能够具有足够的能量来维持分解碰撞,因此在预定条件下(例如腔室压强,气体流速,等)便选定了一特殊系列气体,以产生适合于在腔室中进行特定处理(例如材料从衬底去除的刻蚀工艺或材料加在衬底上的淀积工艺)的带电粒子群和化学活性粒子群。
尽管在衬底表面形成带电粒子群(离子等)和化学活性粒子群对于完成等离子体工艺系统功能(例如材料刻蚀,材料淀积,等)是必须的,在工艺腔室内部的其它组件表面暴露于物理和化学活性等离子体,并且随着时间能够被腐蚀。在等离子体工艺系统中暴露组件的腐蚀能够导致等离子体工艺性能的逐步退化并且最终导致系统的完全失效。
为了尽量减小由于暴露在工艺等离子体中所遭受的损坏,已知遭受暴露于等离子体工艺系统中的组件都覆盖了一层保护阻挡层。例如由铝制成的组件可以阳极氧化,以产生一个氧化铝的表面层,它对等离子体更有抵抗性。在另一个实例中,一个可消耗或可替代组件,例如由硅,石英,氧化铝,碳,或碳化硅制成,可以插入工艺腔室中来保护更有价值的组件表面,频繁替换它们成本将更大。进一步,希望表面材料的选择尽量小地将不想要的污染,杂质等引入工艺等离子体中以及可能的在衬底上形成的器件中。
在这两种情况下,保护层不可避免地失效,或者由于保护阻挡层的完整性或者制造保护阻挡层的完整性,以及可替代组件的易消耗特性要求经常性地维护等离子工艺系统。这种经常性的维护能够产生与等离子体工艺故障时间联系的成本,以及新的等离子体工艺腔室组件,它们将是额外的。
发明内容
本发明对等离子体工艺系统提供了改进的光学窗口淀积屏蔽,用于通过一个淀积屏蔽,等离子体工艺系统中的工艺空间的光学入口,其中光学窗口淀积屏蔽的设计和制作方便地针对了上面确定的缺点。
本发明的一个目标是提供了一种光学窗口淀积屏蔽,包括一个插塞,配置为沿一个在淀积屏蔽内形成的开口延伸,一个凸缘连接到插塞,配置为将光学窗口淀积屏蔽贴到淀积屏蔽上。插栓包括在此连接的一个正表面和一个周围表面。凸缘包括第一表面,第二表面,一个侧表面,其中第一表面进一步包括一个配合表面。
本发明的另一个目标是光学窗口淀积屏蔽,包括至少一个光学通孔,连接到插栓正表面和插栓第二表面,配置为允许光通过,其中这样一个光学通孔可以包括连接到栓塞正表面的一个暴露的进入表面,以及连接到暴露的进入表面和连接到凸缘第二表面的一个内通孔表面。
本发明的另一个目标是光学窗口淀积屏蔽,包括多个连接到凸缘第一表面的配合表面和凸缘第二表面的紧固接受器,配置为容纳紧固装置,其中每个紧固接受器可以包括一个进入区,一个通孔区,一个通孔出口,一个内紧固表面,以及一个凹进的紧固表面。
本发明的另一个目标是光学窗口淀积屏蔽进一步包括,暴露在工艺等离子体下的光学窗口淀积屏蔽的多个暴露表面上形成一层保护阻挡层。
本发明的一个进一步目标是,光学窗口淀积屏蔽的暴露表面包括栓塞的正表面,栓塞的一个周围表面,凸缘除掉配合表面的第一表面,以及至少一个光学通孔的暴露的进入表面。
本发明进一步提供了一种在等离子工艺系统中制作光学窗口淀积屏蔽的方法,包括步骤:制作光学窗口淀积屏蔽;阳极氧化光学窗口淀积屏蔽,以在光学窗口淀积屏蔽上形成一个表面阳极氧化层;切削光学窗口淀积屏蔽上暴露的表面以去除表面阳极氧化层;以及在暴露的表面上形成一层保护阻挡层。
本发明提供了另一种在等离子体工艺系统中制作光学窗口淀积屏蔽的方法,包括步骤:制作光学窗口淀积屏蔽;掩蔽光学窗口淀积屏蔽上暴露的表面,以防止形成一层表面阳极氧化层;阳极氧化光学窗口淀积屏蔽,在光学窗口淀积屏蔽上形成一层表面阳极氧化层;揭去暴露表面上的掩蔽;以及在暴露的表面上形成一层保护阻挡层。
本发明提供了另一种在等离子体工艺系统中制作光学窗口淀积屏蔽的方法,包括步骤:制作光学窗口淀积屏蔽;以及在暴露表面上形成一层保护阻挡层。
本发明还包括另一种方法,结合在阳极氧化前掩蔽暴露表面的部分,并留下暴露表面的其他部分不掩蔽;阳极氧化未掩蔽的表面;切削暴露表面未掩蔽和阳极氧化的部分;去掉暴露表面上掩蔽部分的掩蔽;以及在暴露的表面上形成一层保护阻挡层。
上面的任何方法还可以任选地包括切削不是暴露表面的阳极氧化(或者另外覆盖)表面(例如获得一个裸露的金属连接,在其上切削的表面将与另一部分匹配)。
本发明的另一个目标是光学窗口淀积屏蔽用作衬片,其中该衬片不包括光学通孔,并可以使用上面的任何方法制作。
附图说明
从下面对本发明示例实施例的详细描述并结合附图,将会更明显和更容易理解本发明的这些和其它优点,其中
图1示出了根据本发明一个实施例,包括一个光学窗口淀积屏蔽的一个等离子体工艺系统的简化方框图;
图2A示出了根据本发明一个实施例,用于一个等离子体工艺系统的一个光学窗口淀积屏蔽的平面图;
图2B示出了根据本发明一个实施例,用于一个等离子体工艺系统的一个衬片的平面图;
图3A示出了根据本发明一个实施例,用于一个等离子体工艺系统的一个光学窗口淀积屏蔽的截面图;
图3B示出了根据本发明一个实施例,用于一个等离子体工艺系统的一个衬片的截面图;
图4示出了根据本发明一个实施例,在一个等离子体工艺系统中,用于一个光学窗口淀积屏蔽的一个通孔的扩展截面图;
图5示出了根据本发明一个实施例,在一个等离子体工艺系统中,用于一个光学窗口淀积屏蔽的一个栓塞周围表面以及凸缘第一表面的扩展截面图;
图6表示了根据本发明一个实施例,制作用于一个等离子体工艺系统的一个光学窗口淀积屏蔽的方法;
图7表示了根据本发明另一个实施例,制作用于一个等离子体工艺系统的一个光学窗口淀积屏蔽的方法;以及
图8表示了根据本发明另一个实施例,制作用于一个等离子体工艺系统的一个光学窗口淀积屏蔽的方法。
具体实施方式
本发明对等离子体工艺系统提供了一种改进的光学窗口淀积屏蔽,用于通过一个淀积屏蔽,等离子体工艺系统中的工艺空间的光学入口,其中光学窗口淀积屏蔽的设计和制作方便地针对了上面确定的缺点。
根据本发明的一个实施例,一个等离子体工艺系统1描述于图1,包括一个等离子体工艺腔室10,一个上部件20,一个电极板24,一个用于支撑衬底35的衬底支架30,以及一个抽运管道40连接到一个真空泵(未示出),用于在等离子体工艺腔室10中提供一个降低的压强环境11。等离子体工艺腔室10便于在邻近衬底35的工艺空间12内形成一种工艺等离子体。等离子体工艺系统1可以配置为处理任何衬底(例如,200mm衬底,300mm衬底或更大的衬底)。
在图示的实施例中,上部件20可以包括至少一个盖,一个气体注入部件,以及一个上电极阻抗匹配网络。例如,电极板24可以连接到一个RF源。在另一个可选实施例中,上部件20包括一个盖和一个电极板24,其中电极板24维持在电势等于等离子体工艺腔室10的电势。例如,等离子体工艺腔室10,上部件20,和电极板24可以电学地连接到接地电势。
等离子体工艺腔室10可以例如进一步包括一个淀积屏蔽14,用于保护等离子体工艺腔室10免于工艺空间12中的工艺等离子体,以及一个光学观察口16。光学观察口16可以包括连接到一个光学窗口淀积屏蔽18背面的一个光学窗口17,并且一个光学窗口凸缘19可以配置为使光学窗口17连接到光学窗口淀积屏蔽18。密封部件,例如O环,可以在光学窗口凸缘19和光学窗口17之间,在光学窗口17和光学窗口淀积屏蔽18之间,以及在光学窗口淀积屏蔽18和等离子体工艺腔室10之间提供。光学观察口16可以例如允许监测从工艺空间12中的工艺等离子体的光发射。
衬底支架30可以例如进一步包括一个垂直平移装置50,由连接到衬底支架30和等离子体工艺腔室10的一个波纹管52包围,并配置为密封垂直平移装置50避免等离子体工艺腔室10中的降低压强环境11。此外,一个波纹管屏蔽54可以例如连接到衬底支架30,并配置为保护波纹管52避免工艺等离子体。衬底支架30可以例如进一步连接到至少一个聚焦环60,以及一个屏蔽环62。进一步,一个挡板64可以延伸到约衬底支架30的外围。
衬底35可以例如传送进和传送出等离子体工艺腔室10,通过一个槽阀(未示出)以及通过机器人衬底传送系统的腔室馈通(未示出),它由安放在衬底支架30中的衬底起模顶杆(未示出)接收,并由位于此处的装置机械地平移。一旦衬底35由衬底传送系统接收,它降到衬底支架30的一个上表面。
衬底35可以例如通过一个静电吸附系统固定到衬底支架30上。进一步,衬底支架30可以例如进一步包括一个冷却系统,它包括一个再循环冷却液流,从衬底支架30接收热量并将热转移到一个热交换系统(未示出),或者当加热时,将热从热交换系统转移。此外,气体可以例如通过一个背面气体系统传送到衬底35的背面,以改进衬底35和衬底支架30之间的气隙的热传导。这样一个系统可以在当需要控制衬底的温度上升和下降时使用。在其它的实施例中,可以包括加热元件,例如电阻加热元件或热电加热器/冷却器。
在图示的实施例中,如图1所示,衬底支架30可以包括一个电极,通过它RF功率连接到工艺空间12的工艺等离子体上。例如,衬底支架30可以电学上偏置在一个RF电压下,通过将RF功率从一个RF发生器(未示出)由一个阻抗匹配网络(未示出)传输到衬底支架30。RF偏压可以用作加热电子以形成并维持等离子体。在这种配置下,系统可以作为一个反应离子刻蚀(RIE)反应器工作,其中腔室和上气体注入电极用作接地表面。用于RF偏压的一个典型频率范围从1MHz到100MHz,优选13.56MHz。用于等离子体工艺的RF系统对该领域的技术人员是熟知的。
作为选择,工艺空间12中形成的工艺等离子体可以使用一个平行板,电容耦合等离子体(CCP)源,一个电感耦合等离子体(ICP)源,它们的任何组合,并有或没有磁场系统来形成。作为选择,工艺空间12中形成的工艺等离子体可以使用电子回旋共振(ECR)形成。仍然在另一个实施例中,工艺空间12中形成的工艺等离子体由发射一个螺旋波形成。仍然在另一个实施例中,工艺空间12中形成的工艺等离子体由一个传播表面波形成。
现在参考图2A(平面图)和图3A(截面图)描述的图示实施例,光学窗口淀积屏蔽18包括一个栓塞80,配置为延伸通过淀积屏蔽14中的一个开口,以及一个连接到栓塞80的凸缘82,配置为将光学窗口淀积屏蔽18贴在淀积屏蔽14上。如图3A所示,栓塞80包括一个正表面84,配置为面对工艺空间12中的一种工艺等离子体,以及一个周边表面86,配置为与淀积屏蔽14的开口70(图1)中第一开口表面配合。另外,凸缘82包括连接到栓塞80的周围表面86的第一表面88,第二表面90,以及一个边缘表面92。进一步,例如,栓塞80的宽度(沿主轴)范围可以从1到100mm。希望最小宽度范围可以从10到40mm,优选地,宽度至少25mm。进一步,例如,栓塞80的高度(沿短轴)范围可以从1到100mm。希望最小高度范围可以从10到40mm,优选地,高度至少15mm。
继续参考图2A和3A,光学窗口淀积屏蔽18可以例如进一步包括至少一个光学通孔94,它连接到栓塞80的正表面84以及连接到凸缘82的第二表面90,配置为允许光经过它进入和/或从工艺空间12中出来。
图4提供了光学通孔94的一个扩展图,其中光学通孔94的包括一个暴露的进入表面96,它连接到栓塞80的正表面84,以及一个内通孔表面98,它连接到凸缘82的暴露的进入表面96和第二表面90。进一步,例如至少一个光学通孔94的直径范围可以从0.5到20mm。希望的直径范围可以从0.5到5mm,以及优选地,最小宽度至少0.5mm。进一步,例如数目范围可以从1-100个,以及优选地,数目至少1个。
在一个可选实施例中,光学窗口淀积屏蔽18不包括光学通孔。在如图2B(平面图)和图3B(截面图)描述的图示实施例中,光学窗口淀积屏蔽18用作一个衬片(insert)18’,以填充淀积屏蔽14中的开口(即,在特定的工艺中不需要光学入口)。
参考图2A,B和3A,B,凸缘82可以例如进一步包括多个紧固接受器100,每个紧固接受器100连接到凸缘82的第一表面88和第二表面90,其配置为容纳紧固装置(未示出),(例如螺栓),以将光学窗口淀积屏蔽18和淀积屏蔽14连接起来。紧固接受器100可以包括一个进入区102,一个通孔区104,一个出口通孔区106,一个内紧固表面108,以及一个凹进的紧固表面109。进一步,凸缘82的第一表面88的一部分可以包括一个配合表面110,配置为它连接到淀积屏蔽14(图1)的一个配合表面。例如在光学窗口淀积屏蔽18内形成的紧固接受器100的数目范围可以从0-100。希望紧固接受器100的数目范围可以从1-8;以及优选地,紧固接受器100的数目至少为2个紧固接受器。关于将光学窗口淀积屏蔽18连接到淀积屏蔽14上的进一步细节,共同未决美国专利申请系列no.10/XXX,XXX,题目“用于一个等离子体工艺系统中的一个改进淀积屏蔽的方法和装置”,代理人案卷号226275US6YA,于此在相同日期公开,在此完全引用作为参考。
图5提供了栓塞80的周围表面86,和凸缘82的第一表面,以及它们之间的连接的一个扩展截面图。
现在参考图2-图5,光学窗口淀积屏蔽18进一步包括一个保护阻挡层150,形成在光学窗口淀积屏蔽18的多个暴露表面145上。在本发明的一个实施例中,暴露表面145可以包括栓塞80的正表面84,栓塞80的周围表面86,以及凸缘82除掉配合表面110的第一表面88。另外暴露表面145可以包括至少一个光学通孔94的暴露的进入表面96。在一个可选实施例中,暴露表面145可以包括配合表面110。
在本发明的一个实施例中,保护阻挡层150可以包括一种包括氧化物例如Al2O3的化合物。在本发明的另一个实施例中,保护阻挡层150可以包括Al2O3和Y2O3的混合物。在本发明的另一个实施例中,保护阻挡层150可以包括三族元素(周期表中的三族)和稀土元素中至少一种。在本发明的另一个实施例中,三族元素可以包括钇,钪,和镧中至少一种。在本发明的另一个实施例中,稀土元素可以包括铈,镝,和铕中至少一种。在本发明的另一个实施例中,形成保护阻挡层150的化合物可以包括氧化钇(Y2O3),Sc2O3,Sc2F3,YF3,La2O3,CeO2,Eu2O3,和DyO3中的至少一种。
在本发明的一个实施例中,光学窗口淀积屏蔽18上形成的保护阻挡层150可以包括一个最小厚度,其中最小厚度可以规定为沿至少一个暴露表面145是常数。在另一个实施例中,最小厚度在跨越暴露表面145上可以变化。作为选择,最小厚度在暴露表面145的第一部分可以为常数,并沿暴露表面145的第二部分变化。例如,厚度的变化可以发生在一个弯曲表面上,在一个拐角上,或者在一个孔中。例如,最小厚度范围从0.5-500微米。希望最小厚度范围从5-200微米;以及优选地,最小厚度至少5微米。
图6表示了根据本发明一个实施例,在如图1描述的制作用于一个等离子体工艺系统的一个光学窗口淀积屏蔽18的方法。流程框图300开始于制作光学窗口淀积屏蔽18(如上面描述)的310。制作光学窗口淀积屏蔽包括机加工(machining),铸造,抛光,锻打,以及研磨中至少一种。例如光学窗口淀积屏蔽18的元件可以根据机械绘图设置的规格加工,使用传统技术包括磨机,车床等。使用例如磨机或车床加工一个部件的技术对加工领域的技术人员来说是熟知的。光学窗口淀积屏蔽18可以例如使用铝制作。
在320中,阳极氧化光学窗口淀积屏蔽18以形成一层表面阳极氧化层。例如,当使用铝制作光学窗口淀积屏蔽18时,表面阳极氧化层包括氧化铝(Al2O3)。阳极氧化铝部件的方法对表面阳极氧化领域的技术人员来说是熟知的。
在330中,标识光学窗口淀积屏蔽18上的暴露表面145,并使用标准的机加工(machining)技术从暴露表面145上去除表面阳极氧化层。在本发明的一个实施例中,暴露表面包括栓塞的正表面,栓塞的周围表面,凸缘除掉配合表面的第一表面,以及至少一个光学通孔的暴露的进入表面。
在340中,保护阻挡层150(如上面描述)形成在330中标识的暴露表面145上。一层保护阻挡层包括例如氧化钇,可以使用(热)喷涂技术形成,这对陶瓷喷涂领域的技术人员来说是熟知的。在一个可选实施例中,形成保护阻挡层可以进一步包括抛光(或平滑)热喷涂层。例如抛光热喷涂层可以包括对喷涂的表面使用砂纸。
图7表示了根据本发明另一个实施例,在如图1描述的一个等离子体工艺系统中制作光学窗口淀积屏蔽18的方法。流程框图400开始于制作光学窗口淀积屏蔽18(如上描述)的410。制作光学窗口淀积屏蔽18可以包括机加工,铸造,抛光,锻打,以及研磨中至少一种。例如光学窗口淀积屏蔽18的元件可以根据机械绘图设置的规格加工,使用传统技术包括磨机,车床等。使用例如磨机或车床加工一个部件的技术对加工领域的技术人员来说是熟知的。光学窗口淀积屏蔽18可以例如使用铝制作。
在420中,掩蔽光学窗口淀积屏蔽18的暴露表面145以防止在其上形成一层表面阳极氧化层。在本发明的一个实施例中,暴露表面145包括栓塞的正表面,栓塞的周围表面,凸缘除掉配合表面的第一表面,以及至少一个光学通孔的暴露的进入表面。用于表面掩蔽和去遮蔽的技术对表面涂敷和表面阳极氧化领域的技术人员来说是熟知的。
在430中,阳极氧化光学窗口淀积屏蔽18,以在剩下的未遮蔽的表面上形成一层表面阳极氧化层。例如,当使用铝制作光学窗口淀积屏蔽18时,表面阳极氧化层包括氧化铝(Al2O3)。阳极氧化铝部件的方法对表面阳极氧化领域的技术人员来说是熟知的。
在440中,暴露表面145是去掉掩蔽的,以及将保护阻挡层150(如上描述)形成在暴露表面145上。一层保护阻挡层包括例如氧化钇,可以使用(热)喷涂技术形成,这对陶瓷喷涂领域的技术人员来说是熟知的。在一个可选实施例中,形成保护阻挡层150可以进一步包括抛光(或平滑)热喷涂层。例如抛光热喷涂层可以包括对喷涂的表面使用砂纸。
图8表示了根据本发明另一个实施例,在如图1描述的一个等离子体工艺系统中制作光学窗口淀积屏蔽18的方法。流程框图500开始于制作光学窗口淀积屏蔽18(如上描述)的510。制作光学窗口淀积屏蔽18可以包括机加工,铸造,抛光,锻打,以及研磨中至少一种。例如上面描述的每一个元件都可以根据机械绘图设置的规格加工,使用传统技术包括磨机,车床等。使用例如磨机或车床加工一个部件的技术对加工领域的技术人员来说是熟知的。光学窗口淀积屏蔽18可以例如使用铝制作。
在520中,一层保护阻挡层150(如上描述)形成在光学窗口淀积屏蔽18的暴露表面145上。在本发明的一个实施例中,暴露表面包括栓塞的正表面,栓塞的周围表面,凸缘除掉配合表面的第一表面,以及至少一个光学通孔的暴露的进入表面。在本发明的另一个实施例中,暴露表面包括光学窗口淀积屏蔽18上的所有表面。一层保护阻挡层包括例如氧化钇,可以使用(热)喷涂技术形成,这对陶瓷喷涂领域的技术人员来说是熟知的。在一个可选实施例中,形成保护阻挡层可以进一步包括抛光(或平滑)热喷涂层。例如抛光热喷涂层可以包括对喷涂的表面使用砂纸。
本发明还包括另一个方法,结合在阳极氧化前掩蔽暴露表面的部分,并留下暴露表面的其他部分不掩蔽;阳极氧化未掩蔽的表面;切削暴露表面未掩蔽和阳极氧化的部分;去掉暴露表面上掩蔽部分的掩蔽;以及在暴露的表面上形成一层保护阻挡层。
上面的任何方法还可以任选地包括切削不是暴露表面的阳极氧化(或者另外覆盖)表面(例如获得一个裸露的金属连接,在其上切削的表面将与另一部分匹配)。
尽管上面仅仅详细地描述了本发明的某些示例实施例,本领域的技术人员将很容易评价,在示例的实施例中许多修改是可能的,而不在材料上背离本发明的新示教和优点。因此,所有这样的修改都包括在本发明的范围内。

Claims (84)

1.一种光学窗口淀积屏蔽,用于在等离子体工艺系统中通过一个淀积屏蔽进入工艺空间,包括:
一个插塞,配置为提供光学入口通过所述淀积屏蔽,所述插栓包括一个正表面和一个周围表面;
一个凸缘,耦连到所述插塞,配置为将所述光学窗口淀积屏蔽耦连到等离子体工艺系统的淀积屏蔽和腔室壁的至少一个上,所述凸缘包括第一表面、第二表面、以及边缘表面,其中一部分所述第一表面包括一个配合表面;以及
一个保护阻挡层,耦连到所述光学窗口淀积屏蔽的多个暴露表面,其中多个暴露表面包括所述栓塞的所述正表面、所述栓塞的所述周围表面、以及所述凸缘除掉所述配合表面的所述第一表面。
2.根据权利要求1的光学窗口淀积屏蔽,其中所述光学窗口淀积屏蔽包括耦连到所述凸缘的所述第一表面和所述凸缘的所述第二表面的多个紧固接受器,并配置为容纳紧固装置,以便将所述光学窗口淀积屏蔽耦连到淀积屏蔽和腔室壁中的至少一个。
3.根据权利要求2的光学窗口淀积屏蔽,其中所述多个紧固接受器的每一个包括一个进入区,一个通孔区,一个出口通孔,一个内紧固表面,以及一个凹进的紧固表面。
4.根据权利要求1的光学窗口淀积屏蔽,其中所述光学窗口淀积屏蔽进一步包括至少一个光学通孔,耦连到所述插栓的所述正表面和所述插栓的所述第二表面,并配置为耦连通过所述光学窗口淀积屏蔽的光。
5.根据权利要求4的光学窗口淀积屏蔽,其中所述的至少一个光学通孔的每一个包括一个暴露的进入表面以及一个内通孔表面。
6.根据权利要求5的光学窗口淀积屏蔽,其中多个暴露表面进一步包括所述至少一个光学通孔中至少一个的所述暴露的进入表面。
7.根据权利要求1的光学窗口淀积屏蔽,其中所述第二表面包括一个阳极氧化层。
8.根据权利要求1的光学窗口淀积屏蔽,其中所述边缘表面包括一个阳极氧化层。
9.根据权利要求1的光学窗口淀积屏蔽,其中所述保护阻挡层包括含有三族元素和稀土元素中至少一种的化合物。
10.根据权利要求9的光学窗口淀积屏蔽,其中所述三族元素包括钇,钪,和镧中至少一种。
11.根据权利要求9的光学窗口淀积屏蔽,其中所述稀土元素包括铈,镝,和铕中至少一种。
12.根据权利要求1的光学窗口淀积屏蔽,其中所述保护阻挡层包括Y2O3,Sc2O3,Sc2F3,YF3,La2O3,CeO2,Eu2O3,和DyO3中至少一种。
13.根据权利要求1的光学窗口淀积屏蔽,其中所述保护阻挡层包括一个最小厚度,并且所述最小厚度沿多个暴露表面的至少一个为常数。
14.根据权利要求1的光学窗口淀积屏蔽,其中所述保护阻挡层包括一个可变厚度,并且所述可变厚度范围从0.5-500微米。
15.根据权利要求1的光学窗口淀积屏蔽,其中所述多个暴露表面进一步包括所述配合表面。
16.根据权利要求1的光学窗口淀积屏蔽,其中所述光学窗口淀积屏蔽包括一种金属。
17.根据权利要求16的光学窗口淀积屏蔽,其中所述金属包括铝。
18.根据权利要求1的光学窗口淀积屏蔽,其中所述光学窗口淀积屏蔽包括一个矩形形状。
19.根据权利要求1的光学窗口淀积屏蔽,其中所述配合表面包括一个金属表面。
20.一种在等离子工艺系统中制作用于淀积屏蔽的光学窗口淀积屏蔽的方法,所述方法包括:
制作所述光学窗口淀积屏蔽,其中所述光学窗口淀积屏蔽包括一个插塞,配置为提供光学入口通过所述淀积屏蔽,所述插栓包括一个正表面和一个周围表面,以及一个凸缘耦连到所述插塞,并配置为将所述光学窗口淀积屏蔽耦连到等离子体工艺系统的淀积屏蔽和腔室壁的至少一个上,所述凸缘包括第一表面、第二表面、以及边缘表面,其中一部分所述第一表面包括一个配合表面;以及
在暴露表面上形成一层保护阻挡层,其中所述暴露表面包括所述衬片的所述正表面、所述衬片的所述周围表面、以及所述凸缘除掉所述配合表面的所述第一表面。
21.根据权利要求20的方法,所述方法进一步包括:
阳极氧化所述光学窗口淀积屏蔽,以在所述光学窗口淀积屏蔽上形成一个表面阳极氧化层;以及
去除所述暴露表面上的所述表面阳极氧化层。
22.根据权利要求21的方法,其中所述的去除包括机加工,平滑,抛光,和研磨中的至少一种。
23.根据权利要求20的方法,所述方法进一步包括:
在所述光学窗口淀积屏蔽上掩蔽所述暴露表面,以防止形成一层表面阳极氧化层;
阳极氧化所述光学窗口淀积屏蔽,以在所述光学窗口淀积屏蔽的未掩蔽表面上形成一层表面阳极氧化层;以及
去掉所述暴露表面的掩蔽。
24.根据权利要求20的方法,其中所述制作包括机加工,涂敷,掩蔽,去掩蔽,铸造,抛光,锻打,以及研磨中至少一种。
25.根据权利要求20的方法,其中所述的形成包括喷涂,加热和冷却中至少一种。
26.根据权利要求20的方法,所述方法进一步包括平滑所述保护阻挡层。
27.根据权利要求20的方法,其中所述光学窗口淀积屏蔽包括耦连到所述凸缘的所述第一表面和所述凸缘的所述第二表面的多个紧固接受器,并配置为容纳紧固装置,以便将所述光学窗口淀积屏蔽耦连到淀积屏蔽和腔室壁中的至少一个。
28.根据权利要求27的方法,其中每个所述多个紧固接受器包括一个进入区,一个进入腔,一个出口通孔,一个内紧固表面,以及一个凹进的紧固表面。
29.根据权利要求20的方法,其中所述多个暴露表面进一步包括所述配合表面。
30.根据权利要求20的方法,其中所述光学窗口淀积屏蔽包括一种金属。
31.根据权利要求30的方法,其中所述金属包括铝。
32.根据权利要求20的方法,其中所述光学窗口淀积屏蔽包括一个矩形形状。
33.根据权利要求20的方法,其中所述第二表面包括一层阳极氧化层。
34.根据权利要求20的方法,其中所述边缘表面包括一层阳极氧化层。
35.根据权利要求20的方法,其中所述保护阻挡层包括含有三族元素和稀土元素中至少一种的化合物。
36.根据权利要求35的方法,其中所述三族元素包括钇,钪,和镧中至少一种。
37.根据权利要求35的方法,其中所述稀土元素包括铈,镝,和铕中至少一种。
38.根据权利要求20的方法,其中所述保护阻挡层包括Y2O3,Sc2O3,Sc2F3,YF3,La2O3,CeO2,Eu2O3,和DyO3中至少一种。
39.根据权利要求20的方法,其中所述保护阻挡层包括一个最小厚度,并且所述最小厚度沿至少一个所述暴露表面为常数。
40.根据权利要求1的光学窗口淀积屏蔽,其中所述保护阻挡层包括一个可变厚度,并且所述可变厚度范围从0.5-500微米。
41.一种用于在等离子体工艺系统中的淀积屏蔽的衬片,包括:
一个插塞,配置为适合所述淀积屏蔽中的一个开口,所述插栓包括一个正表面和一个周围表面;
一个凸缘,耦连到所述插塞,配置为将所述衬片耦连到等离子体工艺系统的淀积屏蔽和腔室壁的至少一个上,所述凸缘包括第一表面,第二表面,以及边缘表面,其中一部分所述第一表面进一步包括一个配合表面;以及
一层保护阻挡层,耦连到所述衬片的多个暴露表面,其中多个暴露表面包括所述栓塞的所述正表面、所述栓塞的所述周围表面、以及所述凸缘除掉所述配合表面的所述第一表面。
42.根据权利要求41的衬片,其中所述衬片包括耦连到所述凸缘的所述第一表面和所述凸缘的所述第二表面的多个紧固接受器,并配置为容纳紧固装置,以便将所述衬片耦连到淀积屏蔽和腔室壁中的至少一个。
43.根据权利要求42的衬片,其中每个所述多个紧固接受器包括一个进入区,一个进入腔,一个出口通孔,一个内紧固表面,以及一个凹进的紧固表面。
44.根据权利要求41的衬片,其中所述多个暴露表面进一步包括所述配合表面。
45.根据权利要求41的衬片,其中所述光学窗口淀积屏蔽包括一种金属。
46.根据权利要求45的衬片,其中所述金属包括铝。
47.根据权利要求41的衬片,其中所述光学窗口淀积屏蔽包括一个矩形形状。
48.根据权利要求41的衬片,其中所述保护阻挡层包括Al2O3
49.根据权利要求41的衬片,其中所述保护阻挡层包括Al2O3和Y2O3的混合物。
50.根据权利要求41的衬片,其中所述保护阻挡层包括含有三族元素和稀土元素中至少一种的化合物。
51.根据权利要求50的衬片,其中所述三族元素包括钇,钪,和镧中至少一种。
52.根据权利要求50的衬片,其中所述稀土元素包括铈,镝,和铕中至少一种。
53.根据权利要求41的衬片,其中所述保护阻挡层包括氧化钇(Y2O3),Sc2O3,Sc2F3,YF3,La2O3,CeO2,Eu2O3,和DyO3中至少一种。
54.根据权利要求41的衬片,其中所述保护阻挡层包括一个最小厚度,并且所述最小厚度沿至少一个所述暴露表面为常数。
55.根据权利要求41的衬片,其中所述保护阻挡层包括一个可变厚度,并且所述可变厚度范围从0.5-500微米。
56.根据权利要求41的衬片,其中所述多个暴露表面进一步包括所述内紧固表面。
57.一种制作在等离子工艺系统中用于淀积屏蔽的衬片的方法,所述方法包括:
制作所述衬片,其中所述衬片包括一个插塞,配置为适合所述淀积屏蔽中的一个开口,所述插栓包括一个正表面和一个周围表面,以及一个凸缘耦连到所述插塞,配置为将所述衬片耦连到等离子体工艺系统的淀积屏蔽和腔室壁的至少一个上,所述凸缘包括第一表面,第二表面,以及边缘表面,其中一部分所述第一表面包括一个配合表面;以及
在暴露表面上形成一层保护阻挡层,其中所述暴露表面包括所述插塞的所述正表面、所述插塞的所述周围表面、以及所述凸缘除掉所述配合表面的所述第一表面。
58.根据权利要求57的方法,所述方法进一步包括:
阳极氧化所述衬片,以在所述衬片上形成一个表面阳极氧化层;以及
去除所述暴露表面上的所述表面阳极氧化层。
59.根据权利要求58的方法,其中所述的去除包括机加工,平滑,抛光,和研磨中的至少一种。
60.根据权利要求57的方法,所述方法进一步包括:
在所述衬片上掩蔽所述暴露表面,以防止形成一层表面阳极氧化层;
阳极氧化所述衬片,以在所述衬片的未掩蔽表面上形成一层表面阳极氧化层;以及
去掉所述暴露表面的掩蔽。
61.根据权利要求57的方法,其中所述制作包括机加工,涂敷,掩蔽,去掩蔽,铸造,抛光,锻打,以及研磨中至少一种。
62.根据权利要求57的方法,其中所述的形成包括喷涂,加热和冷却中至少一种。
63.根据权利要求57的方法,所述方法进一步包括平滑所述保护阻挡层。
64.根据权利要求57的方法,其中所述衬片包括耦连到所述凸缘的所述第一表面和所述凸缘的所述第二表面的多个紧固接受器,并配置为容纳紧固装置,以便将所述衬片耦连到淀积屏蔽和腔室壁中的至少一个。
65.根据权利要求64的方法,其中每个所述多个紧固接受器包括一个进入区,一个进入腔,一个出口通孔,一个内紧固表面,以及一个凹进的紧固表面。
66.根据权利要求57的方法,其中所述多个暴露表面进一步包括所述配合表面。
67.根据权利要求57的方法,其中所述衬片包括一种金属。
68.根据权利要求57的方法,其中所述金属包括铝。
69.根据权利要求57的方法,其中所述衬片包括一个矩形形状。
70.根据权利要求57的方法,其中所述保护阻挡层包括Al2O3
71.根据权利要求57的方法,其中所述保护阻挡层包括Al2O3和Y2O3的混合物。
72.根据权利要求57的方法,其中所述保护阻挡层包括含有三族元素和稀土元素中至少一种的化合物。
73.根据权利要求72的方法,其中所述三族元素包括钇,钪,和镧中至少一种。
74.根据权利要求72的方法,其中所述稀土元素包括铈,镝,和铕中至少一种。
75.根据权利要求57的方法,其中所述保护阻挡层包括氧化钇(Y2O3),Sc2O3,Sc2F3,YF3,La2O3,CeO2,Eu2O3,和DyO3中至少一种。
76.根据权利要求57的方法,其中所述保护阻挡层包括一个最小厚度,并且所述最小厚度沿至少一个所述暴露表面为常数。
77.根据权利要求57的方法,其中所述保护阻挡层包括一个可变厚度,并且所述可变厚度范围从0.5-500微米。
78.一种在等离子工艺系统中制作光学窗口淀积屏蔽,用于通过一个淀积屏蔽进入工艺空间的方法,所述方法包括步骤:
制作所述光学窗口淀积屏蔽,所述光学窗口淀积屏蔽包括一个插塞,所述插栓包括一个正表面和一个周围表面,以及一个凸缘耦连到所述插塞,所述凸缘包括第一表面,第二表面,以及边缘表面,其中一部分所述第一表面包括一个配合表面;
阳极氧化所述光学窗口淀积屏蔽,以在光学窗口淀积屏蔽上形成一个表面阳极氧化层;
机加工所述光学窗口淀积屏蔽上暴露的表面以去除所述表面阳极氧化层。所述暴露表面包括所述插塞的所述正表面、所述插塞的所述周围表面、以及所述凸缘除掉所述配合表面的所述第一表面;以及
在暴露的表面上形成一层保护阻挡层。
79.根据权利要求78的方法,其中所述保护阻挡层包括含有三族元素和稀土元素中至少一种的化合物。
80.根据权利要求78的方法,其中所述保护阻挡层包括氧化钇(Y2O3),Sc2O3,Sc2F3,YF3,La2O3,CeO2,Eu2O3,和DyO3中至少一种。
81.一种在等离子工艺系统中制作改进的光学窗口淀积屏蔽,用于通过一个淀积屏蔽进入工艺空间的方法,所述方法包括步骤:
制作所述光学窗口淀积屏蔽,所述光学窗口淀积屏蔽包括一个插塞,所述插栓包括一个正表面和一个周围表面,以及一个凸缘耦连到所述插塞,所述凸缘包括第一表面,第二表面,以及边缘表面,其中一部分所述第一表面包括一个配合表面;
掩蔽所述光学窗口淀积屏蔽上暴露的表面,以防止形成表面阳极氧化层,所述暴露表面包括所述插塞的所述正表面,所述插塞的所述周围表面,以及所述凸缘除掉所述配合表面的所述第一表面;
阳极氧化所述光学窗口淀积屏蔽,以在光学窗口淀积屏蔽上形成一个表面阳极氧化层;
去掉暴露表面上的掩蔽;以及
在暴露的表面上形成一层保护阻挡层。
82.根据权利要求81的方法,其中所述保护阻挡层包括含有三族元素和稀土元素中至少一种的化合物。
83.根据权利要求81的方法,其中所述保护阻挡层包括Y2O3,Sc2O3,Sc2F3,YF3,La2O3,CeO2,Eu2O3,和DyO3中至少一种。
84.根据权利要求78的方法,进一步包括机加工至少一个未掩蔽的表面,以制作一个裸露的配合表面。
CNB038220806A 2002-09-30 2003-09-29 用于等离子体工艺系统中的光学窗口淀积屏蔽 Expired - Lifetime CN100367446C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/259,352 2002-09-30
US10/259,352 US6798519B2 (en) 2002-09-30 2002-09-30 Method and apparatus for an improved optical window deposition shield in a plasma processing system

Publications (2)

Publication Number Publication Date
CN1682340A true CN1682340A (zh) 2005-10-12
CN100367446C CN100367446C (zh) 2008-02-06

Family

ID=32029489

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB038220806A Expired - Lifetime CN100367446C (zh) 2002-09-30 2003-09-29 用于等离子体工艺系统中的光学窗口淀积屏蔽

Country Status (6)

Country Link
US (3) US6798519B2 (zh)
JP (1) JP4585316B2 (zh)
KR (1) KR100732260B1 (zh)
CN (1) CN100367446C (zh)
AU (1) AU2003274589A1 (zh)
WO (1) WO2004030014A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112447474A (zh) * 2019-09-04 2021-03-05 中微半导体设备(上海)股份有限公司 一种具有可移动环的等离子体处理器
CN113811979A (zh) * 2019-05-15 2021-12-17 应用材料公司 用于基板工艺腔室的杂散等离子体预防设备

Families Citing this family (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3510993B2 (ja) * 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
TW514996B (en) * 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
US20080264564A1 (en) 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US20080213496A1 (en) * 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US7204912B2 (en) * 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US7166166B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US7137353B2 (en) * 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US6837966B2 (en) * 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US20040069223A1 (en) * 2002-10-10 2004-04-15 Taiwan Semiconductor Manufacturing Co., Ltd. Wall liner and slot liner for process chamber
CN1249789C (zh) * 2002-11-28 2006-04-05 东京毅力科创株式会社 等离子体处理容器内部件
US6844260B2 (en) * 2003-01-30 2005-01-18 Micron Technology, Inc. Insitu post atomic layer deposition destruction of active species
JP4597972B2 (ja) * 2003-03-31 2010-12-15 東京エレクトロン株式会社 処理部材上に隣接するコーティングを接合する方法。
WO2004095532A2 (en) * 2003-03-31 2004-11-04 Tokyo Electron Limited A barrier layer for a processing element and a method of forming the same
US7182816B2 (en) * 2003-08-18 2007-02-27 Tokyo Electron Limited Particulate reduction using temperature-controlled chamber shield
US7241397B2 (en) * 2004-03-30 2007-07-10 Tokyo Electron Limited Honeycomb optical window deposition shield and method for a plasma processing system
KR100790393B1 (ko) * 2004-11-26 2008-01-02 삼성전자주식회사 플라즈마 공정장비
US7552521B2 (en) * 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
US7601242B2 (en) * 2005-01-11 2009-10-13 Tokyo Electron Limited Plasma processing system and baffle assembly for use in plasma processing system
US20060225654A1 (en) * 2005-03-29 2006-10-12 Fink Steven T Disposable plasma reactor materials and methods
US8440049B2 (en) 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US8475625B2 (en) * 2006-05-03 2013-07-02 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US7838793B2 (en) * 2006-07-21 2010-11-23 Sub-One Technology, Inc. System and method for treating surfaces of components
US8097105B2 (en) * 2007-01-11 2012-01-17 Lam Research Corporation Extending lifetime of yttrium oxide as a plasma chamber material
US20080233016A1 (en) * 2007-03-21 2008-09-25 Verity Instruments, Inc. Multichannel array as window protection
US7696117B2 (en) * 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US8367227B2 (en) 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
US8066895B2 (en) * 2008-02-28 2011-11-29 Applied Materials, Inc. Method to control uniformity using tri-zone showerhead
US7987814B2 (en) * 2008-04-07 2011-08-02 Applied Materials, Inc. Lower liner with integrated flow equalizer and improved conductance
US20100193368A1 (en) * 2009-02-05 2010-08-05 Chia-Wei Hsu Method of forming multicolor aluminum alloy
JP5424744B2 (ja) * 2009-07-01 2014-02-26 株式会社フェローテック 分割環状リブ型プラズマ処理装置
US8840725B2 (en) * 2009-11-11 2014-09-23 Applied Materials, Inc. Chamber with uniform flow and plasma distribution
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
TWI640039B (zh) * 2014-07-03 2018-11-01 美商西凱渥資訊處理科技公司 端點增強器系統及在晶圓蝕刻製程中用於光學端點偵測之方法
JP6544902B2 (ja) * 2014-09-18 2019-07-17 東京エレクトロン株式会社 プラズマ処理装置
KR20160058490A (ko) * 2014-11-17 2016-05-25 삼성전자주식회사 뷰 포트(view port)를 포함하는 플라즈마 공정 설비
US11572617B2 (en) 2016-05-03 2023-02-07 Applied Materials, Inc. Protective metal oxy-fluoride coatings
US20180166301A1 (en) * 2016-12-13 2018-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor manufacturing system
US20180327892A1 (en) 2017-05-10 2018-11-15 Applied Materials, Inc. Metal oxy-flouride films for chamber components
US11670490B2 (en) * 2017-09-29 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit fabrication system with adjustable gas injector
US11880018B2 (en) * 2021-03-12 2024-01-23 Raytheon Company Optical window with abrasion tolerance
CN115621108A (zh) * 2021-07-16 2023-01-17 长鑫存储技术有限公司 半导体制造设备及半导体制造设备腔室沉积物清除方法

Family Cites Families (166)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4310390A (en) * 1977-08-10 1982-01-12 Lockheed Corporation Protective coating process for aluminum and aluminum alloys
US4357387A (en) 1981-08-20 1982-11-02 Subtex, Inc. Flame resistant insulating fabric compositions prepared by plasma spraying
JPS5857491A (ja) 1981-09-30 1983-04-05 Sony Corp 緑色螢光体の製造方法
JPH065155B2 (ja) * 1984-10-12 1994-01-19 住友金属工業株式会社 窯炉の炉壁補修装置
US4593007A (en) * 1984-12-06 1986-06-03 The Perkin-Elmer Corporation Aluminum and silica clad refractory oxide thermal spray powder
US4612077A (en) 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
US4842683A (en) * 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4877757A (en) 1987-07-16 1989-10-31 Texas Instruments Incorporated Method of sequential cleaning and passivating a GaAs substrate using remote oxygen plasma
NO163412B (no) 1988-01-25 1990-02-12 Elkem Technology Plasmalanse.
DE69015715T2 (de) * 1989-07-11 1995-08-17 Sony Corp Verfahren zur Wärmebehandlung eines optischen Oxidkristalles und Wärmebehandlungsvorrichtung dafür.
US5334462A (en) * 1989-09-08 1994-08-02 United Technologies Corporation Ceramic material and insulating coating made thereof
US5556501A (en) 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5126102A (en) * 1990-03-15 1992-06-30 Kabushiki Kaisha Toshiba Fabricating method of composite material
GB2242443B (en) * 1990-03-28 1994-04-06 Nisshin Flour Milling Co Coated particles of inorganic or metallic materials and processes of producing the same
US5180467A (en) * 1990-08-08 1993-01-19 Vlsi Technology, Inc. Etching system having simplified diffuser element removal
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
DE4103994A1 (de) 1991-02-11 1992-08-13 Inst Elektroswarki Patona Schutzueberzug vom typ metall-keramik fuer einzelteile aus hitzebestaendigen legierungen
DE69213802T2 (de) 1991-04-09 1997-02-27 Ngk Insulators Ltd Verwendung einer Oxidschicht zur Verbesserung der Oxydation- und Korrosionswiderstand einer Gasturbinenschaufeln aus Siliziumnitrid
WO1993024275A1 (en) 1992-06-01 1993-12-09 Ice Blast International Ltd. Particle blasting utilizing crystalline ice
EP0573057A1 (en) * 1992-06-05 1993-12-08 Applied Materials, Inc. Integrated circuit structure processing apparatus with chemically corrosion-resistant Al2O3 protective coating on surface of quartz window exposed to corrosive chemicals
KR100276093B1 (ko) * 1992-10-19 2000-12-15 히가시 데쓰로 플라스마 에칭방법
US5302465A (en) * 1992-10-26 1994-04-12 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Plasma sprayed ceramic thermal barrier coating for NiAl-based intermetallic alloys
DE69330719T2 (de) * 1992-12-28 2002-07-04 Nippon Zeon Co Gegenstand mit harter beschichtung und verfahren zu seiner herstellung
US5366585A (en) 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5362335A (en) 1993-03-25 1994-11-08 General Motors Corporation Rare earth coating process for aluminum alloys
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5891253A (en) * 1993-05-14 1999-04-06 Applied Materials, Inc. Corrosion resistant apparatus
US5551190A (en) 1993-05-19 1996-09-03 Ohi Seisakusho Co., Ltd. Slide door driving system
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5484752A (en) * 1993-11-12 1996-01-16 Ube Industries, Ltd. Ceramic composite material
JP3308091B2 (ja) * 1994-02-03 2002-07-29 東京エレクトロン株式会社 表面処理方法およびプラズマ処理装置
US5798016A (en) * 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US5651723A (en) * 1994-04-13 1997-07-29 Viratec Thin Films, Inc. Method and apparatus for cleaning substrates in preparation for deposition of thin film coatings
US5521790A (en) * 1994-05-12 1996-05-28 International Business Machines Corporation Electrostatic chuck having relatively thick and thin areas and means for uniformly cooling said thick and thin areas during chuck anodization
KR100331053B1 (ko) * 1994-05-17 2002-06-20 가나이 쓰도무 플라즈마처리장치및플라즈마처리방법
US5641375A (en) * 1994-08-15 1997-06-24 Applied Materials, Inc. Plasma etching reactor with surface protection means against erosion of walls
DE9421671U1 (de) 1994-08-26 1996-07-11 Siemens Ag Entladungskammer für eine Plasmaätzanlage in der Halbleiterfertigung
US5885356A (en) * 1994-11-30 1999-03-23 Applied Materials, Inc. Method of reducing residue accumulation in CVD chamber using ceramic lining
US5891350A (en) * 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
EP0722919B1 (en) * 1995-01-19 1999-08-11 Ube Industries, Ltd. Ceramic composite
US5759360A (en) * 1995-03-13 1998-06-02 Applied Materials, Inc. Wafer clean sputtering process
US6296740B1 (en) 1995-04-24 2001-10-02 Si Diamond Technology, Inc. Pretreatment process for a surface texturing process
US5534356A (en) * 1995-04-26 1996-07-09 Olin Corporation Anodized aluminum substrate having increased breakdown voltage
JP3208044B2 (ja) * 1995-06-07 2001-09-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
TW323387B (zh) * 1995-06-07 1997-12-21 Tokyo Electron Co Ltd
JP3164200B2 (ja) * 1995-06-15 2001-05-08 住友金属工業株式会社 マイクロ波プラズマ処理装置
DE19529627C1 (de) 1995-08-11 1997-01-16 Siemens Ag Thermisch leitende, elektrisch isolierende Verbindung und Verfahren zu seiner Herstellung
EP0777258A3 (en) * 1995-11-29 1997-09-17 Applied Materials Inc Self-cleaning plasma processing reactor
US5894887A (en) * 1995-11-30 1999-04-20 Applied Materials, Inc. Ceramic dome temperature control using heat pipe structure and method
JPH09167755A (ja) * 1995-12-15 1997-06-24 Nec Corp プラズマ酸化膜処理装置
US6373573B1 (en) * 2000-03-13 2002-04-16 Lj Laboratories L.L.C. Apparatus for measuring optical characteristics of a substrate and pigments applied thereto
US5985102A (en) 1996-01-29 1999-11-16 Micron Technology, Inc. Kit for electrically isolating collimator of PVD chamber, chamber so modified, and method of using
US5955182A (en) 1996-02-05 1999-09-21 Kabushiki Kaisha Toshiba Heat resisting member and its production method
JPH09235662A (ja) 1996-02-28 1997-09-09 Nittetsu Hard Kk 溶射皮膜の形成方法
CN1074689C (zh) 1996-04-04 2001-11-14 E·O·帕通电子焊接研究院电子束工艺国际中心 基体上制备有跨厚度化学组成和结构梯度并陶瓷外层方法
US6108189A (en) * 1996-04-26 2000-08-22 Applied Materials, Inc. Electrostatic chuck having improved gas conduits
CA2205817C (en) 1996-05-24 2004-04-06 Sekisui Chemical Co., Ltd. Treatment method in glow-discharge plasma and apparatus thereof
US5892278A (en) * 1996-05-24 1999-04-06 Dai Nippon Printingco., Ltd. Aluminum and aluminum alloy radiator for semiconductor device and process for producing the same
US5820723A (en) * 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5952060A (en) 1996-06-14 1999-09-14 Applied Materials, Inc. Use of carbon-based films in extending the lifetime of substrate processing system components
JP3241270B2 (ja) * 1996-06-25 2001-12-25 日本政策投資銀行 熱電変換装置
US5885402A (en) * 1996-07-17 1999-03-23 Applied Materials Diagnostic head assembly for plasma chamber
US5904778A (en) * 1996-07-26 1999-05-18 Applied Materials, Inc. Silicon carbide composite article particularly useful for plasma reactors
US5882411A (en) * 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US6120640A (en) 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
US6301004B1 (en) * 2000-05-31 2001-10-09 Lj Laboratories, L.L.C. Apparatus and method for measuring optical characteristics of an object
US5925228A (en) * 1997-01-09 1999-07-20 Sandia Corporation Electrophoretically active sol-gel processes to backfill, seal, and/or densify porous, flawed, and/or cracked coatings on electrically conductive material
US5800621A (en) 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
JP2981184B2 (ja) * 1997-02-21 1999-11-22 トーカロ株式会社 ボイラ伝熱管および管内面デポジット付着抑制効果に優れるボイラ伝熱管の製造方法
US5843239A (en) * 1997-03-03 1998-12-01 Applied Materials, Inc. Two-step process for cleaning a substrate processing chamber
US5900064A (en) * 1997-05-01 1999-05-04 Applied Materials, Inc. Plasma process chamber
US5851343A (en) * 1997-05-16 1998-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Protective shield around the inner edge of endpoint window in a plasma etching chamber
US5994662A (en) 1997-05-29 1999-11-30 Applied Materials, Inc. Unique baffle to deflect remote plasma clean gases
US6143646A (en) 1997-06-03 2000-11-07 Motorola Inc. Dual in-laid integrated circuit structure with selectively positioned low-K dielectric isolation and method of formation
JP3707229B2 (ja) 1997-06-27 2005-10-19 コニカミノルタビジネステクノロジーズ株式会社 電子写真感光体およびこれを用いた電子写真画像形成装置
JP3362113B2 (ja) 1997-07-15 2003-01-07 日本碍子株式会社 耐蝕性部材、ウエハー設置部材および耐蝕性部材の製造方法
JPH1136076A (ja) * 1997-07-16 1999-02-09 Tokyo Electron Ltd Cvd成膜装置およびcvd成膜方法
US6161500A (en) * 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6106625A (en) 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
KR100258984B1 (ko) 1997-12-24 2000-08-01 윤종용 건식 식각 장치
JP4217299B2 (ja) * 1998-03-06 2009-01-28 東京エレクトロン株式会社 処理装置
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
KR100265288B1 (ko) * 1998-04-22 2000-10-02 윤종용 반도체소자 제조용 식각장치의 배플
JP4037956B2 (ja) * 1998-04-28 2008-01-23 東海カーボン株式会社 チャンバー内壁保護部材
JP3810039B2 (ja) * 1998-05-06 2006-08-16 キヤノン株式会社 ステージ装置
US6246479B1 (en) * 1998-06-08 2001-06-12 Lj Laboratories, L.L.C. Integrated spectrometer assembly and methods
US6335293B1 (en) * 1998-07-13 2002-01-01 Mattson Technology, Inc. Systems and methods for two-sided etch of a semiconductor substrate
US6182603B1 (en) * 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6123791A (en) 1998-07-29 2000-09-26 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
US6389506B1 (en) * 1998-08-07 2002-05-14 Cisco Technology, Inc. Block mask ternary cam
JP4162773B2 (ja) * 1998-08-31 2008-10-08 東京エレクトロン株式会社 プラズマ処理装置および検出窓
US6170429B1 (en) * 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
JP3030287B1 (ja) 1998-10-09 2000-04-10 株式会社協同インターナショナル 成膜装置のクリーニング方法、スパッタリングターゲットのクリーニング方法及びこれらに使用するクリーニング装置
US6383964B1 (en) * 1998-11-27 2002-05-07 Kyocera Corporation Ceramic member resistant to halogen-plasma corrosion
US6178919B1 (en) * 1998-12-28 2001-01-30 Lam Research Corporation Perforated plasma confinement ring in plasma reactors
US6123804A (en) 1999-02-22 2000-09-26 Applied Materials, Inc. Sectional clamp ring
US6221202B1 (en) * 1999-04-01 2001-04-24 International Business Machines Corporation Efficient plasma containment structure
TW465017B (en) * 1999-04-13 2001-11-21 Applied Materials Inc A corrosion-resistant protective coating for an apparatus and method for processing a substrate
JP3911902B2 (ja) * 1999-04-16 2007-05-09 東京エレクトロン株式会社 処理装置及び金属部品の表面処理方法
US6444083B1 (en) 1999-06-30 2002-09-03 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
JP2001023959A (ja) 1999-07-05 2001-01-26 Mitsubishi Electric Corp プラズマ処理装置
US6387817B1 (en) * 1999-09-07 2002-05-14 Agere Systems Guardian Corp. Plasma confinement shield
JP4285853B2 (ja) * 1999-09-08 2009-06-24 東京エレクトロン株式会社 処理方法
US6296716B1 (en) * 1999-10-01 2001-10-02 Saint-Gobain Ceramics And Plastics, Inc. Process for cleaning ceramic articles
US6364949B1 (en) * 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US6265757B1 (en) * 1999-11-09 2001-07-24 Agere Systems Guardian Corp. Forming attached features on a semiconductor substrate
TW514996B (en) * 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
JP3510993B2 (ja) * 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
US6362888B1 (en) * 1999-12-23 2002-03-26 Lj Laboratories, L.L.C. Spectrometer assembly
US6519037B2 (en) * 1999-12-23 2003-02-11 Lj Laboratories, Llc Spectrometer having optical unit including a randomized fiber optic implement
JP3567855B2 (ja) * 2000-01-20 2004-09-22 住友電気工業株式会社 半導体製造装置用ウェハ保持体
JP4272786B2 (ja) * 2000-01-21 2009-06-03 トーカロ株式会社 静電チャック部材およびその製造方法
WO2001068323A1 (de) * 2000-03-15 2001-09-20 Preising Paul Eric Reinigungsverfahren und -vorrichtung für hochspannungsführende anlagenteile
TW503449B (en) * 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
JP4422295B2 (ja) 2000-05-17 2010-02-24 キヤノンアネルバ株式会社 Cvd装置
TWI290589B (en) 2000-10-02 2007-12-01 Tokyo Electron Ltd Vacuum processing device
US6413578B1 (en) * 2000-10-12 2002-07-02 General Electric Company Method for repairing a thermal barrier coating and repaired coating formed thereby
JP2002151473A (ja) * 2000-11-13 2002-05-24 Tokyo Electron Ltd プラズマ処理装置及びその組立方法
US20020090464A1 (en) * 2000-11-28 2002-07-11 Mingwei Jiang Sputter chamber shield
US20040081746A1 (en) * 2000-12-12 2004-04-29 Kosuke Imafuku Method for regenerating container for plasma treatment, member inside container for plasma treatment, method for preparing member inside container for plasma treatment, and apparatus for plasma treatment
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6533910B2 (en) * 2000-12-29 2003-03-18 Lam Research Corporation Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof
US6537429B2 (en) * 2000-12-29 2003-03-25 Lam Research Corporation Diamond coatings on reactor wall and method of manufacturing thereof
US6613442B2 (en) 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
US7128804B2 (en) * 2000-12-29 2006-10-31 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacture thereof
US6805952B2 (en) * 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US6790242B2 (en) * 2000-12-29 2004-09-14 Lam Research Corporation Fullerene coated component of semiconductor processing equipment and method of manufacturing thereof
CN1220989C (zh) * 2001-02-07 2005-09-28 株式会社新王磁材 制造铁基稀土磁体用合金材料的方法
US6830622B2 (en) 2001-03-30 2004-12-14 Lam Research Corporation Cerium oxide containing ceramic components and coatings in semiconductor processing equipment and methods of manufacture thereof
TW541586B (en) 2001-05-25 2003-07-11 Tokyo Electron Ltd Substrate table, production method therefor and plasma treating device
US6811651B2 (en) * 2001-06-22 2004-11-02 Tokyo Electron Limited Gas temperature control for a plasma process
US6527911B1 (en) * 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
KR100431660B1 (ko) * 2001-07-24 2004-05-17 삼성전자주식회사 반도체 장치의 제조를 위한 건식 식각 장치
US20030029563A1 (en) * 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
US6849306B2 (en) * 2001-08-23 2005-02-01 Konica Corporation Plasma treatment method at atmospheric pressure
DE60228661D1 (de) * 2001-09-21 2008-10-16 Fujifilm Corp Organische elektrolumineszente Vorrichtung
KR100440500B1 (ko) 2001-12-07 2004-07-15 주식회사 코미코 플라즈마 스프레이 방식을 이용한 세라믹 반도체 부품의제조 및 재생 방법
GB2383833A (en) 2001-12-27 2003-07-09 Perkins Engines Co Ltd Piston with a ceramic reinforced ring groove
US6776873B1 (en) 2002-02-14 2004-08-17 Jennifer Y Sun Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers
GB2386907B (en) * 2002-03-27 2005-10-26 Isle Coat Ltd Process and device for forming ceramic coatings on metals and alloys, and coatings produced by this process
JP3949504B2 (ja) * 2002-04-25 2007-07-25 英夫 吉田 母材表面の活性化処理方法および活性化処理装置
US7311797B2 (en) * 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US6852433B2 (en) * 2002-07-19 2005-02-08 Shin-Etsu Chemical Co., Ltd. Rare-earth oxide thermal spray coated articles and powders for thermal spraying
KR100460143B1 (ko) * 2002-08-02 2004-12-03 삼성전자주식회사 반도체 제조설비용 프로세스 챔버
JP3776856B2 (ja) 2002-09-13 2006-05-17 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US6837966B2 (en) * 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7137353B2 (en) * 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7204912B2 (en) * 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US7166166B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US20040060779A1 (en) * 2002-10-01 2004-04-01 Charles Kreger Distance compensating shim for clutch/brake and method of determining same
CN1249789C (zh) 2002-11-28 2006-04-05 东京毅力科创株式会社 等离子体处理容器内部件
US6894769B2 (en) * 2002-12-31 2005-05-17 Tokyo Electron Limited Monitoring erosion of system components by optical emission
US6806949B2 (en) * 2002-12-31 2004-10-19 Tokyo Electron Limited Monitoring material buildup on system components by optical emission
JP2004241203A (ja) * 2003-02-04 2004-08-26 Hitachi High-Technologies Corp プラズマ処理室壁処理方法
CN100418187C (zh) * 2003-02-07 2008-09-10 东京毅力科创株式会社 等离子体处理装置、环形部件和等离子体处理方法
US7029536B2 (en) * 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
WO2004095532A2 (en) 2003-03-31 2004-11-04 Tokyo Electron Limited A barrier layer for a processing element and a method of forming the same
JP4597972B2 (ja) 2003-03-31 2010-12-15 東京エレクトロン株式会社 処理部材上に隣接するコーティングを接合する方法。
WO2007013184A1 (ja) * 2005-07-29 2007-02-01 Tocalo Co., Ltd. Y2o3溶射皮膜被覆部材およびその製造方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113811979A (zh) * 2019-05-15 2021-12-17 应用材料公司 用于基板工艺腔室的杂散等离子体预防设备
CN112447474A (zh) * 2019-09-04 2021-03-05 中微半导体设备(上海)股份有限公司 一种具有可移动环的等离子体处理器
CN112447474B (zh) * 2019-09-04 2022-11-04 中微半导体设备(上海)股份有限公司 一种具有可移动环的等离子体处理器

Also Published As

Publication number Publication date
WO2004030014A2 (en) 2004-04-08
US7163585B2 (en) 2007-01-16
US6798519B2 (en) 2004-09-28
US7811428B2 (en) 2010-10-12
US20040060516A1 (en) 2004-04-01
KR20050067406A (ko) 2005-07-01
JP4585316B2 (ja) 2010-11-24
WO2004030014A3 (en) 2004-12-02
US20070102287A1 (en) 2007-05-10
US20040173155A1 (en) 2004-09-09
JP2006501648A (ja) 2006-01-12
CN100367446C (zh) 2008-02-06
AU2003274589A1 (en) 2004-04-19
AU2003274589A8 (en) 2004-04-19
KR100732260B1 (ko) 2007-06-25

Similar Documents

Publication Publication Date Title
CN1682340A (zh) 用于等离子体工艺系统中的光学窗口淀积屏蔽
CN1682339B (zh) 用于等离子体工艺系统中的改进的挡板的方法和装置
CN1685465A (zh) 等离子加工系统中用于改进的沉积罩的方法和设备
CN1682341A (zh) 用于等离子体处理系统中的改进的折流板的方法和设备
CN1685464A (zh) 等离子加工系统中的改进的上电极板的方法和装置
CN1682342A (zh) 等离子加工系统中带有沉积罩的上电极板
US7678226B2 (en) Method and apparatus for an improved bellows shield in a plasma processing system
CN100495413C (zh) 用于邻接在处理元件上的相邻覆层的方法
CN1853254A (zh) 用于改良的挡板的方法和装置
US7291566B2 (en) Barrier layer for a processing element and a method of forming the same
CN1849691A (zh) 包括改进聚焦环的方法和装置
CN1853253A (zh) 用于改良的电极板的方法和设备
CN1798863A (zh) 用于处理系统的自适用处理元件和其制造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term
CX01 Expiry of patent term

Granted publication date: 20080206