CN1685464A - 等离子加工系统中的改进的上电极板的方法和装置 - Google Patents

等离子加工系统中的改进的上电极板的方法和装置 Download PDF

Info

Publication number
CN1685464A
CN1685464A CNA038232456A CN03823245A CN1685464A CN 1685464 A CN1685464 A CN 1685464A CN A038232456 A CNA038232456 A CN A038232456A CN 03823245 A CN03823245 A CN 03823245A CN 1685464 A CN1685464 A CN 1685464A
Authority
CN
China
Prior art keywords
lead plate
battery lead
manufacture method
barrier layer
protective barrier
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA038232456A
Other languages
English (en)
Other versions
CN100555550C (zh
Inventor
三枝秀仁
高濑均
三桥康至
中山博之
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN1685464A publication Critical patent/CN1685464A/zh
Application granted granted Critical
Publication of CN100555550C publication Critical patent/CN100555550C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32559Protection means, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/3255Material

Abstract

本发明提供一种用于等离子加工系统的改进的上电极,其中,与上组件耦合的电极板的设计和制造可以在基本上对电极板造成最小腐蚀的条件下便利地提供加工气体的气体注入。

Description

等离子加工系统中的改进 的上电极板的方法和装置
对相关申请的交叉引用
本申请涉及:与本申请同一日期提交、代理人案件号为No.226272US6YA、发明名称为“等离子加工系统中的带有沉积罩的改进的上电极板的方法和装置”的共同未决的美国专利申请No.10/XXX,XXX;与本申请同一日期提交、代理人案件号为No.226274US6YA、发明名称为“等离子加工系统中的改进的挡板的方法和装置”的共同未决的美国专利申请No.10/XXX,XXX;与本申请同一日期提交、代理人案件号为No.228411US6YA、发明名称为“等离子加工系统中的改进的挡板的方法和装置”的共同未决的美国专利申请No.10/XXX,XXX;与本申请同一日期提交、代理人案件号为No.226275US6YA、发明名称为“等离子加工系统中的改进的沉积罩的方法和装置”的共同未决的美国专利申请No.10/XXX,XXX;与本申请同一日期提交、代理人案件号为No.226276US6YA、发明名称为“等离子加工系统中的改进的光学窗口沉积罩的方法和装置”的共同未决的美国专利申请No.10/XXX,XXX;与本申请同一日期提交、代理人案件号为No.226277US6YA、发明名称为“等离子加工系统中的改进的波纹管罩的方法和装置”的共同未决的美国专利申请No.10/XXX,XXX。在此将它们作为整体全部引入作为参考。
技术领域
本发明涉及用于等离子加工系统的改进的构件,更具体地,涉及在引入加工气体的等离子加工系统中使用的上电极。
背景技术
在半导体工业中的集成电路(IC)的制造中,一般在等离子体反应器内用等离子产生和辅助产生从衬底上去除材料或在衬底上沉积材料所必须的表面化学反应。通常地,通过在真空条件下将电子加热到具有足以维持与所施加的生产气体之间的电离碰撞的能量,在等离子体反应器中形成等离子体。并且,被加热的电子可以具有足以维持分解碰撞的能量,因此,选择预定条件(即,加工室压力、气体流速等)下的特定气体组,以产生适于加工室内进行的特定工艺(即,从衬底上去除材料的蚀刻工艺和将材料添加到材料上的沉积工艺)的带电物质组或化学反应物质组。
虽然要在衬底表面上完成等离子加工系统的功能(即,材料蚀刻、材料沉积等)必须形成带电物质(离子等)组或化学反应物质组,但加工室内部的其它构件表面暴露于物理和化学活性等离子中,并同时受到腐蚀。等离子加工系统中的暴露构件的腐蚀会导致等离子加工性能逐渐劣化并最终使系统彻底失效。
为了减少由于暴露于加工等离子体中而受到的损害,用保护性的阻挡层涂敷可能会暴露于加工等离子中的等离子加工系统的构件。例如,可以将由铝制成的构件阳极化,以产生更加耐受等离子的氧化铝的表面层。在另一例子中,可以将由硅、石英、氧化铝、碳或碳化硅等制成的可消耗或可替换的构件插入加工室内,以保护如果频繁更换成本会更高的较贵重的构件的表面。并且,所选择的表面材料要能减少将有害污染物、杂质等引入加工等离子中或在衬底上形成的器件中。
在两种情况下,由于保护性阻挡层的完整性或保护性阻挡层的制造的完整性问题而导致的保护性涂层的不可避免的失效,以及可替换构件的可消耗的性质,都要求对等离子加工系统进行频繁的维护。这种频繁的维护会产生与等离子加工停机和新装等离子加工室构件相关的成本,这种成本可能会过高。
发明内容
本发明提供一种用于等离子加工系统的改进的上电极,其中,上电极的设计和制造可以便利地克服上述缺点。
本发明的目的在于提供一种被配置为与等离子加工系统的上组件耦合的电极板,该电极板包括:用于将电极板耦合到上组件上的第一表面;与第一表面相对、包含被配置为面对等离子加工系统中的加工等离子的等离子表面和用于与等离子加工系统匹配的匹配表面的第二表面;和周缘。
电极板还包括一个或多个气体注入孔,其中各个气体注入孔包含用于接收加工气体的入口区域和用于将加工气体与等离子加工系统耦合的出口区域,该出口区域包含注入表面。
电极板还包括多个用于为了将电极板固定到上组件上而接收固定器件的固定接收件。
电极板还包括与第一表面耦合、被配置为接收加工气体、并被配置为将加工气体分配到一个或多个气体注入孔的压气空腔。
电极板还包括与电极板的第一表面耦合、并被配置为用上组件密封电极板的第一密封特征部分。
电极板还包括诊断端口、和与电极板的第一表面耦合并被配置为用上组件密封诊断端口的第二密封特征部分。诊断端口可以包含入口空腔和包含内表面的出口通孔。
电极板还包括在面对加工等离子的电极板的多个暴露表面上形成的保护性阻挡层。
本发明的另一目的在于,电极板的多个暴露表面可以包含电极板的第二表面的等离子表面。另外,暴露表面还可以包含一个或多个气体注入孔中的出口区域的注入表面和诊断端口中的出口通孔的内表面。
本发明提供一种等离子加工系统中的电极板的制造方法,该方法包括以下步骤:制造电极板;阳极化电极板,以在电极板上形成表面阳极化层;加工电极板上的暴露表面,以去除表面阳极化层;以及在暴露表面上形成保护性阻挡层。本发明也可以选择性地包括加工除压气空腔、第一密封特征部分和第二密封特征部分以外的电极板的第一表面的步骤。
本发明提供另一种等离子加工系统中的电极板的制造方法,该制造方法包括以下步骤:制造电极板;遮住电极板上的暴露表面,以防止形成表面阳极化层;阳极化电极板,以在电极板上形成表面阳极化层;露出暴露表面;以及在暴露表面上形成保护性阻挡层。本发明还可以选择性地包括遮住其它非暴露的表面(例如,除压气空腔、第一密封特征部分和第二密封特征部分以外的电极板的第一表面)的步骤。
本发明提供另一种等离子加工系统中的上电极用的电极板的制造方法,该制造方法包括以下步骤:制造电极板;在暴露表面上形成保护性阻挡层。
本发明还可以包括将用于制备接收保护性阻挡层的暴露表面的加工工艺和遮住工艺组合的步骤、和随后在暴露表面上形成保护性阻挡层的步骤。例如,可以在阳极化前遮住两个暴露表面,并且可以在阳极化之后加工两个表面,以产生其上形成保护性阻挡层的四个暴露表面。
附图说明
通过以下详细说明并结合以下附图,本发明的这些和其它优点将变得更加明显且更加易于理解。
图1是包括包含根据本发明的实施例的电极板的上电极的等离子加工系统的简化框图;
图2是根据本发明的实施例的用于等离子加工系统的电极板的平面图;
图3是根据本发明的实施例的用于等离子加工系统的电极板的断面图;
图4是根据本发明的实施例的用于等离子加工系统的电极板的匹配表面和等离子表面的剖视图;
图5是根据本发明的实施例的用于等离子加工系统的电极板中的气体注入孔的剖视图;
图6是根据本发明的实施例的用于等离子加工系统的电极板中的诊断端口的出口通孔的剖视图;
图7表示根据本发明的实施例的用于等离子加工系统的电极板的加工方法;
图8表示根据本发明的另一实施例的用于等离子加工系统的电极板的制造方法;
图9表示根据本发明的另一实施例的用于等离子加工系统的电极板的制造方法。
具体实施方式
根据本发明的实施例,图1示出等离子加工系统1,该等离子加工系统包括等离子加工室10、上组件20、电极板24、用于支撑衬底35的衬底支持器30、和与真空泵(未示出)耦合以向等离子加工室内提供低压空气11的泵送管道40。等离子加工室10可以便于在邻近衬底35的加工空间12中形成加工等离子。等离子加工系统1可以加工各种衬底(即,200mm衬底、300mm衬底或更大的衬底)。
在示例性实施例中,上组件20可以包括盖子、气体注入组件、和上电极阻抗匹配网络中的至少一个。例如,电极板24可以与RF源耦合,并便于等离子加工系统1的上电极的工作。在另一替代性实施例中,上组件20包括盖子和电极板24,其中电极板24保持为与等离子加工室10的电势相等的电势。例如,等离子加工室10、上组件20和电极板24可以电连接到地电位,并便于等离子加工系统1的上电极的工作。
例如,等离子加工室10还可以包括用于保护等离子加工室10使其不受加工空间12中的加工等离子冲击的沉积罩14和光学观察口16。光学观察口16可以包含与光学窗口沉积罩18的后面耦合的光学窗口17,并且光学窗缘(flange)19可以配置为将光学窗口17耦合到光学窗口沉积罩18上。可以在光学窗缘19和光学窗口17之间、光学窗口17和光学窗口沉积罩18之间、以及光学窗口沉积罩18和等离子加工室10之间,设置诸如O形环的密封构件。光学窗口沉积罩18可以穿过沉积罩14中的开口70。例如,光学观察口16可以监视加工空间12中的加工等离子的光发射情况。
衬底支持器30还可以例如包括由波纹管(bellows)52围绕的垂直位移装置50,该波纹管与衬底支持器30和等离子加工室10耦合,并且被配置为密封垂直位移装置50使其不受等离子加工室10内的低压空气(reduced pressure atmosphere)11影响。另外,波纹管罩54可以例如与衬底支持器30耦合,并被配置以保护波纹管52使其不受加工等离子的影响。衬底支持器10可以例如进一步与聚集环60和屏蔽环62中的至少一个耦合。并且,挡板64可以在衬底支持器的周围延伸。
例如,可以通过自动衬底转移系统经过槽阀(未示出)和加工室馈送(未示出)将衬底35转移到等离子加工室10内或等离子加工室10外,其中衬底被安置在衬底支持器30中的衬底提销(lift pins)接收,并被安置在其中的装置机械地移动。一旦从衬底转移系统接收到衬底35,就将其放置到衬底支持器30的上表面上。
例如,可以通过静电夹持系统将衬底35固定到衬底支持器30上。并且,衬底支持器30还可以例如包括包含再循环冷却液液流的冷却系统,该再循环冷却液液流从衬底支持器30接收热量并将热量转移到热交换系统(未示出),或者,当加热时,从热交换系统中转移热量。并且,例如,可以通过后部气体系统将气体输送到衬底的后部,以改善衬底35和衬底支持器30之间的气体间热传导性。当需要在高温或低温条件下控制衬底的温度时可以使用这种系统。在其它实施例中,可以包括诸如电阻加热元件的加热元件或热电器/冷却器。
在图1中所示的示例性实施例中,衬底支持器30可以包含这样一种电极,即,RF功率通过该电极与加工空间12中的加工等离子耦合。例如,可以通过经由阻抗匹配网络(未示出)到衬底支持器30的来自RF发生器(未示出)的RF功率的传输以RF电压电偏置衬底支持器30。RF偏压可以用于加热电子以形成和维持等离子。在这种结构中,系统可以用作反应离子蚀刻(RIE)反应器,其中,加工室和上气体注入电极作为接地表面。RF偏压的典型频率的范围可以为1MHz~100MHz,优选为13.56MHz。等离子加工用的RF系统对于本领域技术人员是公知的。
另外,可以使用平行板、电容耦合等离子源、电感耦合等离子(ICP)源及其任何组合带有和不带有直流磁铁系统地形成加工空间12中的加工等离子。另外,可以利用电子回旋加速器共振(ECR)形成加工空间12中的加工等离子。在其它实施例中,通过螺旋波的发射形成加工空间12中的加工等离子。在其它实施例中,通过传播的表面波形成加工空间12中的加工等离子。
现在参照图2(平面图)和图3(横截面图)中所示的本发明的示例性实施例,电极板24包括具有用于将电极板24耦合到上组件20上的耦合表面82a的第一表面82、包含被配置为面对等离子加工室10中的加工等离子的等离子表面90和用于将电极板80与等离子加工室10匹配的匹配表面92的第二表面88、和周缘94。
图4是匹配表面92和邻近电极板24的周缘94的等离子表面90的放大图。
继续参照图2和图3,如图5所示,电极板24还包括与压气(plenum)表面82b和第二表面88耦合的一个或多个气体注入孔100,其中各个气体注入孔100包括用于接收加工气体的入口区域102和用于将加工气体耦合到等离子加工室10的出口区域104,该出口区域104包含邻近等离子表面90的注入表面106。加工气体可以例如包含如氩气、CF4和O2的混合气、或用于氧化蚀刻的氩气、C4F8和O2、或诸如O2/CO/Ar/C4F8、O2/Ar/C4F8、O2/CO/AR/C5F8、O2/CO/Ar/C4F6、O2/Ar/C4F6、N2/H2、N2/O2等的其它化学物质。
例如,在电极板24内形成的气体注入孔100的数量为1~10000。气体注入孔100的数量优选为50~500,并且,气体注入孔100的数量更优选为至少100。并且,例如,气体注入孔的直径可以为0.1~20mm。该直径优选为0.5~5mm,且更优选为0.5~2mm。另外,例如,气体注入孔的长度为1~20mm。该长度优选为2~15mm,且更优选为3~12mm。
另外,如图3所示,电极板24包含具有作为第一表面82的一部分的压气表面82b、被配置为接收加工气体、并被配置为将加工气体分配到多个气体注入孔100的压气空腔84。
另外,电极板24可以包含与电极板24的耦合表面82a耦合、并被配置为密封上组件20与电极板24的第一密封特征部分(feature)86。第一密封特征部分可以例如包含用于接收O形环的燕尾形截面或矩形截面。在替代性实施例中,为了改善电极板24和上电极20之间的电耦合,电耦合特征部分(未示出)可以与电极板24的耦合表面82a形成一个整体。例如,电耦合特征部分可以包含真空加工领域技术人员所公知的Spirashield(可从Spira制造公司购得)。
为了将电极板24固定到上组件20上,电极板24还可以包括多个用于接收固定装置(诸如螺栓)(未示出)的固定接收件(receptor)110。例如,在电极板24内形成的固定接收件110的数量为1~100。固定接收件110的数量可以优选为5~20;并且,固定接收件110的数量更优选为至少8个。
电极板24还可以包括诊断端口(diagnostic port)120、和与电极板24的耦合表面82a耦合并被配置为密封诊断端口120与上组件20的第二密封特征部分122。如图6所示,诊断端口120可以包含入口空腔124和包含邻近等离子表面90的内表面128的出口通孔126。类似地,第二密封特征部分可以例如包含被配置为接收O形环的燕尾截面或矩形截面。可以将诊断端口120用于耦合诊断系统(未示出)与等离子加工室10的低压空气11。例如,诊断系统可以包含压力计。
另外,为了准确地将电极板24耦合到上组件20上,电极板24可以例如包含一个或多个定位特征部分130。例如,该一个或多个定位特征部分130可以包含两个图2所示的插槽。
如图5和图6所示,多个暴露表面140可以包含电极板24的第二表面88的等离子表面90、一个或多个气体注入孔100的注入表面106和诊断端口120的内表面128。在替代性方案中,暴露表面包含电极板24上的所有表面。
现在参照图2~6,电极板24还包含在电极板24的暴露表面140上形成的保护性阻挡层150。在本发明的一个实施例中,保护性阻挡层150可以包含含有Al2O3等的铝的氧化物的化合物。在本发明的另一个实施例中,保护性阻挡层150包含Al2O3和Y2O3的混合物。在本发明的另一个实施例中,保护性阻挡层150包含第III族元素(元素周期表中的第三栏)和镧系元素中的至少一个。在本发明的另一个实施例中,第三族元素包含钇、钪和镧的至少一种。在本发明的另一实施例中,镧系元素包含铈、镝、铕的至少一种。在本发明的另一实施例中,形成保护性阻挡层150的化合物包含氧化钇(Y2O3)、Sc2O3、Sc2F3、YF3、La2O3、CeO2、Eu2O3和DyO3中的至少一种。
在本发明的一个实施例中,在电极板24上形成的保护性阻挡层150包含最小厚度,其中可以将沿暴露表面140的至少一个的最小厚度规定为恒定值。在另一实施例中,沿各暴露表面140的最小厚度是变化的。在替代性实施例中,最小厚度在暴露表面的第一部分之上是恒定的,而在暴露表面的第二部分之上是变化的。例如,厚度可以在曲面上、拐角上或孔中变化。例如,最小厚度可以为0.5~500微米。最小厚度优选为100~200微米;并且,最小厚度更优选为至少120微米。
图7说明根据本发明的实施例、图1中所述的等离子加工系统中的电极板的制造方法。流程图300从制造电极板(例如,具有参照图2~6所述的电极板的特性的电极板)的310开始。制造电极板可以包含机械加工、铸造、抛光、锻造或研磨中的至少一个。例如可以使用包含铣床、车床等的常规技术、根据机械制图上说明的规范加工上述各个元件。使用诸如铣床或车床加工构件的技术对于机械加工领域技术人员是公知的。例如,可以用铝制造电极板。
在320中,阳极化电极板,以形成表面阳极化层。例如,当用铝制造电极板时,表面阳极化层包含氧化铝(Al2O3)。阳极化铝构件的方法对于表面阳极化领域技术人员是公知的。
在330中,使用标准加工技术从暴露表面去除表面阳极化层。在相同的机械加工步骤中,或在不同的机械加工步骤中,也可以加工(即,产生在加工表面上提供良好的机械接触或电接触中的至少一个的平整或裸露表面)其它表面(即,电极板的不包括压气空腔、第一密封特征部分和第二密封特征部分的第一表面)。
在340中,在暴露表面140上形成保护性阻挡层150(如上所述)。可以使用陶瓷喷涂技术领域技术人员公知的(热)喷涂技术形成包括氧化钇等的保护性阻挡层。在替代性实施例中,形成保护性阻挡层还可以包括抛光热溅射涂层。例如,抛光热溅射涂层可以包括用砂纸对溅射表面进行加工。
图8说明根据本发明的实施例、图1中所述的等离子加工系统中的电极板的制造方法。流程图400从制造电极板(例如,具有参照图2~6所述的电极板的特性的电极板)的410开始。制造电极板可以包含机械加工、铸造、抛光、锻造或研磨中的至少一个。例如可以使用包含铣床、车床等的常规技术、根据机械制图上说明的规范加工上述各个元件。使用诸如铣床或车床加工构件的技术对于机械加工领域技术人员是公知的。例如,可以用铝制造电极板。
在420中,遮住(mask)暴露表面140,以防止在其上形成表面阳极化层。表面遮住和露出(unmask)对于表面涂层和表面阳极化领域技术人员是公知的。在相同的遮住步骤中,或在不同的遮住步骤中,也可以遮住(即,保持在加工表面上提供良好的机械接触或电接触中的至少一个的平整或裸露表面)其它表面(即,电极板的除增加空腔、第一密封特征部分和第二密封特征部分以外的第一表面)
在430中,阳极化电极板,以在剩余的未遮住的表面上形成表面阳极化层。例如,当用铝制造带有沉积罩的电极板时,表面阳极化层包含氧化铝(Al2O3)。阳极化铝构件的方法对于表面阳极化领域技术人员是公知的。
在440中,露出暴露表面140,并在暴露表面140上形成保护性阻挡层150。可以使用陶瓷喷涂技术领域技术人员公知的(热)喷涂技术形成包括氧化钇等的保护性阻挡层。在替代性实施例中,形成保护性阻挡层还可以包括抛光热溅射涂层。例如,抛光热溅射涂层可以包括用砂纸对溅射表面进行加工。
图9说明根据本发明的实施例、图1中所述的等离子加工系统中的电极板的制造方法。流程图500从制造电极板(例如,具有参照图2~6所述的电极板的特性的电极板)的510开始。制造电极板可以包含机械加工、铸造、抛光、锻造或研磨中的至少一个。例如可以使用包含铣床、车床等的常规技术、根据机械制图上说明的规范加工上述各个元件。使用诸如铣床或车床加工构件的技术对于机械加工领域技术人员是公知的。例如,可以用铝制造电极板。
在520中,在电极板的暴露表面145上形成保护性阻挡层150(如上所述)。可以使用陶瓷喷涂技术领域的技术人员公知的(热)喷涂技术形成包括氧化钇等的保护性阻挡层。在替代性实施例中,形成保护性阻挡层的步骤还可以包括抛光热溅射涂层。例如,抛光热溅射涂层的步骤可以包括用砂纸对溅射表面进行加工。
在本发明的替代性实施例中,通过遮住工艺和机械加工的组合来制备适当数量的用保护性阻挡层150保护的表面。例如,可以遮住电极板的第二表面的等离子表面,以防止在其上形成阳极化层,同时,在阳极化后加工多个气体注入孔中的出口区域的注入表面,以形成裸露的暴露表面。
没有必要按照顺序在暴露表面140上形成保护性阻挡层,也可以加工其上已形成阳极化层的其它非暴露表面,或在进行阳极化之前掩盖其它非暴露表面(例如,为了为构件之间的电连接或机械连接提供裸露表面)。这些表面可以包含密封或匹配特征部分的表面。
虽然上面详细说明了本发明的一定的示例性实施例,但本领域技术人员很容易理解,在不实质性背离本发明的新颖性技术和优点的基础上,可以对示例性实施例进行各种修改。因此,本发明的范围应包含这些修改。

Claims (79)

1.一种用于等离子加工系统的上电极,该上电极包括:
电极板,该电极板包含用于将所述电极板耦合到上组件的第一表面、包含被配置为面对所述等离子加工中的加工空间的等离子表面和用于将所述电极板与所述等离子加工系统匹配的匹配表面的第二表面、周缘、和与所述第一表面和所述第二表面耦合并被配置为将加工气体耦合到所述加工空间的一个或多个气体注入孔;和
耦合到所述电极板的多个暴露表面上的保护性阻挡层,所述暴露表面包含所述等离子表面。
2.根据权利要求1的上电极,其特征在于,所述电极板还包括与所述第一表面耦合、被配置为接收所述加工气体、并被配置为将所述加工气体分配到一个或多个气体注入孔的压气空腔。
3.根据权利要求1的上电极,其特征在于,所述电极板还包括与所述第一表面耦合并被配置为将所述电极板密封到所述上组件的第一密封特征部分。
4.根据权利要求1的上电极,其特征在于,所述电极板还包括用于将诊断系统耦合到所述等离子加工系统的诊断端口和用于将所述诊断端口密封到所述上组件上的第二密封特征部分。
5.根据权利要求1的上电极,其特征在于,所述保护性阻挡层包含含有第III族元素和镧系元素中的至少一个的化合物。
6.根据权利要求5的上电极,其特征在于,所述第III族元素包含钇、钪和镧中的至少一个。
7.根据权利要求5的上电极,其特征在于,所述镧系元素包含铈、镝和铕中的至少一个。
8.根据权利要求1的上电极,其特征在于,所述保护性阻挡层包含氧化钇(Y2O3)、Sc2O3、Sc2F3、YF3、La2O3、CeO2、Eu2O3和DyO3中的至少一个。
9.根据权利要求1的上电极,其特征在于,所述气体注入孔包含入口区域和出口区域,其中所述出口区域包含注入表面。
10.根据权利要求1的上电极,其特征在于,所述诊断端口包含入口空腔和出口通孔,其中所述出口通孔包含内表面。
11.根据权利要求9的上电极,其特征在于,所述保护性阻挡层与所述气体注入孔的注入表面耦合。
12.根据权利要求10的上电极,其特征在于,所述保护性阻挡层与所述固定接收件的所述内表面耦合。
13.根据权利要求1的上电极,其特征在于,所述保护性阻挡层包含最小厚度,并且所述最小厚度沿所述暴露表面的至少一个是恒定值。
14.根据权利要求1的上电极,其特征在于,所述保护性阻挡层包含可变厚度,所述可变厚度的范围为0.5~500微米。
15.根据权利要求1的上电极,其特征在于,至少一个气体注入孔的直径为至少0.1mm。
16.根据权利要求1的上电极,其特征在于,至少一个气体注入孔的长度为至少1.0mm。
17.根据权利要求9的上电极,其特征在于,所述暴露表面还包含所述一个或多个气体注入孔的所述注入表面。
18.根据权利要求10的上电极,其特征在于,所述暴露表面还包含所述诊断端口的所述内表面。
19.根据权利要求1的上电极,其特征在于,所述电极板还包含金属。
20.根据权利要求19的上电极,其特征在于,所述金属包含铝。
21.根据权利要求1的上电极,其特征在于,所述第一表面包含阳极化层。
22.根据权利要求1的上电极,其特征在于,所述压气空腔包含阳极化层。
23.根据权利要求1的上电极,其特征在于,所述匹配表面包含金属表面。
24.一种用于等离子加工系统的电极板的制造方法,该方法包括以下步骤:
制造所述电极板,该电极板包含用于将所述电极板耦合到上组件的第一表面、包含被配置为面对所述等离子加工中的加工空间的等离子表面和用于将所述电极板与所述等离子加工系统匹配的匹配表面的第二表面、所述电极板的周缘、和与所述第一表面和所述第二表面耦合并被配置为将加工气体耦合到所述加工空间的一个或多个气体注入孔;和
在多个暴露表面上形成保护性阻挡层,所述暴露表面包含所述等离子表面。
25.根据权利要求24的电极板的制造方法,其特征在于,该方法还包括以下步骤:
阳极化所述电极板,以在所述电极板上形成阳极化层;以及
加工所述电极板上的所述暴露表面,以在所述暴露表面上形成所述保护性阻挡层之前去除所述表面阳极化层。
26.根据权利要求24的电极板的制造方法,其特征在于,该方法还包括以下步骤:
遮住所述电极板上的所述暴露表面;
阳极化所述电极板,以在所述电极板上形成表面阳极化层;和
在所述暴露表面上形成所述保护性阻挡层之前,露出所述暴露表面。
27.根据权利要求24的电极板的制造方法,其特征在于,所述制造方法包含机械加工、铸造、抛光、锻造和研磨中的至少一种。
28.根据权利要求24的电极板的制造方法,其特征在于,所述形成所述保护性阻挡层的步骤还包括抛光所述暴露表面的至少一个上的所述保护性阻挡层。
29.根据权利要求24的电极板的制造方法,其特征在于,所述电极板还包含与所述第一表面耦合、被配置为接收所述加工气体、并被配置为将所述加工气体分配到一个或多个气体注入孔的压气空腔。
30.根据权利要求24的电极板的制造方法,其特征在于,所述电极板还包括与所述第一表面耦合并被配置为将所述电极板密封到所述上组件的第一密封特征部分。
31.根据权利要求24的电极板的制造方法,其特征在于,所述电极板还包括用于将诊断系统耦合到所述等离子加工系统的诊断端口和用于将所述诊断端口密封到所述上组件的第二密封特征部分。
32.根据权利要求24的电极板的制造方法,其特征在于,所述气体注入孔包含入口区域和出口区域,其中所述出口区域包含注入表面。
33.根据权利要求31的电极板的制造方法,其特征在于,所述诊断端口包含入口空腔、出口通孔,其中所述出口通孔包含内表面。
34.根据权利要求32的电极板的制造方法,其特征在于,所述暴露表面还包含所述一个或多个气体注入孔的所述注入表面。
35.根据权利要求33的电极板的制造方法,其特征在于,所述暴露表面还包含所述诊断端口的所述内表面。
36.根据权利要求24的电极板的制造方法,其特征在于,所述电极板还包含金属。
37.根据权利要求36的电极板的制造方法,其特征在于,所述金属包含铝。
38.根据权利要求24的电极板的制造方法,其特征在于,所述保护性阻挡层包含含有第III族元素和镧系元素中的至少一个的化合物。
39.根据权利要求38的电极板的制造方法,其特征在于,所述第III族元素包含钇、钪和镧中的至少一个。
40.根据权利要求38的电极板的制造方法,其特征在于,所述镧系元素包含铈、镝和铕中的至少一个。
41.根据权利要求24的电极板的制造方法,其特征在于,所述保护性阻挡层包含氧化钇(Y2O3)、Sc2O3、Sc2F3、YF3、La2O3、CeO2、Eu2O3和DyO3中的至少一个。
42.根据权利要求24的电极板的制造方法,其特征在于,所述保护性阻挡层包含最小厚度,并且所述最小厚度沿所述暴露表面的至少一个是恒定值。
43.根据权利要求24的电极板的制造方法,其特征在于,所述保护性阻挡层包含可变的厚度,所述可变厚度的范围为0.5~500微米。
44.根据权利要求24的电极板的制造方法,其特征在于,所述第一表面包含阳极化层。
45.根据权利要求24的电极板的制造方法,其特征在于,所述压气空腔包含阳极化层。
46.根据权利要求49的电极板的制造方法,其特征在于,所述匹配表面包含金属表面。
47.根据权利要求24的电极板的制造方法,其特征在于,至少一个气体注入孔的直径为至少0.1mm。
48.根据权利要求24的电极板的制造方法,其特征在于,至少一个气体注入孔的长度为至少1.0mm。
49.根据权利要求24的电极板的制造方法,其特征在于,所述制造包含机械加工、铸造、抛光、锻造和研磨中的至少一种。
50.根据权利要求24的电极板的制造方法,其特征在于,所述形成保护性阻挡层还包含抛光所述暴露表面中的至少一个。
51.根据权利要求24的电极板的制造方法,其特征在于,所述暴露表面还包含保留在所述电极板上的所有表面。
52.一种可以与等离子加工系统的上组件耦合的电极板的制造方法,该方法包括以下步骤:
制造所述电极板,该电极板包含用于将所述电极板耦合到上组件上的第一表面、包含被配置为面对所述等离子加工中的加工空间的等离子表面和用于将所述电极板与所述等离子加工系统匹配的匹配表面的第二表面、所述电极板的周缘、和与所述第一表面和所述第二表面耦合并被配置为将加工气体耦合到所述加工空间的一个或多个气体注入孔;
阴极化所述电极板,以在所述电极板上形成表面阳极化层;
加工所述电极板上的暴露表面,以去除所述表面阳极化层,所述暴露表面包含所述电极板的所述第二表面的所述等离子表面;和
在暴露表面上形成保护性阻挡层。
53.根据权利要求52的电极板的制造方法,其特征在于,所述电极板还包含与所述第一表面耦合、被配置为接收所述加工气体、并被配置为将所述加工气体分配到一个或多个气体注入孔的压气空腔。
54.根据权利要求52的电极板的制造方法,其特征在于,所述电极板还包括与所述第一表面耦合并被配置为将所述电极板密封到所述上组件上的第一密封特征部分。
55.根据权利要求52的电极板的制造方法,其特征在于,所述电极板还包括用于将诊断系统耦合到所述等离子加工系统的诊断端口和用于将所述诊断端口密封到所述上组件上的第二密封特征部分。
56.根据权利要求52的电极板的制造方法,其特征在于,所述保护性阻挡层包含含有第III族元素和镧系元素中的至少一个的化合物。
57.根据权利要求56的电极板的制造方法,其特征在于,所述第III族元素包含钇、钪和镧中的至少一个。
58.根据权利要求56的电极板的制造方法,其特征在于,所述镧系元素包含铈、镝和铕中的至少一个。
59.根据权利要求56的电极板的制造方法,其特征在于,所述化合物包含氧化钇(Y2O3)、Sc2O3、Sc2F3、YF3、La2O3、CeO2、Eu2O3和DyO3中的至少一种。
60.根据权利要求52的电极板的制造方法,其特征在于,所述制造包含机械加工、铸造、抛光、锻造和研磨中的至少一种。
61.根据权利要求52的电极板的制造方法,其特征在于,所述形成保护性阻挡层还包含抛光所述暴露表面中的至少一个上的保护性阻挡层。
62.根据权利要求52的电极板的制造方法,其特征在于,所述气体注入孔包含入口区域、出口区域,其中所述出口区域包含注入表面。
63.根据权利要求55的电极板的制造方法,其特征在于,所述诊断端口包含入口空腔、出口通孔,其中所述出口通孔包含内表面。
64.根据权利要求62的电极板的制造方法,其特征在于,所述暴露表面还包含所述一个或多个气体注入孔的所述注入表面。
65.根据权利要求63的电极板的制造方法,其特征在于,所述暴露表面还包含所述诊断端口的所述内表面。
66.一种可以与等离子加工系统的上组件耦合的电极板的制造方法,该方法包括以下步骤:
制造所述电极板,该电极板包含用于将所述电极板耦合到上组件上的第一表面、包含被配置为面对所述等离子加工中的加工空间的等离子表面和用于将所述电极板与所述等离子加工系统匹配的匹配表面的第二表面、所述电极板的周缘、和与所述第一表面和所述第二表面耦合并被配置为将加工气体耦合到所述加工空间的一个或多个气体注入孔;
遮住所述电极板上的暴露表面,以防止形成表面阳极化层,所述暴露表面包含所述电极板的所述第二表面的所述等离子表面。
阴极化所述电极板,以在所述电极板上形成表面阳极化层;
露出所述暴露表面;和
在暴露表面上形成保护性阻挡层。
67.根据权利要求66的电极板的制造方法,其特征在于,所述电极板还包含与所述第一表面耦合、被配置为接收所述加工气体、并被配置为将所述加工气体分配到一个或多个气体注入孔的压气空腔。
68.根据权利要求66的电极板的制造方法,其特征在于,所述电极板还包括与所述第一表面耦合并被配置为将所述电极板密封到所述上组件上的第一密封特征部分。
69.根据权利要求66的电极板的制造方法,其特征在于,所述电极板还包括用于将诊断系统耦合到所述等离子加工系统的诊断端口和用于将所述诊断端口密封到所述上组件上的第二密封特征部分。
70.根据权利要求66的电极板的制造方法,其特征在于,所述保护性阻挡层包含含有第III族元素和镧系元素中的至少一个的化合物。
71.根据权利要求67的电极板的制造方法,其特征在于,所述第III族元素包含钇、钪和镧中的至少一个。
72.根据权利要求67的电极板的制造方法,其特征在于,所述镧系元素包含铈、镝和铕中的至少一个。
73.根据权利要求67的电极板的制造方法,其特征在于,所述化合物包含氧化钇(Y2O3)、Sc2O3、Sc2F3、YF3、La2O3、CeO2、Eu2O3和DyO3中的至少一个。
74.根据权利要求66的电极板的制造方法,其特征在于,所述制造包含机械加工、铸造、抛光、锻造和研磨中的至少一个。
75.根据权利要求66的电极板的制造方法,其特征在于,所述形成保护性阻挡层还包含抛光所述暴露表面中的至少一个上的保护性阻挡层。
76.根据权利要求66的电极板的制造方法,其特征在于,所述气体注入孔包含入口区域、出口区域,其中所述出口区域包含注入表面。
77.根据权利要求69的电极板的制造方法,其特征在于,所述诊断端口包含入口空腔、出口通孔,其中所述出口通孔包含内表面。
78.根据权利要求76的电极板的制造方法,其特征在于,所述暴露表面还包含一个或多个注入口的所述注入表面。
79.根据权利要求77的电极板的制造方法,其特征在于,所述暴露表面还包含所述诊断端口的所述内表面。
CNB038232456A 2002-09-30 2003-09-29 等离子加工系统中的改进的上电极板的方法和装置 Expired - Fee Related CN100555550C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/259,757 US7166200B2 (en) 2002-09-30 2002-09-30 Method and apparatus for an improved upper electrode plate in a plasma processing system
US10/259,757 2002-09-30

Publications (2)

Publication Number Publication Date
CN1685464A true CN1685464A (zh) 2005-10-19
CN100555550C CN100555550C (zh) 2009-10-28

Family

ID=32029554

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB038232456A Expired - Fee Related CN100555550C (zh) 2002-09-30 2003-09-29 等离子加工系统中的改进的上电极板的方法和装置

Country Status (6)

Country Link
US (2) US7166200B2 (zh)
JP (1) JP2006501645A (zh)
KR (1) KR100753704B1 (zh)
CN (1) CN100555550C (zh)
AU (1) AU2003269393A1 (zh)
WO (1) WO2004030011A2 (zh)

Families Citing this family (124)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW514996B (en) * 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
US20080213496A1 (en) * 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US20080264564A1 (en) 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US7137353B2 (en) * 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7166166B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US6798519B2 (en) * 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US6837966B2 (en) 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US7204912B2 (en) * 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
KR100772740B1 (ko) * 2002-11-28 2007-11-01 동경 엘렉트론 주식회사 플라즈마 처리 용기 내부재
US6844260B2 (en) * 2003-01-30 2005-01-18 Micron Technology, Inc. Insitu post atomic layer deposition destruction of active species
JP4532479B2 (ja) * 2003-03-31 2010-08-25 東京エレクトロン株式会社 処理部材のためのバリア層およびそれと同じものを形成する方法。
US20050019963A1 (en) * 2003-07-21 2005-01-27 Texas Instruments Incorporated Maintaining a reactor chamber of a chemical vapor deposition system
TW200526800A (en) * 2003-12-15 2005-08-16 Applied Materials Inc Edge flow faceplate for improvement of CVD film properties
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US7552521B2 (en) * 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
US7601242B2 (en) * 2005-01-11 2009-10-13 Tokyo Electron Limited Plasma processing system and baffle assembly for use in plasma processing system
US20060225654A1 (en) * 2005-03-29 2006-10-12 Fink Steven T Disposable plasma reactor materials and methods
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
US7696117B2 (en) * 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US8367227B2 (en) 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
US7754518B2 (en) * 2008-02-15 2010-07-13 Applied Materials, Inc. Millisecond annealing (DSA) edge protection
JP2009200184A (ja) * 2008-02-20 2009-09-03 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理装置のバッフル板
US7987814B2 (en) * 2008-04-07 2011-08-02 Applied Materials, Inc. Lower liner with integrated flow equalizer and improved conductance
JP5102706B2 (ja) * 2008-06-23 2012-12-19 東京エレクトロン株式会社 バッフル板及び基板処理装置
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8161906B2 (en) 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8206506B2 (en) 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8402918B2 (en) * 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US8617347B2 (en) * 2009-08-06 2013-12-31 Applied Materials, Inc. Vacuum processing chambers incorporating a moveable flow equalizer
TWM412457U (en) * 2009-09-18 2011-09-21 Lam Res Corp Showerhead electrode for use in a plasma reaction chamber and showerhead electrode assembly
JP3160877U (ja) 2009-10-13 2010-07-15 ラム リサーチ コーポレーションLam Research Corporation シャワーヘッド電極アセンブリの端部クランプ留めおよび機械固定される内側電極
US20110198034A1 (en) * 2010-02-11 2011-08-18 Jennifer Sun Gas distribution showerhead with coating material for semiconductor processing
JP5198611B2 (ja) * 2010-08-12 2013-05-15 株式会社東芝 ガス供給部材、プラズマ処理装置およびイットリア含有膜の形成方法
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
JP2012119590A (ja) * 2010-12-02 2012-06-21 Mitsubishi Materials Corp プラズマ処理装置用電極板
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
TWI480417B (zh) 2012-11-02 2015-04-11 Ind Tech Res Inst 具氣幕之氣體噴灑裝置及其薄膜沉積裝置
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
JP6339866B2 (ja) * 2014-06-05 2018-06-06 東京エレクトロン株式会社 プラズマ処理装置およびクリーニング方法
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US11384432B2 (en) * 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) * 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN111627790A (zh) * 2019-02-27 2020-09-04 Toto株式会社 半导体制造装置构件、半导体制造装置、显示器制造装置
US20210032750A1 (en) * 2019-07-31 2021-02-04 Taiwan Semiconductor Manufacturing Co., Ltd. Deposition apparatus and method of forming metal oxide layer using the same
US11859284B2 (en) * 2019-08-23 2024-01-02 Taiwan Semiconductor Manufacturing Company Ltd. Shower head structure and plasma processing apparatus using the same

Family Cites Families (154)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4310390A (en) 1977-08-10 1982-01-12 Lockheed Corporation Protective coating process for aluminum and aluminum alloys
US4357387A (en) 1981-08-20 1982-11-02 Subtex, Inc. Flame resistant insulating fabric compositions prepared by plasma spraying
JPS5857491A (ja) 1981-09-30 1983-04-05 Sony Corp 緑色螢光体の製造方法
JPS59186325A (ja) * 1983-04-01 1984-10-23 コンパニ−・アンデユストリエル・デ・テレコミユニカシオン・セイテ−アルカテル ドライエツチング装置
JPH065155B2 (ja) 1984-10-12 1994-01-19 住友金属工業株式会社 窯炉の炉壁補修装置
US4593007A (en) 1984-12-06 1986-06-03 The Perkin-Elmer Corporation Aluminum and silica clad refractory oxide thermal spray powder
US4612077A (en) * 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
US4985102A (en) 1986-07-17 1991-01-15 Du Pont Canada Inc. Method of making fiber reinforced polyamide sheets
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4842683A (en) 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US4877757A (en) 1987-07-16 1989-10-31 Texas Instruments Incorporated Method of sequential cleaning and passivating a GaAs substrate using remote oxygen plasma
EP0407945B1 (en) 1989-07-11 1995-01-04 Sony Corporation Method of heat-treating an oxide optical crystal and a heat treatment apparatus for carrying out the same
US5334462A (en) 1989-09-08 1994-08-02 United Technologies Corporation Ceramic material and insulating coating made thereof
US5556501A (en) 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5126102A (en) * 1990-03-15 1992-06-30 Kabushiki Kaisha Toshiba Fabricating method of composite material
GB2242443B (en) * 1990-03-28 1994-04-06 Nisshin Flour Milling Co Coated particles of inorganic or metallic materials and processes of producing the same
US5180467A (en) * 1990-08-08 1993-01-19 Vlsi Technology, Inc. Etching system having simplified diffuser element removal
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
DE69213802T2 (de) * 1991-04-09 1997-02-27 Ngk Insulators Ltd Verwendung einer Oxidschicht zur Verbesserung der Oxydation- und Korrosionswiderstand einer Gasturbinenschaufeln aus Siliziumnitrid
WO1993024275A1 (en) 1992-06-01 1993-12-09 Ice Blast International Ltd. Particle blasting utilizing crystalline ice
KR100276093B1 (ko) 1992-10-19 2000-12-15 히가시 데쓰로 플라스마 에칭방법
US5725960A (en) 1992-12-28 1998-03-10 Nippon Zeon Co., Ltd. Molded articles having hard coat layer and method for producing same
US5366585A (en) 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5362335A (en) 1993-03-25 1994-11-08 General Motors Corporation Rare earth coating process for aluminum alloys
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5891253A (en) 1993-05-14 1999-04-06 Applied Materials, Inc. Corrosion resistant apparatus
US5551190A (en) 1993-05-19 1996-09-03 Ohi Seisakusho Co., Ltd. Slide door driving system
US5614055A (en) 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
JP3228644B2 (ja) * 1993-11-05 2001-11-12 東京エレクトロン株式会社 真空処理装置用素材及びその製造方法
US5484752A (en) 1993-11-12 1996-01-16 Ube Industries, Ltd. Ceramic composite material
JP3308091B2 (ja) 1994-02-03 2002-07-29 東京エレクトロン株式会社 表面処理方法およびプラズマ処理装置
US5798016A (en) 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US5680013A (en) 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
US5651723A (en) 1994-04-13 1997-07-29 Viratec Thin Films, Inc. Method and apparatus for cleaning substrates in preparation for deposition of thin film coatings
US5521790A (en) 1994-05-12 1996-05-28 International Business Machines Corporation Electrostatic chuck having relatively thick and thin areas and means for uniformly cooling said thick and thin areas during chuck anodization
WO1995031822A1 (fr) 1994-05-17 1995-11-23 Hitachi, Ltd. Dispositif et procede de traitement au plasma
US5641375A (en) 1994-08-15 1997-06-24 Applied Materials, Inc. Plasma etching reactor with surface protection means against erosion of walls
US5885356A (en) 1994-11-30 1999-03-23 Applied Materials, Inc. Method of reducing residue accumulation in CVD chamber using ceramic lining
US5891350A (en) * 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
US5902763A (en) 1995-01-19 1999-05-11 Ube Industries, Inc. Fused ceramic composite
JPH08227874A (ja) * 1995-02-21 1996-09-03 Mitsubishi Electric Corp 真空処理装置および真空処理方法
US5759360A (en) 1995-03-13 1998-06-02 Applied Materials, Inc. Wafer clean sputtering process
US6296740B1 (en) 1995-04-24 2001-10-02 Si Diamond Technology, Inc. Pretreatment process for a surface texturing process
US5534356A (en) * 1995-04-26 1996-07-09 Olin Corporation Anodized aluminum substrate having increased breakdown voltage
JP3208044B2 (ja) * 1995-06-07 2001-09-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
TW323387B (zh) * 1995-06-07 1997-12-21 Tokyo Electron Co Ltd
JP3164200B2 (ja) * 1995-06-15 2001-05-08 住友金属工業株式会社 マイクロ波プラズマ処理装置
DE19529627C1 (de) 1995-08-11 1997-01-16 Siemens Ag Thermisch leitende, elektrisch isolierende Verbindung und Verfahren zu seiner Herstellung
EP0777258A3 (en) 1995-11-29 1997-09-17 Applied Materials Inc Self-cleaning plasma processing reactor
US5894887A (en) 1995-11-30 1999-04-20 Applied Materials, Inc. Ceramic dome temperature control using heat pipe structure and method
US6373573B1 (en) 2000-03-13 2002-04-16 Lj Laboratories L.L.C. Apparatus for measuring optical characteristics of a substrate and pigments applied thereto
US5985102A (en) 1996-01-29 1999-11-16 Micron Technology, Inc. Kit for electrically isolating collimator of PVD chamber, chamber so modified, and method of using
US5955182A (en) 1996-02-05 1999-09-21 Kabushiki Kaisha Toshiba Heat resisting member and its production method
JPH09235662A (ja) 1996-02-28 1997-09-09 Nittetsu Hard Kk 溶射皮膜の形成方法
CN1074689C (zh) 1996-04-04 2001-11-14 E·O·帕通电子焊接研究院电子束工艺国际中心 基体上制备有跨厚度化学组成和结构梯度并陶瓷外层方法
US6108189A (en) 1996-04-26 2000-08-22 Applied Materials, Inc. Electrostatic chuck having improved gas conduits
US5968377A (en) 1996-05-24 1999-10-19 Sekisui Chemical Co., Ltd. Treatment method in glow-discharge plasma and apparatus thereof
US5892278A (en) 1996-05-24 1999-04-06 Dai Nippon Printingco., Ltd. Aluminum and aluminum alloy radiator for semiconductor device and process for producing the same
US5820723A (en) * 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5952060A (en) 1996-06-14 1999-09-14 Applied Materials, Inc. Use of carbon-based films in extending the lifetime of substrate processing system components
JPH104083A (ja) * 1996-06-17 1998-01-06 Kyocera Corp 半導体製造用耐食性部材
JP3241270B2 (ja) 1996-06-25 2001-12-25 日本政策投資銀行 熱電変換装置
US5885402A (en) 1996-07-17 1999-03-23 Applied Materials Diagnostic head assembly for plasma chamber
US5904778A (en) * 1996-07-26 1999-05-18 Applied Materials, Inc. Silicon carbide composite article particularly useful for plasma reactors
US5882411A (en) * 1996-10-21 1999-03-16 Applied Materials, Inc. Faceplate thermal choke in a CVD plasma reactor
US6120640A (en) 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
US6301004B1 (en) 2000-05-31 2001-10-09 Lj Laboratories, L.L.C. Apparatus and method for measuring optical characteristics of an object
US5925228A (en) 1997-01-09 1999-07-20 Sandia Corporation Electrophoretically active sol-gel processes to backfill, seal, and/or densify porous, flawed, and/or cracked coatings on electrically conductive material
US5800621A (en) 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
US5843239A (en) 1997-03-03 1998-12-01 Applied Materials, Inc. Two-step process for cleaning a substrate processing chamber
US5900064A (en) 1997-05-01 1999-05-04 Applied Materials, Inc. Plasma process chamber
US5851343A (en) 1997-05-16 1998-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Protective shield around the inner edge of endpoint window in a plasma etching chamber
US5994662A (en) 1997-05-29 1999-11-30 Applied Materials, Inc. Unique baffle to deflect remote plasma clean gases
US6143646A (en) 1997-06-03 2000-11-07 Motorola Inc. Dual in-laid integrated circuit structure with selectively positioned low-K dielectric isolation and method of formation
JP3707229B2 (ja) 1997-06-27 2005-10-19 コニカミノルタビジネステクノロジーズ株式会社 電子写真感光体およびこれを用いた電子写真画像形成装置
JP3362113B2 (ja) 1997-07-15 2003-01-07 日本碍子株式会社 耐蝕性部材、ウエハー設置部材および耐蝕性部材の製造方法
JPH1136076A (ja) 1997-07-16 1999-02-09 Tokyo Electron Ltd Cvd成膜装置およびcvd成膜方法
US6161500A (en) 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6106625A (en) 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
KR100258984B1 (ko) 1997-12-24 2000-08-01 윤종용 건식 식각 장치
JP4217299B2 (ja) 1998-03-06 2009-01-28 東京エレクトロン株式会社 処理装置
US6129808A (en) 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
KR100265288B1 (ko) * 1998-04-22 2000-10-02 윤종용 반도체소자 제조용 식각장치의 배플
JP4037956B2 (ja) 1998-04-28 2008-01-23 東海カーボン株式会社 チャンバー内壁保護部材
JP3810039B2 (ja) 1998-05-06 2006-08-16 キヤノン株式会社 ステージ装置
US6246479B1 (en) 1998-06-08 2001-06-12 Lj Laboratories, L.L.C. Integrated spectrometer assembly and methods
US6182603B1 (en) 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6335293B1 (en) 1998-07-13 2002-01-01 Mattson Technology, Inc. Systems and methods for two-sided etch of a semiconductor substrate
US6123791A (en) 1998-07-29 2000-09-26 Applied Materials, Inc. Ceramic composition for an apparatus and method for processing a substrate
US6389506B1 (en) 1998-08-07 2002-05-14 Cisco Technology, Inc. Block mask ternary cam
JP4162773B2 (ja) 1998-08-31 2008-10-08 東京エレクトロン株式会社 プラズマ処理装置および検出窓
US6170429B1 (en) 1998-09-30 2001-01-09 Lam Research Corporation Chamber liner for semiconductor process chambers
US6383964B1 (en) * 1998-11-27 2002-05-07 Kyocera Corporation Ceramic member resistant to halogen-plasma corrosion
US6123804A (en) 1999-02-22 2000-09-26 Applied Materials, Inc. Sectional clamp ring
US6221202B1 (en) 1999-04-01 2001-04-24 International Business Machines Corporation Efficient plasma containment structure
JP3911902B2 (ja) * 1999-04-16 2007-05-09 東京エレクトロン株式会社 処理装置及び金属部品の表面処理方法
JP4055880B2 (ja) * 1999-06-02 2008-03-05 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理監視用窓部材及びプラズマ処理装置用の電極板
US6444083B1 (en) 1999-06-30 2002-09-03 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacturing thereof
US6387817B1 (en) * 1999-09-07 2002-05-14 Agere Systems Guardian Corp. Plasma confinement shield
JP4285853B2 (ja) * 1999-09-08 2009-06-24 東京エレクトロン株式会社 処理方法
US6296716B1 (en) 1999-10-01 2001-10-02 Saint-Gobain Ceramics And Plastics, Inc. Process for cleaning ceramic articles
US6364949B1 (en) * 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
US6265757B1 (en) 1999-11-09 2001-07-24 Agere Systems Guardian Corp. Forming attached features on a semiconductor substrate
JP3798597B2 (ja) * 1999-11-30 2006-07-19 富士通株式会社 半導体装置
TW514996B (en) * 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
JP3510993B2 (ja) 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法
US6519037B2 (en) 1999-12-23 2003-02-11 Lj Laboratories, Llc Spectrometer having optical unit including a randomized fiber optic implement
US6362888B1 (en) 1999-12-23 2002-03-26 Lj Laboratories, L.L.C. Spectrometer assembly
JP3567855B2 (ja) 2000-01-20 2004-09-22 住友電気工業株式会社 半導体製造装置用ウェハ保持体
JP3643540B2 (ja) * 2000-02-21 2005-04-27 株式会社日立製作所 プラズマ処理装置
US6863594B2 (en) 2000-03-15 2005-03-08 Paul-Eric Preising Method and device for cleaning high-voltage carrying installation component parts
TW503449B (en) 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
JP4422295B2 (ja) 2000-05-17 2010-02-24 キヤノンアネルバ株式会社 Cvd装置
EP1642994B8 (en) * 2000-06-29 2017-04-19 Shin-Etsu Chemical Co., Ltd. Rare earth oxid powder used in thermal spray coating
TWI290589B (en) * 2000-10-02 2007-12-01 Tokyo Electron Ltd Vacuum processing device
US6413578B1 (en) 2000-10-12 2002-07-02 General Electric Company Method for repairing a thermal barrier coating and repaired coating formed thereby
JP2002151473A (ja) * 2000-11-13 2002-05-24 Tokyo Electron Ltd プラズマ処理装置及びその組立方法
US20020090464A1 (en) * 2000-11-28 2002-07-11 Mingwei Jiang Sputter chamber shield
US20040081746A1 (en) * 2000-12-12 2004-04-29 Kosuke Imafuku Method for regenerating container for plasma treatment, member inside container for plasma treatment, method for preparing member inside container for plasma treatment, and apparatus for plasma treatment
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6613442B2 (en) * 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
US6537429B2 (en) * 2000-12-29 2003-03-25 Lam Research Corporation Diamond coatings on reactor wall and method of manufacturing thereof
US6805952B2 (en) * 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US7128804B2 (en) * 2000-12-29 2006-10-31 Lam Research Corporation Corrosion resistant component of semiconductor processing equipment and method of manufacture thereof
US6790242B2 (en) * 2000-12-29 2004-09-14 Lam Research Corporation Fullerene coated component of semiconductor processing equipment and method of manufacturing thereof
US6533910B2 (en) * 2000-12-29 2003-03-18 Lam Research Corporation Carbonitride coated component of semiconductor processing equipment and method of manufacturing thereof
WO2002067275A1 (en) 2001-02-07 2002-08-29 Sumitomo Special Metals Co., Ltd. Method of making material alloy for iron-based rare earth magnet
US6830622B2 (en) * 2001-03-30 2004-12-14 Lam Research Corporation Cerium oxide containing ceramic components and coatings in semiconductor processing equipment and methods of manufacture thereof
US6811651B2 (en) 2001-06-22 2004-11-02 Tokyo Electron Limited Gas temperature control for a plasma process
US6527911B1 (en) * 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
KR100431660B1 (ko) 2001-07-24 2004-05-17 삼성전자주식회사 반도체 장치의 제조를 위한 건식 식각 장치
US20030029563A1 (en) * 2001-08-10 2003-02-13 Applied Materials, Inc. Corrosion resistant coating for semiconductor processing chamber
US6849306B2 (en) * 2001-08-23 2005-02-01 Konica Corporation Plasma treatment method at atmospheric pressure
KR100440500B1 (ko) 2001-12-07 2004-07-15 주식회사 코미코 플라즈마 스프레이 방식을 이용한 세라믹 반도체 부품의제조 및 재생 방법
US6776873B1 (en) 2002-02-14 2004-08-17 Jennifer Y Sun Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers
GB2386907B (en) 2002-03-27 2005-10-26 Isle Coat Ltd Process and device for forming ceramic coatings on metals and alloys, and coatings produced by this process
US6852433B2 (en) 2002-07-19 2005-02-08 Shin-Etsu Chemical Co., Ltd. Rare-earth oxide thermal spray coated articles and powders for thermal spraying
KR100460143B1 (ko) * 2002-08-02 2004-12-03 삼성전자주식회사 반도체 제조설비용 프로세스 챔버
JP3776856B2 (ja) * 2002-09-13 2006-05-17 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US6837966B2 (en) 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US6798519B2 (en) * 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7204912B2 (en) * 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US7137353B2 (en) * 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7166166B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US20040060779A1 (en) * 2002-10-01 2004-04-01 Charles Kreger Distance compensating shim for clutch/brake and method of determining same
US6894769B2 (en) 2002-12-31 2005-05-17 Tokyo Electron Limited Monitoring erosion of system components by optical emission
US6806949B2 (en) 2002-12-31 2004-10-19 Tokyo Electron Limited Monitoring material buildup on system components by optical emission
JP2004241203A (ja) 2003-02-04 2004-08-26 Hitachi High-Technologies Corp プラズマ処理室壁処理方法
CN100418187C (zh) * 2003-02-07 2008-09-10 东京毅力科创株式会社 等离子体处理装置、环形部件和等离子体处理方法
US7029536B2 (en) * 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate

Also Published As

Publication number Publication date
CN100555550C (zh) 2009-10-28
JP2006501645A (ja) 2006-01-12
AU2003269393A8 (en) 2004-04-19
WO2004030011A3 (en) 2004-08-05
US7566368B2 (en) 2009-07-28
US20070096658A1 (en) 2007-05-03
US20040061447A1 (en) 2004-04-01
KR20050053711A (ko) 2005-06-08
WO2004030011A2 (en) 2004-04-08
AU2003269393A1 (en) 2004-04-19
US7166200B2 (en) 2007-01-23
KR100753704B1 (ko) 2007-08-30

Similar Documents

Publication Publication Date Title
CN1685464A (zh) 等离子加工系统中的改进的上电极板的方法和装置
CN1685465A (zh) 等离子加工系统中用于改进的沉积罩的方法和设备
US7811428B2 (en) Method and apparatus for an improved optical window deposition shield in a plasma processing system
CN1682341A (zh) 用于等离子体处理系统中的改进的折流板的方法和设备
US8118936B2 (en) Method and apparatus for an improved baffle plate in a plasma processing system
US7678226B2 (en) Method and apparatus for an improved bellows shield in a plasma processing system
CN100495413C (zh) 用于邻接在处理元件上的相邻覆层的方法
US7291566B2 (en) Barrier layer for a processing element and a method of forming the same
CN1682342A (zh) 等离子加工系统中带有沉积罩的上电极板
CN1853254A (zh) 用于改良的挡板的方法和装置
CN1849691A (zh) 包括改进聚焦环的方法和装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20091028

Termination date: 20200929