CN1689143A - Cmos栅的原子层沉积 - Google Patents

Cmos栅的原子层沉积 Download PDF

Info

Publication number
CN1689143A
CN1689143A CNA03824408XA CN03824408A CN1689143A CN 1689143 A CN1689143 A CN 1689143A CN A03824408X A CNA03824408X A CN A03824408XA CN 03824408 A CN03824408 A CN 03824408A CN 1689143 A CN1689143 A CN 1689143A
Authority
CN
China
Prior art keywords
transistor
forming
metal conductor
gate
pmos
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA03824408XA
Other languages
English (en)
Other versions
CN100359640C (zh
Inventor
L·福尔贝斯
K·Y·阿恩
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Publication of CN1689143A publication Critical patent/CN1689143A/zh
Application granted granted Critical
Publication of CN100359640C publication Critical patent/CN100359640C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2

Abstract

本发明提供了一种用于晶体管的结构、系统和方法,所述晶体管具有通过原子层沉积形成的并具有可变功函的栅极。一个晶体管的实施方案包括第一源极/漏极区域;第二源极/漏极区域;位于它们之间的通道区域。栅极通过栅极绝缘体与所述通道区域分开。所述栅极包括通过原子层沉积形成的三元金属导体,以为所述三元金属导体提供被设计用于提供期望阈值电压的组成。

Description

CMOS栅的原子层沉积
技术领域
本发明通常涉及半导体集成电路,更加具体地说,涉及具有可变功函的CMOS栅的原子层沉积。
背景技术
CMOS技术中的传统n型掺杂多晶硅栅电极具有两个问题。首先,多晶硅是导电的,但可仍存在这样一个表面区域,其在偏压条件下能够耗损载体。这表现为额外的栅绝缘体厚度并且通常被称作栅极耗损和增加了等效氧化层厚度。虽然该区域是薄的,也就几个埃(Å)的等级,但当栅极氧化物厚度被降低至2nm或20Å以下时它是可感知的。另一个问题是功函对于n-MOS和p-MOS器件都不是最佳的,历史上该问题通过阈值电压调整注入来补偿。然而,随着器件变得越来越小,通道长度小于1000Å并因此使表面空间电荷区域小于100Å,所以越来越难于进行这些注入过程。阈值电压控制变成一个重要考虑因素,因为电源被减至1伏特的范围。PMOS和NMOS晶体管的最佳阈值电压需要具有约0.3伏特的幅值。
多晶硅栅极耗损问题的一个解决方案是用金属或高导电的金属氮化物代替半导电的栅极材料。关于任何新的电路材料,栅电极必须与晶体管和所述处理在化学和热学方面具有相容性。可利用不同的金属或者修改导电氮化物的属性来提供最佳功函。
栅电极的功函-提取电子所需的能量-必须与半导体材料的势垒高度一致。对于PMOS晶体管,所需的功函是约5.0eV。获得NMOS晶体管所需的较低功函即约4.1eV是更加困难的。图1A和1B分别表示NMOS和PMOS晶体管的期望能量带视图和功函。类似钛(Ti)和钽(Ta)的难熔金属在典型的工艺条件下快速氧化。对该问题提出的一个解决方案依赖“调谐的”钌-钽(Ru-Ta)合金,该合金在工艺条件下是稳定的。当Ta浓度低于20%时,该合金的电学性能类似铷(Ru),一种良好的PMOS栅电极。当Ta浓度在40%-54%之间时,所述合金是良好的NMOS栅电极。
有希望的候选物包括金属氮化物,例如氮化钽(TaN)和氮化钛(TiN)。氮化钽、氮化钛和氮化钨是中间间隙(mid-gap)功函的金属导体,通常说明为用于CMOS器件。中间间隙功函的使用使得NMOS和PMOS器件的阈值电压对称,因为阈值电压的幅值将是相同的,但所述两个阈值电压将具有比最佳值高的幅值,所述最佳值具有低电源电压。
近来,物理沉积、蒸镀已经用于试验某些三元金属氮化物用作栅电极的适用性,这些三元金属氮化物包括TiAlN和TaSiN。然而,这些三元金属氮化物是通过物理沉积而不是原子层沉积方式沉积的,并且只有电容器结构被制造,而非具有栅极结构的晶体管。
因此,现在对于改进的CMOS晶体管设计有一种迫切需要。
参考书目
由Y.Yee-Chia等人在2001年5月出版的IEEE Electron DeviceLetters(卷22,5期,第227-229页)上发表的“Dual-metal gate CMOStechnology with ultrathin silicon nitride gate dielectric”;
L Qiang,Y.Yee Chia等人在2000年举办的2000讨论会的技术论文文摘VLSI技术(2000年)(72-73页)中发表的“Dual-metal gatetechnology for deep-submicron CMOS transistors”;
H.Wakabayashi等人在2001年10出版的IEEE学报ElectronDevices(卷48,10期)(2363-2369页)上发表的“A dual-metalgate CMOS technology using nitrogen-concentration-controlledTiNx film”;
H.Zhong等人在2001年于华盛顿召开的IEEE国际电子器件会议文摘(论文20.05)上发表的“Properties of Ru-Ta Alloys as gateelectrodes for NMOS and PMOS silicon devices”;
V.Misra,H.Zhong等人在2002年6月出版的IEEE ElectronDevice Letters(卷23,6期)(354-356页)上发表的“Electricalproperties of Ru-based alloy gate electrodes for dual metalgate Si-CMOS”;
H.Zhong等人在2000年12月出版的IEEE Electron DeviceLetters(卷21,12期)(593-595页)上发表的“Electricalproperties of Ru0/sub 2/gate electrodes for dual metal gateSi-CMOS”;
H.Shimada等人在2001年6月于日本Kyoto召开VLSI技术2001讨论会(67-68页)上发表的“Low resistivity bcc-Ta/TaN/subx/metal gate MNSFETs having plane gate structure featuringfully low temperature processing below 450 degrees C”;
H.Shimada等人在2001年8月的电子器件IEEE会报(卷48,第8节)(1619-26页)上发表的“Tantalum nitride metal gate FD-SOICMOS FETs using low resistivity self-grown bcc-tantalum,layer”;
B.Claflin等人在1998年4月13-15日于加利福尼亚(CA)旧金山召开的MRS Symposium on Silicon Front-End Technology-Materials Processing and Modelling(171-176页)上发表的“Investigation of growth and chemical stability of compositemetal gates on ultra-thin gate dielectrics”;
A.Yagishita等人在2000年12月于旧金山召开的DigestTechnical Papers Int.Electron Devices Meeting(663-6页)上发表的“Dynamic threshold voltage damascene metal gateMOSFET(DT-DMG-MOS)with low threshold voltage,high drivecurrent and uniform electrical characteristics”;
B.Claflin等人在1998年4月13-15日于加利福尼亚(CA)旧金山召开的MRS Symposium on Silicon Front-End Technology-Materials Processing and Modelling(171-176页)上发表的“Investigation of growth and chemical stability of compositemetal gates on ultra-thin gate dielectrics”;
M.Moriwaki等人在2000年出版的Jpn.J.Appl.Phys(卷39,第4B节)(2177-80页)上发表的“Improved metal gate process bysimultaneous gate-oxide nitridation during W/WN/sub x/gateformation”;
Dae-Gyu Park等人在2001年召开的Electron DevicesMeeting,2001 IEDM Technical Digest International(30.6.1-30.6.4页)上发表的“Robust ternary metal gate electrodes fordual gate CMOS devices”;
Ofer Sneh等人在Thin Solid Films,402(2002)248-261上发表的“Thin film atomic layer deposition equipment forsemiconductor processing”;
Shunsuke Morishita等人在Jpn.J.Appl.Phys(卷34)(1955)(5738-5742页)上发表的“Atomic-Layer Chemical-Vapor-Deposition of SiO2 by Cyclic Exposure of CHOSi(NCO)3 and H2O2”;
Jin-Seong Park等人在2001年出版的Electrochemical andSolid-State Lett.第4(4)17-19节上发表的“Plasma-EnhancedAtomic Layer Deposition of Tantalum Nitrides Using HydrogenRadicals as a Reducing Agent”;
Petra Alen等人在Jour,of the Electrochemical Society,148(10),G566-G571(2001)上发表的“Atomic-Layer Deposition ofTa(Al)N(C)Thin Films Using Trimethylaluminum as a ReducingAgent”;
J.S.Min等人在1998年9月15日出版的Jpn.J.Appl.Phys(卷37,部分1,第9A节,4999-5004页)上发表的“Atomic-LayerDeposition of TiN Films by alternate supply on Tetrakis(ethylmethyllamino)-titanium and ammonia”;
Jaehyong Koo等人在J.Vac.Sci.Technol.A,19(6),2831-2834(2001)上发表的“Study on the characteristics of TiAlN thinfilm deposited by atomic layer deposition method”;
Jae-Sik Min等人在Appl.Phys,Lett.,卷75第11期1521-1523页(1999)上发表的“Metal-organicatomic-layer deposition oftitanium-silicon-nitride films”;
J.W.Kraus等人在147(3)1175-1181(2000)上发表的“Atomic-Layer Deposition of Tungsten Nitride Films UsingSequential Surface Reactions”;
R.L.Pruurunen等人在Applied Surface Science,165,193-202页(2000)上发表的“Growth of aluminum nitride on poroussilica by atomic layer chemical vapor deposition”。
发明内容
上述提到的CMOS晶体管设计问题以及其它问题通过本发明来解决并且将通过阅读和研究下面的说明书来理解。该申请说明了三元金属导体的原子层沉积的应用,其中所述组成和功函都是变化的,以控制CMOS技术中的NMOS和PMOS晶体管的阈值电压,以便提供最佳性能。
更加具体的说,本发明的一个实施方案包括一晶体管,其具有:一源极区、一漏极区和一位于其间的通道。一栅极通过一栅极绝缘体与所述通道区域分开。所述栅极包括通过原子层沉积形成的三元金属导体。在一个实施方案中所述三元金属导体包括钽铝氮化物(TaAlN)。在一个实施方案中所述三元金属导体包括钛铝氮化物(TiAlN)。在一个实施方案中所述三元金属导体包括钛硅氮化物(TiSiN)。在一个实施方案中所述三元金属导体包括钨铝氮化物(WAlN)。在一些实施方案中,所述栅极进一步包括一在所述三元金属导体上形成的难熔金属。
本发明的这些和其它实施方案、方面、优点和特征将部分的在下述说明中阐释,并且一部分对于本领域技术人员来说通过参照本发明的下述说明和参考的附图或通过本发明的实践将变得显而易见。本发明的这些方面、优点和特征借助在后附权利要求中具体指出的手段、过程及其结合来实现和获得。
附图说明
图1A和1B分别表示NMOS和PMOS晶体管的期望能带视图和功函;
图2为描绘了在本发明的各种实施方案中使用的各种金属氮化物的电子亲和性和能带隙的关系的示图;
图3表示根据本发明的教导形成的晶体管结构的一个实施方案;
图4表示一存储器件的实施方案,其利用了通过根据本发明实施方案的原子层沉积形成的三元金属栅极;
图5为一电子系统或基于处理器的系统的方框图,其利用了通过根据本发明实施方案的原子层沉积形成的三元金属栅极。
具体实施方式
在下面对本发明所作的详细说明中,将参考形成其一部分的附图,并且在其中示意性的示出了本发明可被实践的特定实施方案。在附图中,相同的附图标记在几幅图中都表示基本相似的部件。对这些实施方案进行了充分详细的说明以使本领域技术人员能够实践本发明。在不脱离本发明范围的情况下,也可利用其他实施方案,并且可进行结构、逻辑和电子修改。
在下述说明中使用的术语晶片和衬底包括具有能够形成本发明的集成电路(IC)结构的暴露表面的任何结构。术语衬底被理解为包括半导体晶片。术语衬底也用于指处理期间的半导体结构,并且可包括已经在其上制造的其它层。晶片和衬底都包括掺杂和未掺杂的半导体、由基础半导体或绝缘体支撑的取向附生的半导体层、以及本领域技术人员公知的其它半导体结构。术语导体被理解为包括半导体,术语绝缘体被定义为包括导电性比称作导体的材料弱的任何材料。因此,下面的详细说明并不受到局限,本发明的范围仅由所附权利要求以及该权利要求所授权的全部等价范围定义。
本公开描述了三元金属导体的原子层沉积的应用,其中所述组成是变化的且功函也是变化的,参见图2,以控制CMOS技术中的NMOS和PMOS晶体管的阈值电压,以便提供最佳性能。在若干个实施方案中,这些应用包括使用TaAlN、TiAlN、TiSiN和WAlN作为三元金属导体。传统的高掺杂多晶硅或如W、Ta、Ti的难熔金属被沉积在金属导体上以给出图3中所示的栅极结构。如图3所示,晶体管301结构包括一源极区302、漏极区304和位于它们之间的通道306。栅极310通过一栅绝缘体308与所述通道区域分离开。根据本发明的教导,栅极310包括通过原子层沉积形成的三元金属导体。在一个实施方案中,所述三元金属导体包括钽铝氮化物(TaAlN)。在一个实施方案中,所述三元金属导体包括钛铝氮化物(TiAlN)。在一个实施方案中,所述三元金属导体包括钛硅氮化物(TiSiN)。在一个实施方案中,所述三元金属导体包括钨铝氮化物(WAlN)。如图3所示,在一些实施方案中,所述栅极进一步包括一层高导电多晶硅312,或可选择的包括一难熔金属层312,其形成在三元金属导体310上。在具有难熔金属层的实施方案中,层312例如包括但不局限于类似钽、钛和钨的难熔金属。
形成方法
在70年代早期开发的原子层沉积为CVD变体,并且还可被称作“可选择脉冲-CVD”。在该技术中,一次对衬底表面引入一种气体前体,并且在脉冲之间,反应器以惰性气体清洗或抽空。在该第一反应步骤,所述前体被饱和的化学吸收在衬底表面处,并且在随后的清洗期间,将前体从反应器中除去。在第二步骤,在所述衬底上引入其它前体,并且进行期望的薄膜生长反应。此后从反应器中清洗出反应副产品和剩余前体。当前体化学是有益的时,即当前体彼此迅速吸收和反应时,可在适当设计的流动型反应器中在少于一秒的时间执行一个ALD周期。
ALD的令人惊叹的特征是所有该反应和清洗步骤的饱和,这使得生长自我限制,从而获得大面积的均匀性和一致性,这是ALD的最重要的性质,如在各种极其不同的情况,即平板衬底、深沟槽,以及多孔硅和大表面积氧化硅和氧化铝的极端情况所示。此外,对薄膜厚度的控制是简单直截的,并且能通过简单地计算生长周期来进行。ALD最初开发用以制造光电显示器中所需要的发光和介电薄膜,并且已经将许多努力放在了掺杂硫化锌和碱土金属硫化物薄膜的生长上。以后研究了ALD用于不同取向附生II-V和II-VI薄膜的生长,非取向附生晶体或非晶体氧化物和氮化物薄膜是它们的多层结构。
对于硅和锗薄膜的ALD生长已经引起了相当大的兴趣,但由于前体化学的难度,其结果一直以来不是很成功。
反应序列ALD(RS-ALD)薄膜具有若干个独特和无与伦比的优点:
·界面处的连续性,能够避免成核区域边界不清晰,这样的区域对CVD(<20Å)和PVD(<50Å)膜而言是典型现象。为了获得该连续性,必须激发衬底表面使其与首次暴露的RS-ALD前体直接反应。
·通过更粗旷的方法在最粗糙的衬底形貌上获得了无与伦比的一致性,这种一致性只能通过逐层沉积获得。
·典型的,低温和轻微的氧化处理。这被认为是制备栅极绝缘体的主要优点,其中主要关心的是在无需氧化衬底的情况下(使用氧化前体)沉积非硅基的电介质。
·RS-ALD具有制备多层叠层膜,可能降到单层分辨率,以及看起来独一无二的合金复合薄膜的能力。该能力是能够用单层精度控制沉积和沉积连续的单层非结晶膜的能力相结合的结果(这是RS-ALD所独有的)。
·空前粗旷的方法。RS-ALD方法没有第一晶片影响性和腔室依赖性。因此,RS-ALD方法将能够较容易的从研发转移至生产并且能从200发展至300毫米的晶片尺寸。
·厚度仅取决于周期数。厚度可以作为简单处方变化而“拨入(dialed-in)”,无需随着技术更新的进步而对方法有另外的发展。
氮化物的原子层沉积
Ta-N:已经说明了使用作为叔丁基酰亚胺基三(二乙基氨基)钽的还原剂的氢自由基在260℃沉积温度下进行的氮化钽(Ta-N)薄膜的等离子体增强原子层沉积(PEALD)。PEALD产生了高级Ta-N膜,其具有400μΩcm的电阻率,并且在暴露于空气的情况下没有老化效应。该薄膜密度高于通过典型的ALD形成的Ta-N膜的密度,典型ALD中使用NH3而不是氢自由基。另外,沉积后的薄膜不是无定形的,而是多晶体结构的腕尺TaN。薄膜的密度和结晶度随着氢等离子体的脉冲时间而增加。该薄膜组成上富含Ta,并且包括约15原子%的碳杂质。在PEALD制备的Ta-N薄膜中,氢自由基代替NH3作为还原剂,NH3在典型的Ta-NALD中被用作反应气体。薄膜在冷壁反应器中使用(Net2)3Ta=Nbut[叔丁基酰亚胺基三(二乙酰胺基)钽,TBTDET]作为Ta的前体以260℃的沉积温度和133Pa的沉积压力沉积在SiO2(100nm)/Si晶片上。液体前体被包含在以70℃加热的起泡器中并由35sccm的氩承载。一个沉积周期由以下组成:暴露至TBTDET的金属有机前体中、用Ar清洗的周期,和暴露至氢等离子体,其后是用Ar进行的另一个清洗周期。不是在每种反应气体脉冲之间而是进行15秒的Ar清洗周期将反应气体彼此分开。为了引燃和保持与沉积周期同步的氢等离子体,在上和下电极之间施加矩形的电压。用于使反应气体在反应器中均匀分布的莲蓬头被用作上电极,所述莲蓬头与以100W功率操作的rf(13.56MHz)等离子源进行电容性耦合。晶片驻留其上的下电极被接地。通过场发射扫描电子显微镜法分析薄膜厚度和形态学。
Ta(Al)N(C):已经使用TaCl5或TaBr5和NH3作为前体和Al(CH3)3作为附加的还原剂研究了薄膜的技术工作。沉积温度在250-400℃之间变化。该薄膜包括铝、碳和氯杂质。随着沉积温度的增加,氯含量显著减少。在400℃沉积的薄膜包含少于4原子%的氯,并且还具有最低的电阻率,1300μΩcm。使用了按照脉冲顺序TaCl5-TMA-NH3,TMA-TaCl5-NH3,TaBr5-NH3,TaBr5-Zn-NH3,和TaBr5-TMA-NH3的五种不同的沉积处理方法。从保持在反应器内部的敞舟皿蒸镀TaCl5,TaBr5和Zn。TaCl4,TaBr5和Zn的蒸镀温度分别是90、140、380℃。通过一质量流量计、一针形阀和一电磁阀向反应器中引入氨。在连续流动中将流速调至14sccm。TMA被保持在16℃的恒定温度下并且通过针形阀和电磁阀脉动。脉动时间对于TaCl5,TaBr5NH3和Zn来说为0.5s,但TMA的脉冲长度在0.2至0.8s之间变化。清洗脉冲的长度总是0.3s。氮气被用于传输前体和作为清洗气体。氮气的流速是400sccm。
TiN:通过交替提供反应源Ti[N(C2H5CH3)2]4[四(乙基甲基氨基)钽,TEMAT]和NH3已经在170℃和210℃之间在SiO2上通过原子层沉积(ALD)制备了非结晶TiN膜。这些反应物源以下面的顺序注入到反应器中:TEMAT蒸汽脉冲、Ar气体脉冲、NH3气体脉冲和Ar气体脉冲。当在200℃并且反应物源有充足脉冲时间时,每周期薄膜厚度的饱和值是大约每周期1.6单层。其结果表明每个周期的薄膜厚度在ALD中可超过1ML/周期,并且通过反应物源的再化学吸收机制对其进行说明。周期数量和薄膜厚度之间的理想线性关系被确定。
TiAlN:Koo等人发表了关于通过原子层沉积方法沉积的TiAlN薄膜的特性研究的论文。该系列的金属-Si-N阻挡层具有1000μΩcm以上的高电阻率。他们提出了TiAlN的另一种三元扩散阻挡层。虽然Al含量相当大,但TiAlN薄膜还是呈现为NaCl结构。分别使用TiCl4和二甲基铝氢化物乙基哌啶(DMAH-EPP)作为钛前体和铝前体来沉积TiAlN膜。在13-15℃下从液体蒸发TiAl4并将其引入ALD腔,其使用Ar载体气体以30sccm的流速通过起泡器来提供。在60℃下蒸镀DMAH-EPP前体并使用与TiCl4相同的流速将其引入ALD腔。NH3气体也被用作反应气体并且其流速约为60sccm。引入Ar清洗气体以完全分离反应物源和反应气体。在350至400℃的温度下沉积TiAlN膜并且将总压力保持恒为两托。
TiSiN:金属有机原子层沉积(MOALD)获得了近乎完美的逐层覆盖(stepcoverage step)并精确地控制生长的薄膜的厚度和组成。对于使用连续提供的Ti[N(CH3)2]4[四(二甲基酰胺基)钛:TDMAT]硅烷(SiH4)和氨(NH3)的三元Ti-Si-N膜的MOALD技术已经研发了出来,并用高频C-V测量法评估10nmTi-Si-N薄膜的Cu扩散阻挡层特性。在180℃的沉积温度下,按照TDMAT脉冲、硅烷脉冲和氨脉冲的顺序单独提供硅烷。沉积膜的硅含量和每周期的沉积厚度保持几乎恒定为在18原子%和0.22nm/周期,即使硅烷分压从0.27变化到13.3Pa。尤其是,Si含量的依赖性与传统的化学气相沉积显著不同。甚至在具有略微负斜率和10∶1纵横比的0.3μm直径的孔中,逐层覆盖近似100%。
WN:使用连续表面反应进行原子层控制已经沉积了氮化钨薄膜。氮化钨薄膜生长是通过将二元反应 分成两个半反应实现的。按照ABAB……顺序连续施加WF6和NH3半反应,在600至800K的衬底温度下产生氮化钨沉积。透射傅立叶变换红外线(FTIR)光谱在WF6和NH3半反应期间监控WFX *和NHY *表面物质在高表面积粒子上的覆盖情况。FTIR光谱结果证实WF6和NH3半反应在>600K的温度下是完整的和自限的。原位分光镜椭圆光度法监控在Si(100)衬底上的薄膜生长和温度和反应剂暴露量的关系。在600-800K下分别对于WF6和NH3反应物暴露量>3000L和10000L测量氮化钨沉积速度为2.55Å/AB周期。X射线光电子能谱深度分布试验确定所述薄膜具有低C和O杂质浓度的W2N化学计量关系。X射线衍射试验揭示氮化钨膜是微晶的。对沉积膜进行原子力显微镜分析观察到表示平滑薄膜生长的异常平坦表面。以原子层控制沉积的这些平滑氮化钨薄膜将用作接触和通过孔的Cu的扩散控制。
AlN:已经通过原子层化学气相沉积(ALCVD)从三甲基铝(TMA)和氨前体在多孔硅石上生长了氮化铝(AlN)。ALCVD生长是基于气体前体与固体衬底的交替的、分开的、饱和的反应来进行的。TMA和氨在硅石上分别在423和623开氏温度(K)下进行反应,硅石已经通过用823K下的氨进行预处理而在1023K下进行了脱羟基。在三个反应周期中的生长通过元素分析而被定量的分析,并且表面反应产物由IR和固态及Si NMR分析法进行辨别。获得了约2铝原子/nm2硅/反应周期的稳定生长。所述生长主要通过下列反应进行:(I)在表面Al-Me和Si-Me基中得到的TMA的反应,和(II)用氨基取代铝键合甲基的氨反应。氨还与在TMA与硅氧烷桥的独立反应中形成的硅键合甲基进行部分反应。TMA与氨基反应,就象它与表面硅烷醇基和硅氧烷桥反应一样。通常,Al-N层与硅衬底的相互作用强烈,但在第三反应周期中,可形成AlN型位点(site)。
器件
在图4中示出了根据本发明教导的存储器件。存储器件440包含存储器阵列442、行和列解码器444、448和感测放大电路446。存储器阵列442由多个晶体管单元400构成,这些单元具有通过原子层沉积形成的金属栅极,其字线480和位线460通常被分别布置为行和列。存储器阵列442的位线460与感测放大电路446连接,而其字线480与行解码器444连接。地址和控制信号在地址/控制线461上被输入到存储器件440中,并被连接至列解码器448、感测放大电路446和行解码器444,并被用于获得,在其它事件中例如对存储器阵列442的读和写访问。
列解码器448通过列选择线462上的控制和列选择信号而被连接至感测放大电路446。感测放大电路446借助于输入/输出(I/O)数据线463接收去往存储器阵列442的输入数据并输出从存储器阵列442读取的数据。通过激发字线480(借助于行解码器444)从存储器阵列442的单元读取数据,所述字线将与该字线相应的所有存储器单元耦合至各自的位线460,所述位线定义了阵列的列。还激发一个或多个位线460。当特定的字线480和位线460被激发时,与位线列连接的感测放大电路446检测并放大通过给定晶体管单元感测的并通过测量激发位线460和参考位线之间的势差而传送至其位线460的传导信号,所述参考线可以是未激发位线。此外,在读操作中,给定单元的源极区域被耦合至接地源极线或阵列板(未示)。存储器件感测放大器的操作在例如美国专利第5627785、5280205和5042011号中进行了描述;所有这些专利都被转让给Micron Technology Inc(Micron技术有限公司)。
图5为利用具有通过根据本发明教导的原子层沉积形成的三元金属栅极的晶体管单元的电子系统或基于处理器的系统500的方框图。例如,借助例子而非限制,根据本发明来构造存储器512以使晶体管单元具有通过原子层沉积形成的三元金属栅极。然而,本发明并不受限制,本发明可同样应用于CPU等中的晶体管。基于处理器的系统500可以是计算机系统,处理控制系统或任何其他利用处理器和相关存储器的系统。系统500包括一中央处理单元(CPU)502,例如,微处理器,其通过总线520与存储器512和I/O装置508通信。必须注意总线520可以是通常用在基于处理器的系统中的一系列总线和电桥,但是仅为了方便的目的,图中将总线520表示为单一总线。还示出了第二I/O装置510,但其并非为实践本发明所必需的。基于处理器的系统500还可以包括只读存储器(ROM)514,并且可包括外围设备,例如软盘驱动器504和光盘(CD)ROM驱动器506,它们也通过总线520与CPU502通信,这一点在现有技术中是公知的。
本领域技术人员应该意识到,附加的电路和控制信号可被提供,并且基于处理器的系统500被简化了以集中说明本发明。
应该理解,图5中所示的实施方案示出了电子系统电路的一个实施方案,其中使用了通过原子层沉积形成的新颖三元金属栅极晶体管单元。对如图5中所示的系统500的说明用于对本发明的结构和电路的一个应用提供一种通常的理解,而非用于完整说明使用通过原子层沉积形成的新颖三元金属栅极晶体管单元的电子系统的所有元件和特征。此外,本发明可同等的应用于使用通过原子层沉积形成的新颖三元金属栅极晶体管单元的任何尺寸和类型的系统500,并且本发明不想限制于上述的说明。如本领域技术人员将理解的,这样的电子系统可以以单一封装处理单元制造,甚或制造在单一的半导体芯片上,以便减少处理器和存储器件之间的通信时间。
包括如在本申请中所述的通过原子层沉积形成的新颖三元金属栅极晶体管单元的应用系统,包括用于存储器模块、器件驱动器、电源模块、通信调制解调器、处理器模块和特定应用的模块的电子系统,并且可以包括多层、多芯片模块。这种电路可进一步是各种电子系统的子部件,所述电子部件例如时钟、电视、蜂窝电话、个人计算机、汽车、工控系统、飞行器和其它系统。
结论
本申请说明了原子层沉积的三元金属导体作为晶体管栅极的应用。所述组成是变化的并且功函也是变化的,以控制CMOS技术中的NMOS和PMOS晶体管的阈值电压,以便提供最佳性能。
应该理解上述说明仅仅是示意性的,并非限制性的。许多其它实施方案对于阅读了上述说明的本领域技术人员来说将是显而易见的。因此,本发明的范围应该参照后附权利要求连同这种权利要求所授权的全部等价范围进行确定。

Claims (33)

1.一种晶体管,包括:
第一源极/漏极区域;
第二源极/漏极区域;
位于所述第一和第二源极/漏极区域之间的通道区域;
通过栅极绝缘体与所述通道区域分开的栅极,其中所述栅极包括通过原子层沉积形成的三元金属导体,以提供组成被设计用于提供期望阈值电压的三元金属导体。
2.根据权利要求1所述的晶体管,其中所述三元金属导体包括钽铝氮化物(TaAlN)。
3.根据权利要求1所述的晶体管,其中所述三元金属导体包括钛铝氮化物(TiAlN)。
4.根据权利要求1所述的晶体管,其中所述三元金属导体包括钛硅氮化物(TiSiN)。
5.根据权利要求1所述的晶体管,其中所述三元金属导体包括钨铝氮化物(WAlN)。
6.根据前述任何一项权利要求所述的晶体管,其中所述栅极进一步包括在所述三元金属导体上形成的导电多晶硅层。
7.根据前述任何一项权利要求所述的晶体管,其中所述栅极进一步包括在所述三元金属导体上形成的难熔金属。
8.根据权利要求7所述的晶体管,其中所述难熔金属包括钨(W)。
9.根据权利要求7所述的晶体管,其中所述难熔金属包括钽(Ta)。
10.根据权利要求7所述的晶体管,其中所述难熔金属包括钛(Ti)。
11.一种存储器单元,包括前述任何一项权利要求的晶体管,并进一步包含耦合至所述源极区域的源极线和耦合至所述漏极区域的传输线。
12.一种存储器阵列,包括多个根据前述任何一项权利要求所述的晶体管,所述晶体管阵列包括:
形成在衬底上的多个单元,其中每个单元包括所述多个晶体管中的至少一个;
多个位线,它们沿所述晶体管阵列的行耦合至每个晶体管的漏极区域;和
多个字线,它们沿所述存储器阵列的列耦合至每个晶体管的栅极。
13.一种包括根据权利要求12所述的存储器阵列的半导体器件,包括:
耦合至所述多个字线的字线地址解码器;
耦合至所述多个位线的位线地址解码器;
耦合至所述多个位线的感测放大器。
14.一种包括根据权利要求12所述的存储器阵列的电子系统,包括:
处理器;和
耦合至所述处理器的存储器件,其中所述存储器件包括所述存储器阵列。
15.一种包括PMOS晶体管和NMOS晶体管的CMOS器件,其中:
所述PMOS晶体管和NMOS晶体管中的至少一个包括根据权利要求1-10中的任何一项所述的晶体管;
所述PMOS晶体管和NMOS晶体管每个都包括源极、漏极、位于其间的通道区域,通过栅极绝缘体与所述通道区域分开的栅极;和
所述PMOS晶体管和NMOS晶体管的栅极包括变化的组成和变化的功函,以便获得近似相等幅值的低阈值电压。
16.根据权利要求15所述的CMOS器件,其中所述近似相等幅值的阈值电压包括低于0.4伏特的阈值电压幅值。
17.根据权利要求15所述的CMOS器件,其中所述近似相等幅值的阈值电压包括大约为0.3伏特的阈值电压幅值。
18.根据权利要求15所述的CMOS器件,其中所述PMOS晶体管和NMOS晶体管的栅极中的一个包括二元金属导体,而另一个包括所述三元金属导体。
19.根据权利要求18所述的CMOS器件,其中所述二元金属导体包括选自氮化钽(TaN)、氮化钛(TiN)和氮化钨(WN)的二元金属导体。
20.一种包括PMOS晶体管和NMOS晶体管的CMOS器件,其中:
所述PMOS晶体管和NMOS晶体管每个都包括源极、漏极、位于其间的通道区域,通过一栅极绝缘体与所述通道区域分开的栅极;和
所述PMOS晶体管和NMOS晶体管中的至少一个包括根据权利要求1所述的晶体管;其中所述PMOS晶体管和NMOS晶体管的栅极中的一个包括钽铝氮化物(TaAlN)作为三元金属导体,且所述PMOS晶体管和NMOS晶体管的栅极中的另一个包括二元金属导体,其包括氮化钽(TaN),以便获得近似相等幅值的低阈值电压。
21.一种包括PMOS晶体管和NMOS晶体管的CMOS器件,其中:
所述PMOS晶体管和NMOS晶体管每个都包括源极、漏极、位于其间的通道区域,通过栅极绝缘体与所述通道区域分开的栅极;和
所述PMOS晶体管和NMOS晶体管中的至少一个包括根据权利要求1所述的晶体管;其中所述PMOS晶体管和NMOS晶体管的栅极中的一个包括钛铝氮化物(TiAlN)作为三元金属导体,且所述PMOS晶体管和NMOS晶体管的栅极中的另一个包括二元金属导体,其包括氮化钛(TiN),以便获得近似相等幅值的低阈值电压。
22.一种包括PMOS晶体管和NMOS晶体管的CMOS器件,其中:
所述PMOS晶体管和NMOS晶体管每个都包括源极、漏极、位于其间的通道区域,通过栅极绝缘体与所述通道区域分开的栅极;和
所述PMOS晶体管和NMOS晶体管中的至少一个包括根据权利要求1所述的晶体管;其中所述PMOS晶体管和NMOS晶体管的栅极中的一个包括钨铝氮化物(WAlN)作为三元金属导体,且所述PMOS晶体管和NMOS晶体管的栅极中的另一个包括二元金属导体,其包括氮化钨(WN),以便获得近似相等幅值的低阈值电压。
23.一种形成晶体管的方法,包括:
在衬底上形成第一源极/漏极区域、第二源极/漏极区域,和介于它们之间的通道区域;
形成与所述通道区域相对并通过第一栅极绝缘体与其分开的栅极;和
其中形成栅极的步骤包括通过原子层沉积形成三元金属导体,以便提供设计用于提供期望阈值所需的组成。
24.根据权利要求23所述的方法,其中通过原子层沉积形成三元金属导体的步骤包括形成钽铝氮化物(TaAlN)层。
25.根据权利要求23所述的方法,其中通过原子层沉积形成三元金属导体的步骤包括形成钛铝氮化物(TiAlN)层。
26.根据权利要求23所述的方法,其中通过原子层沉积形成三元金属导体的步骤包括形成钛硅氮化物(TiSiN)层。
27.根据权利要求23所述的方法,其中通过原子层沉积形成三元金属导体的步骤包括形成钨铝氮化物(WAlN)层。
28.根据权利要求23-27中的任何一项所述的方法,其中形成栅极的步骤进一步包括在所述金属导体上形成难熔金属。
29.根据权利要求23-27中的任何一项所述的方法,其中形成栅极的步骤进一步包括形成在所述三元金属导体上形成的导电多晶硅层。
30.一种形成CMOS器件的方法,包括:
形成PMOS晶体管;
形成NMOS晶体管;
其中形成所述NMOS晶体管和PMOS晶体管中的至少一个包括根据权利要求23-29中的任何一项所述形成晶体管,和
其中形成所述NMOS晶体管和PMOS晶体管的步骤包括在每个各自的晶体管上形成变化的栅极组成,其具有变化的功函,以便将每个各自的晶体管的阈值电压控制为近似相等的幅值。
31.一种形成CMOS器件的方法,包括:
形成PMOS晶体管;
形成NMOS晶体管;
其中形成所述NMOS晶体管和PMOS晶体管中的一个的步骤包括根据权利要求24所述形成晶体管,和形成所述NMOS晶体管和PMOS晶体管中的另一个的步骤包括通过原子层沉积形成具有二元金属导体的晶体管栅极,所述二元金属导体包括氮化钽(TaN)层,使得所述NMOS晶体管和PMOS晶体管具有近似相等幅值的阈值电压。
32.一种形成CMOS器件的方法,包括:
形成PMOS晶体管;
形成NMOS晶体管;
其中形成所述NMOS晶体管和PMOS晶体管中的一个的步骤包括根据权利要求25所述形成晶体管,和形成所述NMOS晶体管和PMOS晶体管中的另一个的步骤包括通过原子层沉积形成具有二元金属导体的晶体管栅极,所述二元金属导体包括氮化钛(TiN)层,使得所述NMOS晶体管和PMOS晶体管具有近似相等幅值的阈值电压。
33.一种形成CMOS器件的方法,包括:
形成PMOS晶体管;
形成NMOS晶体管;
其中形成所述NMOS晶体管和PMOS晶体管中的一个的步骤包括根据权利要求26所述形成晶体管,和形成所述NMOS晶体管和PMOS晶体管中的另一个的步骤包括通过原子层沉积形成具有二元金属导体的晶体管栅极,所述二元金属导体包括氮化钨(WN)层,使得所述NMOS晶体管和PMOS晶体管具有近似相等幅值的阈值电压。
CNB03824408XA 2002-08-22 2003-08-21 Cmos栅的原子层沉积 Expired - Fee Related CN100359640C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/225,605 US20040036129A1 (en) 2002-08-22 2002-08-22 Atomic layer deposition of CMOS gates with variable work functions
US10/225,605 2002-08-22

Publications (2)

Publication Number Publication Date
CN1689143A true CN1689143A (zh) 2005-10-26
CN100359640C CN100359640C (zh) 2008-01-02

Family

ID=31887038

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB03824408XA Expired - Fee Related CN100359640C (zh) 2002-08-22 2003-08-21 Cmos栅的原子层沉积

Country Status (7)

Country Link
US (4) US20040036129A1 (zh)
EP (1) EP1532669A1 (zh)
JP (1) JP2005536877A (zh)
KR (1) KR100701542B1 (zh)
CN (1) CN100359640C (zh)
AU (1) AU2003260042A1 (zh)
WO (1) WO2004019394A1 (zh)

Families Citing this family (409)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7554829B2 (en) * 1999-07-30 2009-06-30 Micron Technology, Inc. Transmission lines for CMOS integrated circuits
US9139906B2 (en) * 2001-03-06 2015-09-22 Asm America, Inc. Doping with ALD technology
US7068544B2 (en) * 2001-08-30 2006-06-27 Micron Technology, Inc. Flash memory with low tunnel barrier interpoly insulators
US8026161B2 (en) * 2001-08-30 2011-09-27 Micron Technology, Inc. Highly reliable amorphous high-K gate oxide ZrO2
US6900122B2 (en) * 2001-12-20 2005-05-31 Micron Technology, Inc. Low-temperature grown high-quality ultra-thin praseodymium gate dielectrics
US7160577B2 (en) * 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US7045430B2 (en) * 2002-05-02 2006-05-16 Micron Technology Inc. Atomic layer-deposited LaAlO3 films for gate dielectrics
US7135421B2 (en) * 2002-06-05 2006-11-14 Micron Technology, Inc. Atomic layer-deposited hafnium aluminum oxide
US7205218B2 (en) * 2002-06-05 2007-04-17 Micron Technology, Inc. Method including forming gate dielectrics having multiple lanthanide oxide layers
US7221586B2 (en) 2002-07-08 2007-05-22 Micron Technology, Inc. Memory utilizing oxide nanolaminates
US7221017B2 (en) * 2002-07-08 2007-05-22 Micron Technology, Inc. Memory utilizing oxide-conductor nanolaminates
US6790791B2 (en) * 2002-08-15 2004-09-14 Micron Technology, Inc. Lanthanide doped TiOx dielectric films
US6884739B2 (en) * 2002-08-15 2005-04-26 Micron Technology Inc. Lanthanide doped TiOx dielectric films by plasma oxidation
US20040036129A1 (en) * 2002-08-22 2004-02-26 Micron Technology, Inc. Atomic layer deposition of CMOS gates with variable work functions
US7045406B2 (en) * 2002-12-03 2006-05-16 Asm International, N.V. Method of forming an electrode with adjusted work function
US7192849B2 (en) * 2003-05-07 2007-03-20 Sensor Electronic Technology, Inc. Methods of growing nitride-based film using varying pulses
US6970053B2 (en) * 2003-05-22 2005-11-29 Micron Technology, Inc. Atomic layer deposition (ALD) high permeability layered magnetic films to reduce noise in high speed interconnection
US6967131B2 (en) * 2003-10-29 2005-11-22 International Business Machines Corp. Field effect transistor with electroplated metal gate
US7030001B2 (en) * 2004-04-19 2006-04-18 Freescale Semiconductor, Inc. Method for forming a gate electrode having a metal
US7189287B2 (en) * 2004-06-29 2007-03-13 Micron Technology, Inc. Atomic layer deposition using electron bombardment
US7081421B2 (en) 2004-08-26 2006-07-25 Micron Technology, Inc. Lanthanide oxide dielectric layer
US7588988B2 (en) 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
US7494939B2 (en) 2004-08-31 2009-02-24 Micron Technology, Inc. Methods for forming a lanthanum-metal oxide dielectric layer
CA2580052C (en) * 2004-09-13 2014-04-15 Cooper Technologies Company Fusible switching disconnect modules and devices
US7576630B2 (en) * 2004-09-13 2009-08-18 Cooper Technologies Company Fusible switching disconnect modules and devices
US7561017B2 (en) * 2004-09-13 2009-07-14 Cooper Technologies Company Fusible switching disconnect modules and devices
US7474194B2 (en) * 2004-09-13 2009-01-06 Cooper Technologies Company Fusible switching disconnect modules and devices
KR100552820B1 (ko) * 2004-09-17 2006-02-21 동부아남반도체 주식회사 반도체 소자의 제조 방법
US7877112B2 (en) * 2004-11-19 2011-01-25 Nextel Communications Inc. SIM card data transfer system and methods
US7235501B2 (en) * 2004-12-13 2007-06-26 Micron Technology, Inc. Lanthanum hafnium oxide dielectrics
US20060125030A1 (en) * 2004-12-13 2006-06-15 Micron Technology, Inc. Hybrid ALD-CVD of PrxOy/ZrO2 films as gate dielectrics
KR100604923B1 (ko) 2005-01-04 2006-07-28 삼성전자주식회사 원자층 증착법에 의한 티탄 알루미늄 질화막 형성방법 및이를 이용하여 제조된 발열 전극을 갖는 상변화 메모리 소자
US7560395B2 (en) * 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
US7374964B2 (en) * 2005-02-10 2008-05-20 Micron Technology, Inc. Atomic layer deposition of CeO2/Al2O3 films as gate dielectrics
JP2006278376A (ja) * 2005-03-28 2006-10-12 Renesas Technology Corp 半導体装置およびその製造方法
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US7390756B2 (en) * 2005-04-28 2008-06-24 Micron Technology, Inc. Atomic layer deposited zirconium silicon oxide films
KR100688555B1 (ko) * 2005-06-30 2007-03-02 삼성전자주식회사 Mos트랜지스터를 구비하는 반도체 소자 및 그 제조 방법
US7317229B2 (en) * 2005-07-20 2008-01-08 Applied Materials, Inc. Gate electrode structures and methods of manufacture
US7473637B2 (en) * 2005-07-20 2009-01-06 Micron Technology, Inc. ALD formed titanium nitride films
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US7410910B2 (en) * 2005-08-31 2008-08-12 Micron Technology, Inc. Lanthanum aluminum oxynitride dielectric films
US8071476B2 (en) 2005-08-31 2011-12-06 Micron Technology, Inc. Cobalt titanium oxide dielectric films
US7332433B2 (en) * 2005-09-22 2008-02-19 Sematech Inc. Methods of modulating the work functions of film layers
KR100721203B1 (ko) * 2005-12-29 2007-05-23 주식회사 하이닉스반도체 3원계 옥사이드 게이트절연막을 갖는 반도체소자 및 그제조방법
KR100756035B1 (ko) * 2006-01-03 2007-09-07 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US20070164323A1 (en) * 2006-01-18 2007-07-19 Micron Technology, Inc. CMOS gates with intermetallic compound tunable work functions
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US7557047B2 (en) * 2006-06-09 2009-07-07 Micron Technology, Inc. Method of forming a layer of material using an atomic layer deposition process
US7605030B2 (en) 2006-08-31 2009-10-20 Micron Technology, Inc. Hafnium tantalum oxynitride high-k dielectric and metal gates
JP2010506408A (ja) * 2006-10-05 2010-02-25 エーエスエム アメリカ インコーポレイテッド 金属シリケート膜のald
US9087877B2 (en) * 2006-10-24 2015-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Low-k interconnect structures with reduced RC delay
WO2008072573A1 (ja) * 2006-12-11 2008-06-19 Sony Corporation 半導体装置の製造方法および半導体装置
JP5380827B2 (ja) 2006-12-11 2014-01-08 ソニー株式会社 半導体装置の製造方法
US20080241387A1 (en) * 2007-03-29 2008-10-02 Asm International N.V. Atomic layer deposition reactor
US7759237B2 (en) * 2007-06-28 2010-07-20 Micron Technology, Inc. Method of forming lutetium and lanthanum dielectric structures
US8026168B2 (en) * 2007-08-15 2011-09-27 Tokyo Electron Limited Semiconductor device containing an aluminum tantalum carbonitride barrier film and method of forming
US20090246952A1 (en) * 2008-03-28 2009-10-01 Tokyo Electron Limited Method of forming a cobalt metal nitride barrier film
US8945675B2 (en) 2008-05-29 2015-02-03 Asm International N.V. Methods for forming conductive titanium oxide thin films
US7985680B2 (en) * 2008-08-25 2011-07-26 Tokyo Electron Limited Method of forming aluminum-doped metal carbonitride gate electrodes
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8557702B2 (en) * 2009-02-02 2013-10-15 Asm America, Inc. Plasma-enhanced atomic layers deposition of conductive material over dielectric layers
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8436473B2 (en) * 2009-05-06 2013-05-07 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits including air gaps around interconnect structures, and fabrication methods thereof
US8716862B2 (en) 2009-05-06 2014-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit including a gate and a metallic connecting line
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110095379A1 (en) * 2009-10-28 2011-04-28 International Business Machines Corporation Scaling of metal gate with aluminum containing metal layer for threshold voltage shift
CN102110653A (zh) * 2009-12-29 2011-06-29 中芯国际集成电路制造(上海)有限公司 形成双金属栅极结构的方法
US8134828B2 (en) * 2010-01-21 2012-03-13 Cooper Technologies Company Configurable deadfront fusible panelboard
JP5937297B2 (ja) * 2010-03-01 2016-06-22 キヤノンアネルバ株式会社 金属窒化膜、該金属窒化膜を用いた半導体装置、および半導体装置の製造方法
US8232607B2 (en) 2010-11-23 2012-07-31 International Business Machines Corporation Borderless contact for replacement gate employing selective deposition
JP5702584B2 (ja) * 2010-11-30 2015-04-15 株式会社日立国際電気 半導体デバイスの製造方法および基板処理装置
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) * 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9646876B2 (en) 2015-02-27 2017-05-09 Applied Materials, Inc. Aluminum nitride barrier layer
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9523148B1 (en) 2015-08-25 2016-12-20 Asm Ip Holdings B.V. Process for deposition of titanium oxynitride for use in integrated circuit fabrication
US9540729B1 (en) 2015-08-25 2017-01-10 Asm Ip Holding B.V. Deposition of titanium nanolaminates for use in integrated circuit fabrication
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10790196B2 (en) 2017-11-09 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Threshold voltage tuning for fin-based integrated circuit device
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11342453B2 (en) 2020-08-18 2022-05-24 Globalfoundries U.S. Inc. Field effect transistor with asymmetric gate structure and method
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
KR102641124B1 (ko) * 2021-06-24 2024-02-28 한양대학교 산학협력단 원자층 증착법을 통해 일함수가 조절되는 반도체 소자의 게이트 구조체 및 그 형성방법
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11791391B1 (en) 2022-03-18 2023-10-17 Micron Technology, Inc. Inverters, and related memory devices and electronic systems

Family Cites Families (172)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6482262B1 (en) * 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
US3171900A (en) * 1960-07-26 1965-03-02 Gen Dynamics Corp Automatic communication system
US3381114A (en) * 1963-12-28 1968-04-30 Nippon Electric Co Device for manufacturing epitaxial crystals
US3865654A (en) * 1972-11-01 1975-02-11 Ibm Complementary field effect transistor having p doped silicon gates and process for making the same
SE393967B (sv) * 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
US4215156A (en) * 1977-08-26 1980-07-29 International Business Machines Corporation Method for fabricating tantalum semiconductor contacts
FI57975C (fi) * 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
US4333808A (en) * 1979-10-30 1982-06-08 International Business Machines Corporation Method for manufacture of ultra-thin film capacitor
US4389973A (en) * 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US4435896A (en) * 1981-12-07 1984-03-13 Bell Telephone Laboratories, Incorporated Method for fabricating complementary field effect transistor devices
DE3364607D1 (en) * 1982-03-15 1986-08-28 Toshiba Kk Optical type information recording medium
US4757360A (en) * 1983-07-06 1988-07-12 Rca Corporation Floating gate memory device with facing asperities on floating and control gates
US4590042A (en) * 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
US4920071A (en) * 1985-03-15 1990-04-24 Fairchild Camera And Instrument Corporation High temperature interconnect system for an integrated circuit
US4931411A (en) * 1985-05-01 1990-06-05 Texas Instruments Incorporated Integrated circuit process with TiN-gate transistor
US4814854A (en) * 1985-05-01 1989-03-21 Texas Instruments Incorporated Integrated circuit device and process with tin-gate transistor
US4811078A (en) * 1985-05-01 1989-03-07 Texas Instruments Incorporated Integrated circuit device and process with tin capacitors
US4947221A (en) * 1985-11-29 1990-08-07 General Electric Company Memory cell for a dense EPROM
DE3606959A1 (de) * 1986-03-04 1987-09-10 Leybold Heraeus Gmbh & Co Kg Vorrichtung zur plasmabehandlung von substraten in einer durch hochfrequenz angeregten plasmaentladung
JP2776826B2 (ja) * 1988-04-15 1998-07-16 株式会社日立製作所 半導体装置およびその製造方法
US5153144A (en) * 1988-05-10 1992-10-06 Hitachi, Ltd. Method of making tunnel EEPROM
JPH029115A (ja) * 1988-06-28 1990-01-12 Mitsubishi Electric Corp 半導体製造装置
US5042011A (en) * 1989-05-22 1991-08-20 Micron Technology, Inc. Sense amplifier pulldown device with tailored edge input
US4993358A (en) * 1989-07-28 1991-02-19 Watkins-Johnson Company Chemical vapor deposition reactor and method of operation
US5198029A (en) * 1989-08-01 1993-03-30 Gte Products Corporation Apparatus for coating small solids
AU625016B2 (en) * 1989-12-22 1992-06-25 Sumitomo Electric Industries, Ltd. Microwave component and method for fabricating substrate for use in microwave component
US6110529A (en) * 1990-07-06 2000-08-29 Advanced Tech Materials Method of forming metal films on a substrate by chemical vapor deposition
US5080928A (en) * 1990-10-05 1992-01-14 Gte Laboratories Incorporated Method for making moisture insensitive zinc sulfide based luminescent materials
US5192589A (en) * 1991-09-05 1993-03-09 Micron Technology, Inc. Low-pressure chemical vapor deposition process for depositing thin titanium nitride films having low and stable resistivity
US5280205A (en) * 1992-04-16 1994-01-18 Micron Technology, Inc. Fast sense amplifier
US5262199A (en) * 1992-04-17 1993-11-16 Center For Innovative Technology Coating porous materials with metal oxides and other ceramics by MOCVD
US6081034A (en) * 1992-06-12 2000-06-27 Micron Technology, Inc. Low-resistance contact to silicon having a titanium silicide interface and an amorphous titanium carbonitride barrier layer
US5246881A (en) * 1993-04-14 1993-09-21 Micron Semiconductor, Inc. Low-pressure chemical vapor deposition process for depositing high-density, highly-conformal, titanium nitride films of low bulk resistivity
US5399379A (en) * 1993-04-14 1995-03-21 Micron Semiconductor, Inc. Low-pressure chemical vapor deposition process for depositing high-density, highly-conformal titanium nitride films of low bulk resistivity
US5429966A (en) * 1993-07-22 1995-07-04 National Science Council Method of fabricating a textured tunnel oxide for EEPROM applications
US5610099A (en) * 1994-06-28 1997-03-11 Ramtron International Corporation Process for fabricating transistors using composite nitride structure
US6093615A (en) * 1994-08-15 2000-07-25 Micron Technology, Inc. Method of fabricating a contact structure having a composite barrier layer between a platinum layer and a polysilicon plug
US5747116A (en) * 1994-11-08 1998-05-05 Micron Technology, Inc. Method of forming an electrical contact to a silicon substrate
US5576579A (en) * 1995-01-12 1996-11-19 International Business Machines Corporation Tasin oxygen diffusion barrier in multilayer structures
US5653813A (en) * 1995-04-03 1997-08-05 Novellus Systems, Inc. Cyclone evaporator
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
US5589413A (en) * 1995-11-27 1996-12-31 Taiwan Semiconductor Manufacturing Company Method of manufacturing self-aligned bit-line during EPROM fabrication
US5659057A (en) * 1996-02-09 1997-08-19 Micron Technology, Inc. Five- and six-coordinate precursors for titanium nitride deposition
US5607722A (en) * 1996-02-09 1997-03-04 Micron Technology, Inc. Process for titanium nitride deposition using five-and six-coordinate titanium complexes
US5627785A (en) * 1996-03-15 1997-05-06 Micron Technology, Inc. Memory device with a sense amplifier
US5735960A (en) * 1996-04-02 1998-04-07 Micron Technology, Inc. Apparatus and method to increase gas residence time in a reactor
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
JP3193302B2 (ja) * 1996-06-26 2001-07-30 ティーディーケイ株式会社 膜構造体、電子デバイス、記録媒体および強誘電体薄膜の製造方法
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
JPH1079481A (ja) * 1996-09-05 1998-03-24 Mitsubishi Electric Corp 導電層接続構造およびその製造方法
EP0854210B1 (en) * 1996-12-19 2002-03-27 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus for forming thin film
US5905280A (en) * 1997-02-11 1999-05-18 Micron Technology, Inc. Capacitor structures, DRAM cell structures, methods of forming capacitors, methods of forming DRAM cells, and integrated circuits incorporating capacitor structures and DRAM cell structures
US6461982B2 (en) * 1997-02-27 2002-10-08 Micron Technology, Inc. Methods for forming a dielectric film
US5855675A (en) * 1997-03-03 1999-01-05 Genus, Inc. Multipurpose processing chamber for chemical vapor deposition processes
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US5828113A (en) * 1997-03-28 1998-10-27 Macronix International Co., Ltd. Double density MROM array structure
JPH10341002A (ja) * 1997-06-06 1998-12-22 Oki Electric Ind Co Ltd 強誘電体トランジスタ、半導体記憶装置、強誘電体トランジスタの取扱い方法および強誘電体トランジスタの製造方法
KR100385946B1 (ko) * 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
US6020024A (en) * 1997-08-04 2000-02-01 Motorola, Inc. Method for forming high dielectric constant metal oxides
KR100261017B1 (ko) * 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US6161500A (en) 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6054768A (en) * 1997-10-02 2000-04-25 Micron Technology, Inc. Metal fill by treatment of mobility layers
US5972430A (en) * 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6383955B1 (en) 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6492694B2 (en) 1998-02-27 2002-12-10 Micron Technology, Inc. Highly conductive composite polysilicon gate for CMOS integrated circuits
US6360685B1 (en) 1998-05-05 2002-03-26 Applied Materials, Inc. Sub-atmospheric chemical vapor deposition system with dopant bypass
US6225168B1 (en) * 1998-06-04 2001-05-01 Advanced Micro Devices, Inc. Semiconductor device having metal gate electrode and titanium or tantalum nitride gate dielectric barrier layer and process of fabrication thereof
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6027961A (en) * 1998-06-30 2000-02-22 Motorola, Inc. CMOS semiconductor devices and method of formation
US6391769B1 (en) 1998-08-19 2002-05-21 Samsung Electronics Co., Ltd. Method for forming metal interconnection in semiconductor device and interconnection structure fabricated thereby
US6271131B1 (en) 1998-08-26 2001-08-07 Micron Technology, Inc. Methods for forming rhodium-containing layers such as platinum-rhodium barrier layers
US6197628B1 (en) * 1998-08-27 2001-03-06 Micron Technology, Inc. Ruthenium silicide diffusion barrier layers and methods of forming same
US6583022B1 (en) * 1998-08-27 2003-06-24 Micron Technology, Inc. Methods of forming roughened layers of platinum and methods of forming capacitors
US6323081B1 (en) * 1998-09-03 2001-11-27 Micron Technology, Inc. Diffusion barrier layers and methods of forming same
US6204172B1 (en) * 1998-09-03 2001-03-20 Micron Technology, Inc. Low temperature deposition of barrier layers
US6338880B1 (en) * 1998-09-04 2002-01-15 Micron Technology, Inc. Chemical vapor deposition process for depositing titanium nitride films from an organometallic compound
JP2000133633A (ja) * 1998-09-09 2000-05-12 Texas Instr Inc <Ti> ハ―ドマスクおよびプラズマ活性化エッチャントを使用した材料のエッチング方法
EP0990918B1 (en) 1998-09-28 2009-01-21 NEC Electronics Corporation Device and method for nondestructive inspection on semiconductor device
US6218293B1 (en) * 1998-11-13 2001-04-17 Micron Technology, Inc. Batch processing for semiconductor wafers to form aluminum nitride and titanium aluminum nitride
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6445023B1 (en) * 1999-03-16 2002-09-03 Micron Technology, Inc. Mixed metal nitride and boride barrier layers
KR100319884B1 (ko) 1999-04-12 2002-01-10 윤종용 반도체소자의 커패시터 및 그 제조방법
US6171900B1 (en) * 1999-04-15 2001-01-09 Taiwan Semiconductor Manufacturing Company CVD Ta2O5/oxynitride stacked gate insulator with TiN gate electrode for sub-quarter micron MOSFET
US6410432B1 (en) * 1999-04-27 2002-06-25 Tokyo Electron Limited CVD of integrated Ta and TaNx films from tantalum halide precursors
US6524952B1 (en) 1999-06-25 2003-02-25 Applied Materials, Inc. Method of forming a titanium silicide layer on a substrate
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6297539B1 (en) * 1999-07-19 2001-10-02 Sharp Laboratories Of America, Inc. Doped zirconia, or zirconia-like, dielectric film transistor structure and deposition method for same
US6630718B1 (en) * 1999-07-26 2003-10-07 Micron Technology, Inc. Transistor gate and local interconnect
KR20010017820A (ko) * 1999-08-14 2001-03-05 윤종용 반도체 소자 및 그 제조방법
US6635939B2 (en) * 1999-08-24 2003-10-21 Micron Technology, Inc. Boron incorporated diffusion barrier material
EP1220318A4 (en) * 1999-09-30 2007-06-06 Rohm Co Ltd NON-VOLATILE MEMORY
US6576053B1 (en) * 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
US6727169B1 (en) 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
KR100304714B1 (ko) * 1999-10-20 2001-11-02 윤종용 금속 할로겐 가스를 사용한 반도체 소자의 금속 박막 형성방법
KR20020063206A (ko) * 1999-12-09 2002-08-01 동경 엘렉트론 주식회사 티탄실리콘나이트라이드막의 성막방법,티탄실리콘나이트라이드막으로 이루어진 확산방지막,반도체장치 및 그 제조방법, 및티탄실리콘나이트라이드막의 성막장치
TW439212B (en) * 1999-12-16 2001-06-07 Taiwan Semiconductor Mfg Method for preventing the open source line of ETOX flash memory with self-aligned source
US6485988B2 (en) * 1999-12-22 2002-11-26 Texas Instruments Incorporated Hydrogen-free contact etch for ferroelectric capacitor formation
KR100313091B1 (ko) 1999-12-29 2001-11-07 박종섭 반도체장치의 TaON 게이트절연막 형성방법
US6551399B1 (en) * 2000-01-10 2003-04-22 Genus Inc. Fully integrated process for MIM capacitors using atomic layer deposition
FI20000099A0 (fi) * 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
EP1266054B1 (en) * 2000-03-07 2006-12-20 Asm International N.V. Graded thin films
US6444039B1 (en) 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
KR100363088B1 (ko) * 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
KR100640067B1 (ko) * 2000-05-02 2006-10-31 한라공조주식회사 컨트롤 박스의 스위칭 회로 냉각장치
US6482733B2 (en) * 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
TW508658B (en) * 2000-05-15 2002-11-01 Asm Microchemistry Oy Process for producing integrated circuits
US6432779B1 (en) 2000-05-18 2002-08-13 Motorola, Inc. Selective removal of a metal oxide dielectric
KR100647442B1 (ko) * 2000-06-07 2006-11-17 주성엔지니어링(주) 원자층 증착법을 이용한 박막 형성방법
KR100403611B1 (ko) * 2000-06-07 2003-11-01 삼성전자주식회사 금속-절연체-금속 구조의 커패시터 및 그 제조방법
KR100368311B1 (ko) * 2000-06-27 2003-01-24 주식회사 하이닉스반도체 반도체 소자의 게이트 형성 방법
KR100569587B1 (ko) * 2000-06-30 2006-04-10 주식회사 하이닉스반도체 고유전체 캐패시터의 제조 방법
US6214662B1 (en) * 2000-07-03 2001-04-10 Taiwan Semiconductor Manufacturing Company Forming self-align source line for memory array
EP1301941A2 (en) * 2000-07-20 2003-04-16 North Carolina State University High dielectric constant metal silicates formed by controlled metal-surface reactions
US6461909B1 (en) * 2000-08-30 2002-10-08 Micron Technology, Inc. Process for fabricating RuSixOy-containing adhesion layers
US6599781B1 (en) * 2000-09-27 2003-07-29 Chou H. Li Solid state device
US7118942B1 (en) * 2000-09-27 2006-10-10 Li Chou H Method of making atomic integrated circuit device
US6784515B1 (en) * 2000-09-27 2004-08-31 Chou H Li Semiconductor integrated circuit device
US6465334B1 (en) 2000-10-05 2002-10-15 Advanced Micro Devices, Inc. Enhanced electroless deposition of dielectric precursor materials for use in in-laid gate MOS transistors
JP2002116237A (ja) * 2000-10-10 2002-04-19 Texas Instr Japan Ltd 半導体集積回路
US6368941B1 (en) 2000-11-08 2002-04-09 United Microelectronics Corp. Fabrication of a shallow trench isolation by plasma oxidation
KR100382149B1 (ko) * 2000-11-30 2003-05-09 한국전자통신연구원 스트론튬 탄탈륨 산화물 박막 형성 방법
US7871676B2 (en) * 2000-12-06 2011-01-18 Novellus Systems, Inc. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100385947B1 (ko) * 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6800173B2 (en) * 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US6537901B2 (en) * 2000-12-29 2003-03-25 Hynix Semiconductor Inc. Method of manufacturing a transistor in a semiconductor device
US20020089023A1 (en) * 2001-01-05 2002-07-11 Motorola, Inc. Low leakage current metal oxide-nitrides and method of fabricating same
US6495436B2 (en) * 2001-02-09 2002-12-17 Micron Technology, Inc. Formation of metal oxide gate dielectric
US6448192B1 (en) * 2001-04-16 2002-09-10 Motorola, Inc. Method for forming a high dielectric constant material
US6348386B1 (en) 2001-04-16 2002-02-19 Motorola, Inc. Method for making a hafnium-based insulating film
US6514828B2 (en) * 2001-04-20 2003-02-04 Micron Technology, Inc. Method of fabricating a highly reliable gate oxide
KR100519376B1 (ko) * 2001-06-12 2005-10-07 주식회사 하이닉스반도체 반도체 소자의 확산 방지막 형성 방법
US7037862B2 (en) * 2001-06-13 2006-05-02 Micron Technology, Inc. Dielectric layer forming method and devices formed therewith
US6420279B1 (en) * 2001-06-28 2002-07-16 Sharp Laboratories Of America, Inc. Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate
US6534420B2 (en) * 2001-07-18 2003-03-18 Micron Technology, Inc. Methods for forming dielectric materials and methods for forming semiconductor devices
US6614079B2 (en) * 2001-07-19 2003-09-02 International Business Machines Corporation All-in-one disposable/permanent spacer elevated source/drain, self-aligned silicide CMOS
US6858904B2 (en) * 2001-08-30 2005-02-22 Micron Technology, Inc. High aspect ratio contact structure with reduced silicon consumption
US6605549B2 (en) * 2001-09-29 2003-08-12 Intel Corporation Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics
US6656282B2 (en) * 2001-10-11 2003-12-02 Moohan Co., Ltd. Atomic layer deposition apparatus and process using remote plasma
US6423619B1 (en) * 2001-11-30 2002-07-23 Motorola, Inc. Transistor metal gate structure that minimizes non-planarity effects and method of formation
US6770521B2 (en) * 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US6953730B2 (en) * 2001-12-20 2005-10-11 Micron Technology, Inc. Low-temperature grown high quality ultra-thin CoTiO3 gate dielectrics
US6620670B2 (en) * 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US6794234B2 (en) * 2002-01-30 2004-09-21 The Regents Of The University Of California Dual work function CMOS gate technology based on metal interdiffusion
US6873020B2 (en) * 2002-02-22 2005-03-29 North Carolina State University High/low work function metal alloys for integrated circuit electrodes
US6660577B2 (en) * 2002-02-23 2003-12-09 Taiwan Semiconductor Manufacturing Co. Ltd Method for fabricating metal gates in deep sub-micron devices
US20040023810A1 (en) * 2002-07-26 2004-02-05 Alex Ignatiev Superconductor material on a tape substrate
US6921702B2 (en) * 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US20040036129A1 (en) * 2002-08-22 2004-02-26 Micron Technology, Inc. Atomic layer deposition of CMOS gates with variable work functions
US6967154B2 (en) * 2002-08-26 2005-11-22 Micron Technology, Inc. Enhanced atomic layer deposition
US6830983B2 (en) 2002-08-29 2004-12-14 Micron Technology, Inc. Method of making an oxygen diffusion barrier for semiconductor devices using platinum, rhodium, or iridium stuffed with silicon oxide
KR100460841B1 (ko) * 2002-10-22 2004-12-09 한국전자통신연구원 플라즈마 인가 원자층 증착법을 통한 질소첨가 산화물박막의 형성방법
US6958302B2 (en) * 2002-12-04 2005-10-25 Micron Technology, Inc. Atomic layer deposited Zr-Sn-Ti-O films using TiI4
US7473640B2 (en) * 2003-01-15 2009-01-06 Sharp Laboratories Of America, Inc. Reactive gate electrode conductive barrier
US7183186B2 (en) * 2003-04-22 2007-02-27 Micro Technology, Inc. Atomic layer deposited ZrTiO4 films
US7378129B2 (en) * 2003-08-18 2008-05-27 Micron Technology, Inc. Atomic layer deposition methods of forming conductive metal nitride comprising layers
US7183182B2 (en) * 2003-09-24 2007-02-27 International Business Machines Corporation Method and apparatus for fabricating CMOS field effect transistors
US7510942B2 (en) * 2003-11-25 2009-03-31 Arizona Board Of Regents, Acting For And On Behalf Of Arizona State University Molecular modifications of metal/dielectric interfaces
JP4651955B2 (ja) * 2004-03-03 2011-03-16 東京エレクトロン株式会社 成膜方法
JP4098746B2 (ja) * 2004-04-16 2008-06-11 株式会社東芝 半導体装置
US20060011949A1 (en) * 2004-07-18 2006-01-19 Chih-Wei Yang Metal-gate cmos device and fabrication method of making same
US7393733B2 (en) * 2004-12-01 2008-07-01 Amberwave Systems Corporation Methods of forming hybrid fin field-effect transistor structures
US20060113603A1 (en) * 2004-12-01 2006-06-01 Amberwave Systems Corporation Hybrid semiconductor-on-insulator structures and related methods
US7253050B2 (en) * 2004-12-20 2007-08-07 Infineon Technologies Ag Transistor device and method of manufacture thereof
US7598545B2 (en) * 2005-04-21 2009-10-06 International Business Machines Corporation Using metal/metal nitride bilayers as gate electrodes in self-aligned aggressively scaled CMOS devices
US7473637B2 (en) * 2005-07-20 2009-01-06 Micron Technology, Inc. ALD formed titanium nitride films
US7462538B2 (en) * 2005-11-15 2008-12-09 Infineon Technologies Ag Methods of manufacturing multiple gate CMOS transistors having different gate dielectric materials
US7897217B2 (en) * 2005-11-18 2011-03-01 Tokyo Electron Limited Method and system for performing plasma enhanced atomic layer deposition
US7510943B2 (en) * 2005-12-16 2009-03-31 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
US20070164323A1 (en) * 2006-01-18 2007-07-19 Micron Technology, Inc. CMOS gates with intermetallic compound tunable work functions
US20070164367A1 (en) * 2006-01-18 2007-07-19 Micron Technology, Inc. CMOS gates with solid-solution alloy tunable work functions

Also Published As

Publication number Publication date
EP1532669A1 (en) 2005-05-25
KR100701542B1 (ko) 2007-03-30
US20040140513A1 (en) 2004-07-22
KR20050038630A (ko) 2005-04-27
US20050032342A1 (en) 2005-02-10
CN100359640C (zh) 2008-01-02
US20050179097A1 (en) 2005-08-18
JP2005536877A (ja) 2005-12-02
AU2003260042A1 (en) 2004-03-11
US20040036129A1 (en) 2004-02-26
WO2004019394A1 (en) 2004-03-04
US7351628B2 (en) 2008-04-01

Similar Documents

Publication Publication Date Title
CN1689143A (zh) Cmos栅的原子层沉积
US9502256B2 (en) ZrAION films
Leskelä et al. Atomic layer deposition chemistry: recent developments and future challenges
KR100738731B1 (ko) 유전체층을 포함하는 장치 및 그 유전체층을 포함하는 장치를 형성하는 방법
US8455959B2 (en) Apparatus containing cobalt titanium oxide
US7709402B2 (en) Conductive layers for hafnium silicon oxynitride films
US7144806B1 (en) ALD of tantalum using a hydride reducing agent
US20040106261A1 (en) Method of forming an electrode with adjusted work function
US20060051925A1 (en) Atomic layer deposition of metal oxynitride layers as gate dielectrics
US20070059881A1 (en) Atomic layer deposited zirconium aluminum oxide
US20060131671A1 (en) Electronic device including dielectric layer, and a process for forming the electronic device
KR20070037645A (ko) 얇은 텅스텐 실리사이드층 증착 및 게이트 금속 집적화
US7358188B2 (en) Method of forming conductive metal silicides by reaction of metal with silicon
US7411254B2 (en) Semiconductor substrate
Kim Nano-scale zirconia and hafnia dielectrics grown by atomic layer deposition: Crystallinity, interface structures and electrical properties
CN112420489A (zh) 沉积氮化钼膜的方法和包括氮化钼膜的半导体装置结构
JP4065351B2 (ja) 欠陥密度の低いTi−Si−N及びTi−B−Nベースの絶縁保護性障壁膜の製法
US7700480B2 (en) Methods of titanium deposition
CN113555279A (zh) 形成含氮化钒的层的方法及包含其的结构
CN115613006A (zh) 用于形成包含过渡金属和第13族元素的层的方法和系统

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20080102

Termination date: 20100821