CN1723529A - 利用单个发生器和开关元件而以空间上不同的等离子体二级元件驱动空间上独立的谐振结构 - Google Patents

利用单个发生器和开关元件而以空间上不同的等离子体二级元件驱动空间上独立的谐振结构 Download PDF

Info

Publication number
CN1723529A
CN1723529A CNA2003801053580A CN200380105358A CN1723529A CN 1723529 A CN1723529 A CN 1723529A CN A2003801053580 A CNA2003801053580 A CN A2003801053580A CN 200380105358 A CN200380105358 A CN 200380105358A CN 1723529 A CN1723529 A CN 1723529A
Authority
CN
China
Prior art keywords
plasma
source power
pipeline
radio
application apparatus
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2003801053580A
Other languages
English (en)
Other versions
CN100466155C (zh
Inventor
H·花轮
K·拉马斯瓦米
K·S·柯林斯
A·阮
G·A·蒙罗伊
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1723529A publication Critical patent/CN1723529A/zh
Application granted granted Critical
Publication of CN100466155C publication Critical patent/CN100466155C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor

Abstract

一种用于处理工件的等离子体反应器,此等离子体反应器包括:外壳,在外壳内的工件支座,此工件支座对着外壳的上部,工件支座和外壳的上部确定了两者之间的处理区域,处理区域基本延伸跨越晶片支座的直径,外壳具有从其中穿过的第一对和第二对开口,第一对和第二对开口的每两个开口基本邻近工件支座的相对侧。第一中空管道位于处理区域外部且连到第一对开口,提供延伸穿过管道和跨越处理区域的第一环形通道。第二中空管道位于处理区域外部且连到第二对开口,提供延伸穿过管道和跨越处理区域的第二环形通道。第一和第二等离子体源功率应用装置分别电感耦合到第一和第二中空管道的内部,第一和第二等离子体源功率应用装置各自能维持第一和第二环形通道中各自通道内的等离子体。一射频功率发生器提供射频输出电流,电流开关网络连到射频功率发生器和第一、第二等离子体源功率应用装置之间,将射频输出电流的各个周期时间段施加到第一和第二等离子体源功率应用装置中各自的应用装置。

Description

利用单个发生器和开关元件而以空间上不同的等离子体二级元件驱动 空间上独立的谐振结构
发明人:
Hiroji Hanawa,Kartik Ramaswamy,Kenneth S.Collins,AndrewNguyen,以及Gonzalo Antonio Monroy
相关申请的交叉引用
本专利申请部分地是美国申请序列号09/638,075、由Hiroji Hanawa等人于2002年8月11日递交的、名称为“EXTERNALLY EXCITEDTORROIDAL PLASMA SOURCE”的专利申请的继续。
发明背景
技术领域:
本发明涉及等离子体反应器,其在制造部件例如微电子电路、平板显示器和类似部件的过程中用于对工件进行处理,更具体地说,涉及用于等离子反应器的等离子源。
背景技术:
微电子电路的趋势是朝着更为增加的密度和更小的特征尺寸持续发展,而这种趋势使得对这些器件的处理更加困难。例如,接触孔的直径减小了而孔深却增加了。在对晶片上的电介质薄膜进行等离子体增强蚀刻的过程中,例如,介电材料(例如二氧化硅)对光致抗蚀剂的蚀刻选择性必须足以使蚀刻工艺能够蚀刻接触孔,而不会明显干扰确定该接触孔的光致抗蚀剂掩模,其中该接触孔的直径是其深度的十到十五倍。这种任务变得愈发困难,因为近来的趋向是,光刻技术越精细,光的波长越短,从而也就需要光致抗蚀剂层让越薄,以使得电介质对光致抗蚀剂的蚀刻选择性必须大于以前的选择性。通过使用具有较低蚀刻速率的工艺,例如采用电容耦合等离子体的介电蚀刻工艺,就可以更容易地满足上述需要。与电感耦合等离子体相比,电容耦合等离子体的等离子体密度等离子体密度较小,而且电容耦合等离子体蚀刻工艺表现出良好的电介质对光致抗蚀剂蚀刻选择性。电容耦合工艺的问题就是这种工艺缓慢,因此相对地生产率较低。这种蚀刻工艺中存在的另一个问题就是等离子体分布不均匀。
为了提高生产率或蚀刻速率,已经使用了较高密度的等离子体。通常,高密度等离子体是一种电感耦合等离子体。然而,在这种高密度等离子体中,处理前体气体(process precursor gases)倾向于更快地离解,产生较高的游离氟等离子体浓度,这种组分会降低对光致抗蚀剂的蚀刻选择性。为了减小这种倾向,采用了氟-碳处理气体例如CF2,该种处理气体在等离子体中离解成含氟的蚀刻组分和一种或多种聚合物组分,聚合物组分倾向于聚集在含非氧化物的表面例如光致抗蚀剂表面。这样就可能增大蚀刻选择性。含氧电介质材料中的氧会促进电介质上聚合物的热解,由此来除去聚合物,而使得电介质材料被蚀刻,同时含非氧化物的材料(例如光致抗蚀剂)则继续被聚合物覆盖因此而受到保护免于蚀刻影响。问题在于,增加接触孔深度并减小光致抗蚀剂层厚度以适应更先进的器件设计,已经使得高密度等离子体工艺更可能在介电蚀刻期间破坏光致抗蚀剂层。因为要增大等离子体密度来提高蚀刻速率,就必须使用更加富含聚合物的等离子体以保护含非氧化物的材料例如光致抗蚀剂,从而使得从含氧电介质表面除去聚合物的速率明显减慢,特别是在小的有限区域内,例如窄接触孔的底部。结果就是,虽然光致抗蚀剂可以充分地受到保护,但一旦接触孔达到某一个深度,则由于聚合物聚集而导致阻碍蚀刻工艺的几率就会增加。通常,蚀刻停止深度小于所需的接触孔深度会使得器件失效。接触孔能够提供上面多晶硅导体层和下面多晶硅导体层之间穿过中间绝缘二氧化硅层的连接。器件失效会发生在,举例来说,蚀刻停止深度小于上面和下面多晶硅层间的距离的位置。此外,对于更加先进的器件设计,如接触孔的纵横比在10∶1或15∶1的器件设计的实际应用或可靠应用来说,获取高密度等离子体而不会出现蚀刻停止的处理窗口的成功几率会变得太小。
现在需要的是,反应器具有电感耦合等离子体反应器(具有高密度等离子体)的蚀刻速率和电容耦合反应器的选择性。过去,在单一一个机器引导的(machine led)反应器中实现这两种类型的反应器的优点是困难的。
高密度电感耦合等离子体反应器,特别是那种具有面对晶片或工件的架空线圈式天线的反应器,所具有的一个问题就是随着施加到线圈式天线上的功率增加从而提高蚀刻速率,晶片到顶板的间隙必须大得足以使晶片上方的等离子体区域吸收功率。这样来避免因为强射频场而造成晶片上器件损坏的风险。而且,对于施加到架空线圈式天线上的高射频功率级来说,晶片到顶板的间隙必须相对地大,且因此便使得小间隙的优点不能实现。
如果顶板是用于电感耦合反应器的射频场的半导体窗口,或者是电容耦合反应器的导电电极,那么晶片到顶板的小间隙的一个优点就是,顶板能以较小的间距(例如1或2英寸级)在晶片平面上提供增强的电势或接地基准电压。
因此,希望反应器不仅具有电容耦合反应器的选择性,以及电感耦合反应器的离子密度和蚀刻速率,还进一步希望除了基本限制,例如等离子体鞘的厚度之外,没有对于晶片到顶板的间距的传统限制。而且还希望反应器具有电容耦合反应器的选择性和电感耦合反应器的蚀刻速率,其中不需要增加所施加的射频等离子体源的功率就可以提高离子密度和蚀刻速率。
发明内容
用于处理工件的一种等离子体反应器,包括位于外壳内的一工件支座,工件支座对着所述外壳的上部,工件支座和外壳的上部确定了两者之间的处理区域,此区域基本延伸跨越晶片支座的直径。成对的开口的一个阵列延伸穿过所述真空封闭外壳,每一对中的开口基本邻近所述工件支座的相对侧。位于真空处理室外部的中空管道的一个阵列连到各自的开口对,从而提供了用于等离子体的相应封闭环形通道,每个相应封闭环形通道都在真空处理室的外部延伸穿过管道阵列中各自的管道,并在所述真空处理室的内部延伸于晶片表面上的相应的开口对之间。对应的源功率应用装置被连接到各自的管道。等离子源功率射频发生器提供循环的电流输出。电流开关网络连到所述源功率射频发生器和对应的各个源功率应用装置之间,以将循环电流输出的每个周期分成相应的时间段,并将这些相应的时间段施加到各个源功率应用装置。
在一个实施例中,所述电流开关网络是二极管控制的电流分配器。在另一个实施例中,所述电流开关网络包括相应的晶体管开关和互异启动信号源,所述相应的晶体管开关独立地连在所述射频功率发生器和相应的等离子体源功率应用装置之间,所述启动信号源控制所述各个晶体管开关。
附图说明
图1所示为第一实施例,其维持一架空的环形等离子流通道。
图2是对应于图1所示实施例的一个实施例的侧视图。
图3的曲线示出了随着晶片到顶板的间距的变化,等离子体中游离氟浓度的特性。
图4的曲线示出了随着施加到工件的射频偏置功率的变化,等离子体中游离氟浓度的特性。
图5的曲线示出了随着施加到线圈式天线的射频源功率的变化,等离子体中游离氟浓度的特性。
图6的曲线示出了随着反应器处理室压强的变化,等离子体中游离氟浓度的特性。
图7的曲线示出了随着惰性稀释气例如氩气的分压变化,等离子体中游离氟浓度的特性。
图8的曲线示出了处理气体的离解程度,该离解程度是电感耦合反应器的源功率以及本发明反应器的源功率的函数。
图9示出了图1所示实施例的一种变动方案。
图10和11示出了图1所示实施例的一种变动方案,其中采用了闭路磁芯。
图12示出本发明的另一个实施例,其中环形等离子体流通道穿过反应器处理室的下方。
图13示出图10所示实施例的一种变动方案,其中等离子体源功率被施加到缠绕在闭路磁芯远端部分的线圈。
图14所示的实施例产生两个平行的环形等离子体流。
图15所示的实施例产生多个单独控制的平行等离子流。
图16示出了图15所示实施例的一个变动方案,其中平行环形等离子体流通过竖直侧壁而不是顶板进入或离开等离子体处理室。
图17A所示的实施例维持一对穿越工件表面的互相垂直的环形等离子体流。
图17B示出了图17A所示实施例中多个轮辐(radial vanes)的作用。
图18和19示出本发明的一个实施例,其中环形等离子体流是一延伸跨越宽通道的宽带,此宽带适于处理大晶片。
图20示出图18所示实施例的一种变动方案,其中环形等离子流通道的外部受到压缩。
图21示出图18所示实施例的一种变动方案,其采用了圆柱形磁芯,这些圆柱形磁芯的轴向位置可以调节,以便调节在晶片表面上的离子密度分布。
图22示出图21的一种变动方案,其中一对绕组被绕制于一对圆柱形磁芯组上。
图23示出图22的一种变动方案,其中单个共用绕组被绕制于两个磁芯组上。
图24和25所示的实施例维持一对彼此垂直的环形等离子体流,所述等离子体流是适于处理大晶片的宽带。
图26所示为图25所示实施例的一种变动方案,其中采用了磁芯来增强电感耦合。
图27所示为图24所示实施例的一种改进方案,其中正交等离子体带是通过竖直侧壁而不是水平顶板来进入和离开反应器处理室的。
图28A所示为图24所示实施例的一种实施方案,此方案产生一转动的环形等离子体流。
图28B所示为图28A所示实施例的一种版本,其包括磁芯。
图29所示为本发明的一个优选实施列,其中设置了一连续圆形压力通风系统以包围环形等离子体流。
图30是对应于图29的俯视图。
图31A和31B是对应于图30的前视图和侧视图。
图32为图29所示实施例的一种变动方案,其在连续压力通风系统的下面采用了三个独立驱动的以120度间隔相对的射频线圈。
图33所示为图32所示实施例的一种变动方案,其中以120度的相位差来驱动三个射频线圈,以提供角向转动的等离子体。
图34所示为图33所示实施例的一种版本,其中射频驱动线圈是沿着各自磁芯的竖直方向的外端绕制的,这些磁芯的相反端在压力通风系统下方以对称分布的角度水平延伸。
图35是图17所示实施例的一种版本,其中互相横越的中空管道如图20的实施例那样是变窄的。
图36是图24所示实施例的一种版本,但采用了一对磁芯3610、3620,它们具有各自的在它们上面绕制的绕组3630、3640,用于连接到各自的射频功率源。
图37是对应于图35的一个实施例,但此实施例具有三个而不是两个再进入管道,其中总共有六个通向处理室的再进入端口。
图38是对应于图38的一个实施例,但其具有三个而不是两个再进入管道,其中总共有六个通向处理室的再进入端口。
图39是对应于图35的一个实施例,其中外部管道合并在一起成为一个共用的压力通风系统3910。
图40是对应于图36的一个实施例,其中外部管道合并在一起成为一个共用的压力通风系统4010。
图41是对应于图37的一个实施例,其中外部管道合并在一起成为一个共用的压力通风系统4110。
图42是对应于图38的一个实施例,其中外部管道合并在一起成为一个共用的压力通风系统4210。
图43是对应于图17的一个实施例,其中外部管道合并在一起成为一个共用的压力通风系统4310。
图44A是对应于图24的一个实施例的立体图,其有两个外部再进入管道形成两个环形等离子体通道,其中只有单一一个射频功率发生器,此射频功率发生器通过一个二极管控制的电流分配器电路向两个外部管道的源功率应用装置供电。
图44B是对应于图44A的截面侧视图。
图45A和图45B是同时刻射频电流的时序图,这些射频电流施加到图44A所示实施例的各个外部再进入管道的源功率应用装置。
图46示出对应于图44A的一个实施例,其中图44A所示的二极管控制的电流分配器电路被一定时MOSFET开关电路所取代。
图47A、47B和47C分别是射频发生器输出电流和一对控制MOSFET开关电路的互补时钟信号的同时刻时序图。
图48示出对应于图44A的一个实施例,其采用了图44A所示二极管控制的电流分配器电路的一种改进方案,其中去除了一个变换器。
图49示出了对应于图48的一个实施例,此实施例在二极管控制的电流分配器电路中采用了多个并联的二极管以提高载流能力。
图50A示出了一种反应器,用于在同一个处理室内同时处理两个在各自晶片支撑基座上的晶片,该反应器在单个处理室内利用了图24所示类型的两个反应器的组合,上述单个处理室对应于四个外部管道,这些外部管道以成对的互相横越的管道的形式设置在两个晶片支撑基座中各自基座的上方。
图50B是对应于图50A的截面侧视图。
图51示出对应于图50A的一个实施例,其中只有单独一个射频功率发生器,此射频功率发生器通过一开关网络电流分配器向四个外部再进入管道的源功率应用装置供电。
图52是一时序图,示出图51的开关网络电流分配器如何将图51的单个射频功率发生器的输出分为四个周期相位。
图53A、53B、53C和53D示出了射频电流的波形,这些射频电流被施加到图51所示的四个外部再进入管道的源功率应用装置。
图54示出图51开关网络的一个示例性实施方案。
图55和56A、56B、56C、56D是分别表明源功率射频发生器输出电流和四个控制图54开关网络的的互异时钟信号的同时刻时序图。
图57是对应于图50A的一个实施例,其中只有两个频率或相位不同的射频功率发生器,通过二极管控制的电流分配器而向四个外部再进入管道的源功率应用装置供电。
图58A和58B展示图55所示实施例中两个源功率射频发生器的射频电流波形。
图59A和59B展示施加到图55中的一对互相成横向的管道的各自源功率应用装置的射频电流波形。
图60A和60B展示施加到图55中的另一对互相成横向的管道的各自源功率应用装置的射频电流波形。
具体实施方式
等离子体反应器处理室概述:
参考图1,为圆柱形侧壁105和顶板110所包围的等离子体反应器处理室100容纳一晶片基座115,此晶片基座用于支撑半导体晶片或工件120。通过延伸穿过侧壁105的气体入口管嘴130a-130d,处理气体供应源125将处理气体供给到处理室100内。真空泵135控制处理室100内的压强,通常将压强保持在0.5毫托(mT)以下。半环形的中空管外壳或管道150以半圆形延伸于顶板110的上方。管道150虽然在外部从顶板110向外延伸,但仍是反应器的一部分,并构成处理室的一个壁;而在内部,它分担排出气体,此排出气体与存在于反应器内其它位置的排出气体是相同的。实际上,真空泵135可以不像如图1所示的那样被连接到处理室主体的底部,而是连接到管道150,不过这在目前并不是优选的。管道150具有沿着反应器顶板110中的第一开口155密封的一个开口端150a,而其另一开口端150b则沿着反应器顶板110中的第二开口160密封。两个开口或端口150、160大致位于晶片支撑基座115的相对两侧。中空管道150是可再进入的,因为它提供了这样的流动通道:其在一个开口离开处理室的主体而在另一个开口又重新进入。在本说明书中,管道150可以被描述成是半环形的,其中管道是中空的并构成了一个封闭通道的一部分,等离子体可以在其中流动,而要通过流过晶片支撑基座115上面的整个处理区域,才流完整个通道。尽管使用了术语“环形(Atorridal@)”,通道的轨迹以及通道或管道150的断面形状可以是圆形的或非圆形的,而且还可以是正方形的、矩形的或其它任何形状,无论规则或者不规则形状。
外部管道150可以由一种较薄的导体例如薄板金属制成,不过强度要足以承受处理室内的真空。为了抑制中空管道150的薄板金属内的涡流(因此而便于射频电感场耦合到管道150的内部),一绝缘间隙152延伸跨越并穿透中空管道150从而将管道分为两个管状部分。间隙152是由绝缘材料环154填充的,例如用陶瓷来替代薄板金属皮,因此该间隙是真空密封的。可以设置一第二绝缘间隙153,从而使管道150的一部分是电位浮动的。一偏置射频发生器162通过阻抗匹配元件164,而向晶片基座115和晶片120施加射频偏置功率。
可选择的是,中空管道150可以由非导电材料制成,来取代薄板金属。举例来说,此非导电材料可以是一种陶瓷。在这样一个可选实施例中,间隙152或153均是不需要的。
天线170,如绕组或线圈165,位于中空管道150的一侧,而且是围绕着平行于中空管道对称轴的轴线绕制的,天线170通过阻抗匹配元件175连到射频功率源180。天线170可以进一步包括第二绕组185,其位于中空管道150的另一侧并按与第一绕组165相同的方向绕制,从而使两个绕组的磁场可以迭加增强。
来自处理室100的处理气体充入中空管道150。另外,一个独立的处理气体供应源190可以通过气体入口195直接将处理气体供应到中空管道150内。外部中空管道150内的射频场将管内的气体离子化从而产生等离子体。由环形线圈式天线170感应产生的射频场使得在管150内产生的等离子体到达并充满晶片120和顶板110之间的区域,从而形成一环形通道,该环形通道包括半环形中空管道150。在本文中使用的术语“环形(Atorroidal@)”指的是通道的封闭和坚固特性,但并不是指或限定其截面形状或轨迹——截面形状或轨迹中任一个可以是圆形的或非圆形的或方形的或其它形状。等离子体穿过完整的环形通道或区域而循环,该通道可以看作是一封闭的等离子体环路。环形区域延伸跨越晶片120的直径,并且,在某些实施例中,环形区域在晶片平面上具有足够的宽度使得它能够覆盖整个晶片表面。
由线圈式天线170产生的射频感应场是一种自身封闭的磁场(如同所有的磁场那样),并因而沿着本说明书所描述的封闭环形通道感应出等离子体流。通常认为来自射频感应场的功率可以基本在沿着封闭通道的每个位置处被吸收,因此沿着整个通道都产生等离子体离子。取决于若干因素,沿着这个封闭的通道,射频功率吸收和等离子体离子产生的速率在不同的位置处会有所不同。然而,沿着封闭通道的长度,等离子体流基本是一致的,即便等离子体流密度可能有所不同。该等离子体流以施加到天线170上的射频信号的频率交替。然而,因为由射频感应场产生的等离子体流是封闭的,此等离子体流沿着封闭通道的环路一定是守恒的,因此,流经封闭通道任何部分的等离子体流的大小与通道其它任一部分的等离子体流的大小是基本相同的。如下面将描述的那样,本发明充分利用了这个现象。
等离子体流所流经的封闭环形通道是由等离子体鞘界定的,等离子体鞘形成于界定了通道的各个导电表面处。这些导电表面包括中空管道150的薄板金属、晶片(和/或晶片支撑基座)及晶片上方的顶板。在这些导电表面上形成的等离子体鞘是电荷耗尽区(charge-depletedregions),是因电荷不平衡产生的,这种电荷不平衡是由于低质量负电子的迁移率较大而重质量正离子的迁移率较小造成的。这样的等离子体鞘所具有的电场垂直于此鞘下的局部表面。因此,流经晶片上方处理区域的射频等离子体流受到两个电场的限制且穿过这两个电场,所述两个电场垂直于对着晶片的顶板表面以及对着气体分配板的晶片表面。如果电场集中在小面积处,例如晶片上,此处的鞘的厚度(借助于施加到工件或其它电极上的射频偏置)较大,而在其它位置例如盖住顶板和大的邻近处理室壁面处,鞘的厚度较小。因此,覆盖晶片的等离子体鞘要厚得多。晶片的鞘和顶板/气体分配板的鞘的电场彼此基本平行,并垂直于在处理区域内流动的射频等离子体流的方向。
当射频功率被首次施加到线圈式天线170时,在间隙152上发生的放电激发来自中空管道150内气体的电容耦合等离子体。之后,随着流经中空管道150的等离子体流增大,射频场的电感耦合变得更加显著,使得等离子体成为一种电感耦合等离子体。可选择的是,可以用其它手段激发等离子体,例如通过施加到工件支座或其它电极的射频偏置。
为了避免在晶片周边处的边缘效应,将端口150、160间隔开的距离超过了晶片的直径。例如,对于12英寸直径的晶片而言,端口150、160隔开约16到22英寸。对于8英寸直径的晶片而言,端口150、160隔开约10到16英寸。
本发明的优点:
本发明一个显著的优点就是,来自射频感应场的功率在整个相对长的(即与晶片和反应器顶板之间的间距相比是长的)封闭环形通道上均被吸收,因此射频功率吸收是分布于大面积区域上的。结果就是,在晶片到顶板的间隙附近(即由图2最清楚表示的处理区域121,不要与绝缘间隙152混淆),射频功率相对地低,从而降低了射频场损坏器件的风险。相比之下,在现有技术的电感耦合反应器中,所有的射频功率都是在狭窄的晶片到顶板的间隙内被吸收的,因此功率主要集中在该区域内。而且,这个事实常常限制了使晶片到顶板的间隙变窄(以便获取其它优点)的能力,或者换言之,要求在晶片区域内更大地集中射频功率。因此,本发明克服了本技术领域长期存在的一种局限。如本说明书在前面所述,在这一方面,本发明通过大幅减小晶片上方的处理区域或处理带的体积,减少了活性气体(reactive gases)的滞留时间,从而提高了处理性能。
本发明一个有关的而且更加重要的优点是,无需增加施加到线圈式天线170的射频功率就可以极大地增加晶片表面处的等离子体密度(从而产生更高的效率)。相对于环形通道的剩余部分,减小环形通道在基座表面和晶片120附近处的截面面积,就可以实现上述优点。通过这样仅仅限制晶片附近等离子体流的环形通道,晶片表面附近的等离子体密度就会成比例增加。这是因为流经中空管道150的环形通道等离子体流必须至少近似等于流经基座到顶板(晶片到顶板)的间隙的等离子体流。
本发明与现有技术的一个显著区别就是,不仅射频场远离工件,且不仅无需增强所施加的射频场就可以增加晶片表面处的离子密度,而且还可以不增加晶片到顶板的最短距离就增加等离子体的离子密度和/或所施加的射频场。以前,这种等离子体密度的增加必须要求增加晶片到顶板的间隙以避免晶片表面处的强场。相比之下,在本发明中,实现对等离子体密度的增加不需要增加晶片到顶板的间隙以避免晶片表面处伴随的射频磁场增加。这是因为射频场是远离晶片而被施加的,而且不需要增加射频场以实现晶片表面处等离子体密度的增加。因此,可以将晶片到顶板的间隙减到基本限度以获取大量的好处。例如,如果晶片上方的顶板表面是导电的,那么减小晶片到顶板的间隙会提高由导电顶板表面提供的电位或接地基准电位。晶片到顶板的最小间距的基本限度是晶片表面上和顶板表面上的等离子体鞘的厚度之和。
本发明的另一个优点是,因为射频电感场是沿着射频等离子体流的整个环形通道来施加的(因此如上述的那样,射频功率吸收是分布式的),从而使处理室顶板110不像多数其它电感供电的反应器所使用的顶板,不需要作为电感场的窗口,且因此而可用任何所需的材料制成,例如高导电率的厚金属板,从而举例来说,可以如下面将要描述的那样构成一个导电气体分配板。因此,顶板110很容易在基座或晶片120的整个平面上提供可靠的电势或接地基准电位。
增加等离子体的离子密度:
一种通过减小等离子体通道截面面积来实现晶片表面处较高等离子体密度的方法就是减小晶片到顶板的间距。通过简单地减小顶板高度,或在晶片上方引入如图2所示的导电气体分配板或喷头,就可以实现上述目的。图2中的气体分配喷头210包括连到气体供应源125的气体分配压力通风系统220,该气体分配压力通风系统220通过多个气体喷嘴口230而与晶片120上方的处理区域连通。导电喷头210的优点是双重的:第一,因为它的位置邻近晶片,因此它限制了晶片表面上方的等离子体通道,并由此而增加了在此邻近区域内的等离子体流的密度。第二,它提供了邻近并跨越整个晶片表面的均匀的电势基准或接地平面。
优选的是,为了避免喷嘴口230之间的电弧,每个喷嘴口230相对地小,为毫米级(优选孔径大约为0.5毫米)。相邻的喷嘴口之间的距离为几个毫米级。
导电喷头210限制等离子体流通道,而不是通过自身提供短路,这是因为在进入等离子体内的喷头表面部分周围会形成一个等离子体鞘。与晶片120和喷头210之间的空间相比,该等离子体鞘对于等离子体流具有更大的阻抗,因此所有的等离子体流都沿着导电喷头210流动。
为了限制晶片上方处理区域附近的环形等离子体流或通道,不一定要采用喷头(例如喷头210)。限制通道和随后在处理区域内增加等离子体离子密度能够不使用喷头210,而简单地减小晶片到顶板的高度就得以实现。如果以这种方式去除喷头210,则可通过常用的气体入口管嘴(未示出)将处理气体供应到处理室内部。
喷头120的一个优点就是,能以不同的半径通过不同的喷嘴口230,引入不同的活性处理气体和惰性处理气体混合比,从而举例来说能够精细地调节对于光致抗蚀剂来说等离子体效应的均匀性。因此举例来说,便能够向中间半径以外的喷嘴口230提供更大的惰性气体对活性气体的比例,同时还能够向中间半径以内的喷嘴口230供应更大的活性气体对惰性气体的比例。
如下面将要描述的那样,限制晶片上处理区域内的环形等离子体流通道(以便增加晶片上等离子体的离子浓度)的另一种方法就是,通过增加施加到晶片支撑基座的射频偏置功率来增加晶片上的等离子体鞘的厚度。因为如上所述,穿过处理区域的等离子体流被限制在晶片表面处的等离子体鞘和顶板(或喷头)表面处的等离子体鞘之间,增加晶片表面处的等离子体鞘的厚度必然减小处理区域内环形等离子体流部分的截面,从而增加处理区域内的等离子体离子密度。因此,如随后将在本说明书中更充分地描述的那样,随着增加晶片支撑基座上的射频偏置功率,晶片表面附近的等离子体离子密度会因此增加。
在高蚀刻速率上的高蚀刻选择性:
本发明解决了某些时候伴随着高密度等离子体发生的不良蚀刻选择性问题。图1和图2中的反应器的二氧化硅对于光致抗蚀剂的选择性和电容耦合等离子体反应器的选择性一样高(大约7∶1),而所提供的高蚀刻速率则接近于高密度电感耦合等离子体反应器的蚀刻速率。可认为,这种成功的原因就是图1和图2中的反应器结构降低了活性处理气体,通常是一种氟碳气体的离解程度,从而减少了晶片120上方等离子体区域内游离氟的出现。因此,等离子体内的游离氟相对于从氟碳气体离解出的其它组分的比例也会像希望的那样减小。这些其它组分包括保护性的富含碳的聚合物前体组分,它们来自氟碳处理气体,形成于等离子体内,并沉积在光致抗蚀剂上作为一种保护性的聚合物层;它们进一步包括由氟碳处理气体形成于等离子体内的活性较差的蚀刻组分,例如CF和CF2。游离氟倾向于与光致抗蚀剂和在光致抗蚀剂上形成的聚合物保护层起化学反应,其剧烈程度和游离氟与二氧化硅起化学反应的剧烈程度一样,因此降低了氧化物对光致抗蚀剂的蚀刻选择性。另一方面,活性较差的蚀刻组分例如CF2或CF倾向于与光致抗蚀剂和在光致抗蚀剂上形成的聚合物保护层更缓慢地发生化学反应,因此提供了优良的蚀刻选择性。
通常认为,在本发明中减少生成游离氟的等离子体组分的离解,是通过减少等离子体内活性气体的滞留时间来实现的。这是因为等离子体内由氟碳处理气体最初离解的更加复杂的组分,例如CF2和CF,自身会最终离解成包括游离氟的更简单的组分,最后的离解步骤的程度取决于等离子体内气体的滞留时间。在本说明书中所采用的术语“滞留时间”或“停留时间”大体上对应于一种处理气体分子和由该处理气体分子所离解出的组分存在于工件或晶片上方处理区域内的平均时间。这段时间或称持续时间从分子最初出现在处理区域内开始,直到分子和/或其被离解的子代(progeny)沿着上述封闭环形通道流出处理区域为止,该封闭环形通道延伸穿过处理带。
如上面描述的那样,本发明通过减少氟碳处理气体在处理区域的滞留时间提高了蚀刻选择性。滞留时间上的减少是通过限制晶片120和顶板110之间的等离子体体积来实现的。
晶片到顶板的间隙或体积的减小具有某些有利的效果。第一,它增加了晶片上的等离子体密度并提高了蚀刻速率。第二,滞留时间随着体积的减小而减少。参考上述的内容,在本发明中是可以获得这种小体积的,这是因为与常规电感耦合反应器不同,在本发明中,射频源功率并不是局限在晶片上方处理区域的范围内的,反之,功率沉积是沿着等离子体流的整个封闭环形通道分布的。因此,晶片到顶板的间隙可以小于射频感应场的趋肤深度,而且实际上它可以小到能够显著减少引入到处理区域内的活性气体的滞留时间,这是一个明显的优点。
有两种方法可以减小等离子体通道的截面,从而减小晶片120上方的体积。一种是减小晶片到喷头的间距。另一种是通过增加由射频偏置功率发生器162向晶片基座115施加的偏置射频功率,来增加晶片上的等离子体鞘的厚度,如以上简单说明的那样。如使用发光光谱学(OES)技术观察到的那样,这两种方法的任一种都会导致晶片120附近等离子体内游离氟含量的降低(和随后的电介质对光致抗蚀剂的蚀刻选择性的增加)。
本发明有三种额外的方法来降低游离氟含量,从而提高蚀刻选择性。一种方法是将非化学活性的稀释气例如氩气引入到等离子体内。优选的是,通过从第二处理气体供应源190直接向中空管道150注入氩气而使氩气被引入到处理区域的外部和上部,同时化学活性处理气体(氟碳气体)仅通过喷头210进入处理室。借助于这种有利的设置,氩离子、中性分子、和激活的中性分子便在环形通道的等离子体流内传播,并穿过跨越晶片表面的处理区域,从而稀释了新引入的活性(例如氟碳)气体,并因此而有效地减少了它们在晶片上方的滞留时间。另一种减小等离子体游离氟含量的方法是降低处理室压强。再一种方法是减小施加到线圈式天线170上的射频源功率。
图3是一个曲线图,示出了在本发明中观察到的趋势,其中随着晶片到喷头的间距减小,等离子体的游离氟含量降低。图4是一曲线图,示出了通过减小施加到晶片基座115上的等离子体偏置功率来降低等离子体的游离氟含量。图5的曲线示出了通过减小施加到线圈式天线170的射频源功率来降低等离子体的游离氟含量。图6的曲线示出了游离氟含量是通过降低处理室压强来降低的。图7的曲线示出了等离子体游离氟含量是通过增加进入封闭管道150的稀释气(氩气)的流速来降低的。图3-图7的曲线仅仅是根据多个OES观察结果推出的等离子体性能趋向,并不代表实际数据。
本发明的宽处理窗口:
优选的是,处理室的压强低于0.5托,并且可以低至1毫托。处理气体可以是C4F8,其通过气体分配喷头而以大约15cc/m的流速、150cc/m的氩被注入到处理室100内,其中处理室压强被保持在大约20毫托。可选地,氩气流速可以增至650cc/m,而处理室压强可增至60毫托。天线170可以用大约500瓦的射频功率、以13兆赫的频率来激发。晶片到喷头的间隙可以是大约0.3英寸到2英寸。施加到晶片基座的偏置射频功率可以是13兆赫、2000瓦。也可以选择其它的频率。施加到线圈式天线170的源功率可以低至50千赫,或高达13兆赫的若干倍,或是更高。施加到晶片基座上的偏置功率也同样如此。
用于图1和图2中的反应器的处理窗口比用于常规电感耦合反应器的处理窗口要宽得多。图8的曲线示出了这种情况,对于常规的电感反应器和图1和图2的反应器,该图示出了作为射频源功率的函数的游离氟的特定中性流量(specific neutral flux)。对于常规的电感耦合反应器,图8示出随着源功率超过500到100瓦之间的范围,游离氟的特定流量就开始快速增加。相比之下,在游离氟的特定流量开始快速增加之前,图1和图2的反应器能够接受的源功率级接近于1000瓦。因此,与常规电感耦合反应器相比,本发明的源功率处理窗口要宽出大约一个数量级,这是一个显著的优点。
本发明的双重优点:
对晶片或工件附近的环形等离子体流通道的限制产生了两个独立的优点,而且没有明显地牺牲任何其它性能标准:(1)无需要求增加等离子体源功率就可以增大晶片上的等离子体密度,和(2)如上述的那样,对光致抗蚀剂或其它材料的蚀刻选择性增加了。通常认为,在现有的等离子体反应器中,利用增加蚀刻选择性的同一步骤来使得等离子体离子密度增加,如果不是不可能的话,也是不现实的。因此,借助本发明的环形等离子体源而实现的上述双重优点是对现有技术的一种革命性改进。
其它的优选实施:
图9所示为图1中的实施例的一个改动方案,其中侧部的天线170被一更小的天线910取代,天线910被设置在顶板110和中空管道150之间的空间内。优选的是,天线910是一单个线圈绕组,其中心相对于中空管道150定位。
图10和图11示出如何通过增加一个封闭的导磁芯1015来改进图1中的实施例,导磁芯1015延伸穿过顶板110和中空管道150间的空间。导磁芯1015增强了从天线170到中空管道150之内的等离子体的电感耦合。
不用阻抗匹配电路175,而代之以使用围绕着导磁芯1015、连到调谐电容器1130两端的第二绕组1120,也可以获得阻抗匹配。调谐电容器1130的电容是经过选择的,以使其在射频功率源180的频率上与第二绕组1120发生谐振。对于固定的调谐电容器1130来说,可以通过频率调谐和/或正向功率伺服(forward power servoing)来提供动态的阻抗匹配。
图12所示为本发明的一个实施例,其中一中空封闭管1250沿着反应器的底部延伸,并通过反应室底板中的一对开口1260、1265而与反应室的内部连通。线圈式天线1270沿着环形通道的侧面设置,该通道是以图1中的实施例的方式由中空封闭管1250提供的。虽然图12所示的真空泵135连到主处理室的底部,但它也完全可代之以被连到下面的管道1250。
图13所示为图10和图11中的实施例的一个变化方案,其中天线170由围绕着导磁芯1015的上部绕制的电感绕组1320所替代。方便的是,绕组1320围绕着管道150上方(而不是其下方)的一部分导磁芯1015。不过绕组1320可以围绕着导磁芯1015的任何部分。
图14所示为图13的概念的延伸,其中第二中空封闭管1450与第一中空管道150平行设置,并为第二环形等离子体流提供一平行的环形通道。封闭管1450在它的每个端部,通过顶板110中的相应开口而与处理室的内部连通。磁芯1470在两个封闭管150、1450之下延伸,并穿过线圈式天线170。
图15所示为图14概念的延伸,其中一平行的中空封闭管阵列150a、150b、150c、150d提供了多个穿过反应器处理室的环形等离子体流通道。在图15所示实施例中,每个独立的中空管道150a-150d的等离子体离子密度是分别由独立的线圈式天线170a-170d独立控制的,线圈式天线170a-170d是分别由独立的射频功率源180a-180d驱动的。独立的圆柱形开口磁芯1520a-1520d可以分别插入相应的线圈式天线170a-170d内。在这个实施例中,中心至边缘的相对离子密度分布可以通过分别调节单独射频功率源180a-180d的功率级来调节。
图16所示为图15的实施例的一个改动方案,其中封闭管阵列150a-150d延伸穿过反应器的侧壁,而不是穿过顶板110。图16中所示的另一改动就是使用了单一个个共用磁芯1470,该磁芯接近于所有的封闭管150a-150d,并具有围绕着它而绕制的天线170,这使得单一一个射频源可以激发所有封闭管150a-150d内的等离子体。
图17A示出一对正交的封闭管150-1和150-2,它们延伸穿过顶板110中各自的开口,并由各自的线圈式天线170-1和170-2激发。独立的磁芯1015-1和1015-2分别位于相应的线圈式天线170-1和170-2内。这个实施例在晶片120上产生两个互相垂直的环形等离子体流通道,以提高均匀性。如图所示,这两个正交的环形或封闭通道是独立且单独供电的,但可在晶片上方的处理区域内相交,否则就不相交。为了确保对施加到上述正交通道中每一通道的等离子体源功率分别进行控制,图17中相应的射频发生器180a、180b的频率是不同的,从而使得阻抗匹配电路175a、175b的操作是去耦合的。例如,射频发生器180a可以产生11兆赫的射频信号,而射频发生器180b可以产生12兆赫的射频信号。此外,可以通过偏置两个射频发生器180a、180b的相位来实现独立的操作。
图17B示出如何使用轮辐(radial vanes)181来引导两个管道150-1、150-2中各自的环形等离子体流穿过晶片支座上方的处理区域。轮辐181延伸于每个管道的开口之间,靠近处理室的侧壁,直到晶片支座的边缘。轮辐181防止等离子体从一个环形通道转到另一个环形通道,而使得两个等离子体流只在晶片支座上方的处理区域相交。
适于大直径晶片的实施例:
最近的工业趋向除了朝着更小的器件尺寸和更高的器件密度发展之外,另一个趋向就是朝着更大的晶片直径发展。例如,直径12英寸的晶片现在已经进入生产,而且将来还可能有直径更大的晶片。优点就是因为每个晶片上集成电路模片数目较大而可以有更大的生产率。缺点就是在等离子体处理中,保持跨越大直径晶片的均匀等离子体更加困难。本发明的下述实施例特别适合于提供跨越大直径晶片、例如直径12英寸晶片的整个表面的均匀等离子体离子密度分布。
图18和图19示出一个中空封闭管1810,它是图1中的中空管道150的一种宽平矩形版本1850,其包括绝缘间隙1852。这一版本产生一个宽的等离子体“带”,它可以更好地适合于均匀地覆盖大直径的晶片,例如直径12英寸的晶片或工件。封闭管以及顶板110中的一对开口1860、1862的宽度W优选超过晶片约5%或更多。例如,如果晶片直径为10英寸,那么矩形封闭管1850以及开口1860、1862的宽度W就是大约11英寸。图20所示为图18和图19中的矩形封闭管1850的一个改动版本1850′,其中外部封闭管1850的一部分1864是狭缩的。然而,图18和图19的未狭缩的版本是优选的。
图20进一步示出了在封闭管1850的狭缩部分和未狭缩部分的过渡处对聚焦磁铁1870的可选择的利用。聚焦磁铁1870有助于等离子体在封闭管1850的狭缩部分和未狭缩部分之间更好地流动,确切地说,当等离子体流过封闭管1850的狭缩部分1864和未狭缩部分之间的过渡处时,有助于等离子体更均匀地传开。
图21示出多个圆柱形磁芯2110如何能够被插入由封闭管1850限定的外部区域2120。圆柱形磁芯2110基本上平行于对称的封闭管1850的轴线。图22所示的是图21中的实施例的一个改动方案,其中延伸而完全穿过为封闭管1850所包围的外部区域2120的磁芯2110,被缩短的成对磁芯2210、2220所替代,此缩短的成对磁芯2210、2220分别处于外部区域2120的一半空间内。侧部的线圈165、166则被一对线圈绕组2230、2240所替代,线圈绕组2230、2240围绕着相应的磁芯对2210、2220。在这个实施例中,磁芯对2210、2220间的位移D可以被改变,以调节相对于晶片圆周处的离子密度的晶片中心附近的离子密度。较宽的位移D使晶片中心附近的电感耦合降低,并因此而减小了晶片中心处的等离子体离子密度。所以,设置了一种额外的控制元件,用以精确地调节晶片表面上的离子密度的空间分布。图23所示的是图22中的实施例的一个变动方案,其中独立的绕组2230、2240被单一一个中心绕组2310所替代,绕组2310位于磁芯对2210、2220的中心。
图24和图25所示的实施例在晶片表面上提供了等离子体离子密度分布的甚至更大的均匀性。在图24和图25所示实施例中,建立了两个环形等离子体流通道,它们彼此成横向,而且优选是相互垂直的。这是通过下述方式来实现的:设置第二宽矩形的中空封闭管2420,其延伸横向越过并优选垂直于第一封闭管1850。第二封闭管2420通过穿过顶板110的一对开口2430、2440而与处理室内部连通,并包括一绝缘间隙2452。一对侧部线圈绕组2450、2460沿着第二封闭管2420的侧面维持第二封闭管内的等离子体,且它们是由第二射频功率源2470通过阻抗匹配电路2480驱动的。如图24所示,两个垂直的等离子体流在晶片表面上方交汇,并在晶片表面上方提供了更加均匀覆盖的等离子体。预期这个实施例对用于处理直径为10英寸或更大的大晶片而言特别有利。
如图17中的实施例那样,图24中的实施例在晶片120上方产生两个互相垂直的环形等离子体流通道以提高均匀性。如图所示的那样,这两个正交的环形或封闭通道是独立并且单独供电的,但在晶片上的处理区域内相交,否则就不相交或者彼此偏转或扩散。为了确保对施加到正交通道中每个通道的等离子体源功率分别进行控制,图24中相应的射频发生器180、2470的频率是不同的,以使得阻抗匹配电路175、2480的操作是去耦合的。例如,射频发生器180可以产生11兆赫的射频信号,而射频发生器2470可以产生12兆赫的射频信号。此外,可以通过偏置两个射频发生器180、2470的相位来实现独立的操作。
图26所示为图18中的实施例的一个变动方案,其中一改动的矩形封闭管2650包括绝缘间隙2658,该封闭管穿过处理室侧壁105而与处理室的内部连通,而不是穿过顶板110与处理室内部连通。因此,矩形封闭管2650具有一水平顶部2652、一对位于顶部2652的相应端部的向下伸出的腿2654、及一对水平向内延伸的腿2656,每个腿2656分别从一个向下伸出的腿2654的底端向相应的侧壁105中的开口2670、2680延伸。
图27示出了能够如何将包括绝缘间隙2752的第二矩形封闭管2710加入图26中的实施例,第二封闭管2710与图26中的矩形封闭管2650相同,除了矩形封闭管2650、2710互相垂直之外(或者至少彼此成横向)。第二矩形封闭管通过穿过侧壁105的相应开口而与处理室内部连通,上述开口包括开口2720。如同图25中的实施例,封闭管2650和2710产生互相垂直的环形等离子体流,交汇于晶片表面的上方,从而在更大的晶片直径上提供了良好的均匀性。等离子体源功率通过相应的侧部线圈绕组165、185以及2450、2460,被施加到封闭管的内部。
图28A示出了如何能够由一对互相垂直的内部线圈2820、2840来取代或补充侧部线圈165、185、2450、2460,上述内部线圈位于由两个矩形封闭管2650、2710围成的外部空间2860内。线圈2820、2840各自在对应的其中一个矩形封闭管2650、2710内产生环形等离子流。线圈2820、2840能够以不同的频率或相同的频率、相同或不同的相位来完全独立地驱动。或者,它们可用同样的频率来驱动,但具有一定相位差(例如90度),此相位差使得混合的环形等离子体流以源功率频率转动。如图28A所示,在这种情况下,线圈2820、2840分别由一共用的单个发生器2880的正弦分量和余弦分量来驱动。其优点是,等离子体流通道的方位角转动越过晶片平面的转动频率超过等离子体离子频率,从而使得与现有方法如MERIE反应器相比——在MERIE反应器中转动是以低得多的频率进行的,不均匀性受到了更好的抑制。
现参考图28B,一般通过设置一对圆柱形磁芯2892、2894和一对圆柱形磁芯2896、2898来提供对等离子体密度的径向调节,其中磁芯2892、2894可以在线圈2820内轴向移动从而彼此靠近或远离,而磁芯2896、2898可以在线圈2840内轴向移动从而彼此靠近或远离。随着每对线圈彼此靠近,正交等离子体流中每个中心附近的电感耦合便相对于等离子体流的边缘处增强,所以在晶片中心处的等离子体密度通常得到增强。因此,可以通过移动磁芯2892、2894、2896、2898来控制中心至边缘的等离子体离子密度。
图29所示为本发明的另一个可选实施例,其中两个封闭管2650、2710合并在一起而成为单一一个封闭外壳2910,封闭外壳2910环绕反应器的中心轴延伸360度从而构成单个压力通风系统。在图29所示实施例中,压力通风系统2910具有半圆拱形下壁2920和半圆拱形上壁2930,上壁2930基本与下壁2920同形。压力通风系统2910因此是半圆拱形的上壁2920和下壁2930之间的空间。绝缘间隙2921可以环绕着半圆拱形上壁2920延伸,和/或绝缘间隙2931环绕着半圆拱形下壁2930延伸。压力通风系统2910通过顶板110中的一环形开口2925而与处理室的内部连通,开口2925绕处理室的对称轴延伸360度。
压力通风系统2910完全包围顶板110上方的区域2950。在图29的实施例中,等离子体源功率通过一对互相垂直的线圈2960、2965被耦合到压力通风系统2910的内部。通过穿过压力通风系统2910中心的竖直管路2980,提供了到达线圈2960、2965的通道。优选的是,与图28中的实施例相同,线圈2960、2965是以90度相位差驱动的,从而获取方位角循环(azimuthally circulating)的环形等离子体流,也就是说,等离子流在晶片平面内循环。转动频率就是所施加的射频功率的频率。此外,能够以不同的频率分别驱动线圈2960、2965。图30是图29所示实施例的俯视图。图31A和图31B分别是对应于图30的前视图和侧视图。
互相垂直的线圈对2960、2965可以由任意数目的单独驱动的线圈来取代,其中这些线圈的绕线轴以360/n度间隔开。例如,在图32所示的情形中,两个线圈2960、2965被三个线圈3210、3220、3230所取代,这三个线圈的绕线轴被设置成间隔120度,并且它们是通过相应的阻抗匹配电路3241、3251、3261,由三个独立的射频功率源3240、3250、3260驱动的。如图33所示,为了产生转动的环形等离子体流,这三个线圈3210、3220、3230由一共用的功率源3310以120度异相位驱动。比起只有两个线圈的图29中的实施例,图32和33中的实施例是优选的,这是因为可以认为各个线圈之间的大量互相耦合应当是围绕、而不是通过竖直管路2980。
图34示出了一个实施例,其中三个线圈位于封闭区域2950的外部,但它们的电感通过延伸穿过管道2980的相应的竖直磁芯3410而被耦合到封闭区域2950内部。每个磁芯3410都有一端部伸出于管道2980的上方,相应的其中一线圈3210、3220、3230便是围绕着此端部绕制的。每个磁芯3410的底部都处在封闭区域2950之内并具有一水平腿。三个磁芯3410的三个水平腿以120度的间隔定向,从而向压力通风系统2910的内部提供电感耦合,类似于图32中由封闭区域内的三个线圈所提供的电感耦合。
图18-图28所示实施例中扁平矩形封闭管的优点是,此封闭管的大宽度和相对低的高度迫使环形等离子体流成为一种宽而薄的等离子体带,从而可以更容易地覆盖大直径晶片的整个表面。不需要整个封闭管都具有最大宽度。相反,如以上参考图20中的实施例所讨论的那样,离处理室内部最远的封闭管的外部区段可以是收缩的。在这种情形下,优选在宽部1851和窄部1852之间的过渡角处设置聚焦磁铁1870,以迫使离开窄部1852的等离子体流完全遍及宽部1851的整个宽度。如果需要使晶片表面处的等离子体密度最大化,那么优选使窄部1852的截面面积至少大约与宽部1851的截面面积一样大。例如,窄部1852可以是一条高度和宽度大致相同的通道,而宽部1851所具有的高度可以小于它的宽度。
本说明书所述多个实施例中的空心线圈(即没有磁芯的线圈)也可代之以使用磁芯,磁芯可以是开放式磁路型(Arod@型磁芯),或者是如附图所示的封闭式磁芯型。另外,在本说明书所述的多个实施例中,用不同的射频频率来驱动的两个或更多个环形通道,也可代之以用相同的频率、且用相同或不同的相位来驱动。
图35是图17中的实施例的一个版本,其中互相成横向的中空管道如图20中的实施例那样是收缩的。
图36是图24中的实施例的一个版本,但使用了一对磁芯3610、3620,其中对应的线圈3630、3640绕制在这些磁芯上而连到各自的射频功率源。
图37是对应于图35所示实施例的一个实施例,但其具有三个、而不是两个再进入管道,总共有六个通向处理室的再进入端口。对处理直径为300毫米或更大的晶片而言,对称设置的管道和再进入端口的数目超过两个(如图37的实施例)被认为是特别有利的。
图38是对应于图38所示实施例的一个实施例,但其具有三个而不是两个再进入管道,总共有通向处理室的六个再进入端口。
图39是对应于图35所示实施例的一个实施例,其中外部管道合并起来成为一个共用的压力通风系统3910。
图40是对应于图36所示实施例的一个实施例,其中外部管道合并起来成为一个共用的压力通风系统4010。
图41是对应于图37所示实施例的一个实施例,其中外部管道合并起来成为一个共用的压力通风系统4110。
图42是对应于图38所示实施例的一个实施例,其中外部管道合并起来成为一个共用的压力通风系统4210。
图43是对应于图17所示实施例的一个实施例,其中外部管道合并起来成为一个共用的压力通风系统4310。
在上述的实施例中,例如图24中,两个(或更多个)互相为横向的环形再进入等离子体通道是利用两个(或更多个)互相为横向的外部再进入管道来设置的。在图24所示两个外部再进入管道中的每个管道中,举例来说,射频功率是被施加到射频源功率应用装置。通常希望施加到两个射频源功率应用装置上的射频功率电流具有彼此不同的频率或不同的相位。否则的话,就会周期性地(或连续地)产生相邻管道间的吸引性的射频电势,从而将等离子体从一个管道开口偏转到一个相邻管道的开口,导致等离子体流的通道偏离了所需要的环形形状。因此,每个射频源功率应用装置最好由一个不同的射频发生器来供电,每个射频发生器具有不同于另一射频发生器的频率或相位。另外,采用第三射频发生器来向晶片支撑基座提供等离子体偏置射频功率。然而,三个射频功率发生器连同相关的阻抗匹配电路是一笔相当的成本。
图44A和图44B所示的实施例类似于图24的实施例,具有一对彼此成横向的再进入外部管道,这对外部管道具有各自的等离子体源功率应用装置。然而,在图44A和44B所示实施例中,只需要单个射频源功率发生器向这对外部再进入管道的源功率应用装置供电。图44A和44B的反应器包括一个真空处理室5010,其具有圆柱形侧壁5015、顶板5020和位于处理室5010内的晶片支撑基座5025。处理室5010的底板5030具有一连到真空泵5040的泵抽端口5035。一支撑在顶板5020的内表面上的气体分配板或喷头5045处于晶片支撑基座5025的上方,并具有一气体分配集流腔5045a和一气体喷嘴阵列5045b。气体供应源5050经由气体供应线5055连接到喷头集流腔5045a。第一再进入环形等离子体流通道5060是借助第一外部再进入中空管道5065建成的,管道5065具有一个等离子体源功率应用装置5068。第二再进入环形等离子体流通道5070则是借助第二外部再进入中空管道5075建成的,第二管道5075具有它自己的等离子体源功率应用装置5078。中空管道5065、5075的端部通过各自穿过顶板5020的端口5020a、5020b、5020c、5020d而连到处理室5010内部。如在本说明书上文所述的其它实施例那样,每个管道都由导电材料制成,并具有一个由绝缘材料制成的狭窄环状间隙5077。
第一等离子体源功率应用装置5068包括围绕一部分第一管道5065的环形铁氧体磁芯5080,以及围绕一部分磁芯5080的射频驱动导电绕组5085。第二等离子体源功率应用装置5078具有相同的结构,并且包括围绕一部分第二管道5075的环形铁氧体磁芯5090,以及围绕一部分磁芯5090的射频驱动导电绕组5095。可以通过对每个铁氧体磁芯5080、5090提供第二绕组5087、5097来优化阻抗匹配,第二绕组5087、5097分别连到调谐电容器5088、5098的两端,每个调谐电容器优选是可变的。等离子体偏置射频功率发生器5100通过阻抗匹配电路5105连到晶片支撑基座5025。
如上所述,需要将流经两个绕组5085、5095的射频电流保持为异相,来避免相邻电路5065、5075间产生吸引电势从而使等离子体流偏离所需要的环形通道。通过用不同的射频发生器来驱动不同的绕组5085、5095易于实现这个目的。然而,如果能够用一单个射频发生器驱动两个绕组5085、5095的方式,来避免等离子体流从一个管道偏到另一个管道,就可以节省一大笔成本支出。
这个问题是通过利用一种开关电路来解决的,该开关电路将单个射频发射器5110的射频输出电流的每个周期分成两个不同的时间段,并将不同的电流时间段施加到不同的绕组5085、5095。通过这种方式,绕组5085、5095中的每个绕组的电流便不会同相或反相,因此等离子体流就不会偏离它们的环形再进入通道5060、5070。图44A中的开关电路包括一对大电流半导体二极管5120、5125,这对大电流半导体二极管分别以相反的极性连到射频发生器5110和绕组5085、5095中的对应绕组之间。在图44A和图44B中,二极管5120、5125是通过变换器5130连接到射频发生器5110的,变换器5130包括初级绕组5132和次级绕组5134,其中初级绕组5132连接到发生器5110的两端,而次级绕组5134具有一接地中心抽头5136,次级绕组5134具有一对末端分别连接到二极管5120、5125。二极管5120、5125是以相反极性连接的,它们其中一个的阳极连接到次级绕组5134,而另一个的阴极连接到次级绕组5134。因此,射频驱动绕组5085、5095(通过两个二极管5120、5125中对应的一个)各自连接到次级绕组5134的不同部分的两端,一部分从次级绕组的末端5134a延伸到接地中心抽头5136,而另一部分从次级绕组的末端5134b延伸到接地中心抽头5136。如图45A和图45B所示的分别流经二极管5085、5095的电流,因为二极管5120、5125是以相反极性连到次级绕组5134的,提供给绕组5085、5095的电流得自于各自的半波整流电流,这些整流电流有180度的相位差。在两个绕组5085、5095之中,电流之间的异相关系可防止等离子体流在两个相反管路5065、5075之间流动。应该注意的是,在图45A和图45B的每个整流电流波形中的突然过渡(由二极管开关导致的)因电抗而变得平滑,该电抗与射频驱动绕组5085和5095、铁氧体磁芯5080和5090、及次级绕组5087和5097还有调谐电容器5088和5098相关。
图46所示的是图44A中的反应器的一个变动方案,其中二极管5120、5125被一对金属氧化物半导体场效应晶体管(MOSFETs)5142、5144所取代,这对金属氧化物半导体场效应晶体管的栅极是由互补的时钟信号(分别示于图47B和图47C)所控制的,上述时钟信号与来自射频发生器5110的正弦电流(图47A)同步。在一个实施例中,时钟信号发生器5140与射频发生器5110是同步的,并直接连接到金属氧化物半导体场效应晶体管5144的栅极,而且通过一逆变器5145而连接到另一个金属氧化物半导体场效应晶体管5142的栅极。射频发生器的输出电流如图47A所示,互补的时钟信号如图47B和图47C所示。绕组5085、5095中的最终的射频电流则如图45A和图45B所示。
图48所示为图44A中的实施例的一个变动方案,其中除去了变换器5130,而且射频发生器5110被直接连到二极管5120、5125。图49所示为图48中的实施例的一个变动方案,其中通过以并联方式设置多个二极管以将电流切换到各个绕组5085、5095,来提供更大的电流。因此,二极管5120被并联二极管组5120a-5120d所替换,而二极管5125则被并联二极管组5125a-5125d所替换。可以使用现有的集成式整流器模块来替换分立的二极管,从而实现并联电路的目的(即更大电流)。集成二极管具有最小的电感并且能够非常快地开关。
在图50A和图50B所示的等离子体反应器中,真空处理室5010容纳了两个晶片支撑基座5025-1和5025-2。两个架空的气体分配板5045-1、5045-2支撑在顶板5020的内表面上,分别位于晶片支撑基座5025-1、5025-2的上方,从而分别在晶片支撑基座5025-1、5025-2上方形成等离子体处理区域5050-1、5050-2。穿过处理区域5150-1的一对互相成横向的再进入等离子流通道是由一对互相成横向的外部再进入中空管道5165-1、5175-1形成的,而中空管道5165-1、5175-1各自的末端则与相应的顶板端口5020-1a、5020-1b、5020-1c、5020-1d配合。同样,穿过处理带5150-2的一对互相成横向的再进入等离子流通道是由一对互相成横向的外部再进入中空管道5165-2、5175-2形成的,中空管道5165-2、5175-2各自的末端与相应的顶板端口5020-2a、5020-2b、5020-2c、5020-2d配合。管道5065-1上的等离子体源功率应用装置5068具有一铁氧体磁芯5080-1和一磁芯绕组5085-1。管道5075-1上的等离子体源功率应用装置5078-1具有一铁氧体磁芯5090-1和一磁芯绕组5095-1。管道5065-2上的等离子体源功率应用装置5068-2具有一铁氧体磁芯5080-2和一磁芯绕组5085-2。管道5075-2上的等离子体源功率应用装置5078-2则具有一铁氧体磁芯5090-2和一磁芯绕组5095-2。因此,便有了四个磁芯绕组,这四个磁芯绕组可以用四个不同的相位来驱动,从而避免相邻电路间产生等离子体流,而否则的话如上面所解释的那样,就会从所需要的环形等离子体流产生偏离。实现这一方案的一种简单方法就是用四个不同的射频功率发生器组,以四个不同的频率或四个不同的相位,来驱动四个磁芯绕组5085-1、5095-1、5085-2、5095-2。另外,可以用两个射频发生器向两个晶片支撑基座5025-1、5025-2分别施加射频偏置功率,总共需要六个射频功率发生器。
为了避免用四个射频发生器驱动四个磁芯绕组的花费,图51中的实施例仅用单一一个射频发生器5310和一个四路电子开关网络5320。开关网络5320将射频发生器5310的正弦输出电流的每个周期加以划分,在图52中显示为分成了四个时间段(在图52中标为A、B、C、D),从而产生分别示于图53A、53B、53C、53D中的四个独立的射频输出电流。开关网络5320将这四个输出电流分别施加到四个磁芯绕组5085-1、5095-1、5085-2、5095-2。图54所示为这种四路开关网络的一个实例,其中四个金属氧化物半导体场效应晶体管5610a-5610d分别连到射频发生器5310与四个磁芯绕组5085-1、5095-1、5085-2、50952中的一个绕组之间,金属氧化物半导体场效应晶体管5610a-5610d的栅极分别由四个互异的时钟信号CLK A、CLK B、CLKC、CLK D来驱动,与射频发生器的输出电流(参见图55)同步,上述时钟信号CLK A、CLK B、CLK C、CLK D分别示于图56A、56B、56C、56D。
为了避免用两个射频发生器向两个晶片基座5025-1、5025-2施加等离子体偏置功率的费用,可以用连在单一一个偏置功率发生器5640和两个基座5025-1、5025-2之间的一个两路开关网络5630,将偏置发生器5640输出的每个周期的不同的时间段施加到两个基座5025-1、5025-2中的不同基座。例如,正半周期施加到基座5025-1,而负半周期则施加到另一基座5025-2。
图57所示为对应于图50A的一个实施例,其中具有不同频率的两个射频发生器5710、5720利用一个由二极管控制的电流分配器5730,来驱动四个磁芯绕组。二级管控制的电流分配器5730包括第一对二极管5740、5745,该对二极管以相反的极性连到第一射频发生器5710的输出端(因此,其中一个二极管的阳极和另一个二极管的阴极连到射频发生器5710)。二极管5740、5745的其余端(输出端)则连接到与不同处理区域5150-1、5150-2相关的磁芯绕组。具体地说,二极管5740的输出端驱动磁芯绕组5085-1,而二极管5745的输出端驱动磁芯绕组5085-2。类似地,第二对二极管5750、5755以相反的极性连到第二射频发生器5720的输出端(因此,其中一个二极管的阳极和另一个二极管的阴极连到射频发生器5720)。二极管5750、5755的其余端(输出端)连到与不同处理区域5150-1、5150-2相关的磁芯绕组。具体地说,二极管5750的输出端连到磁芯绕组5095-2,而二极管5755的输出端连到磁芯绕组5095-1。
二极管控制的电流分配器5730的操作可以参照图58A、58B、59A、59B和图60A、60B的同时刻时序图来理解。图58A和58B示出两个射频发生器5710、5720的输出电流,这些输出电流具有不同的频率或不同的相位。图59A和59B分别示出施加到第一处理区域5150-1的磁芯绕组5085-1、5095-1的射频电流。图60A和60B分别示出施加到第二处理区域5150-2的磁芯绕组5085-2、5095-2的射频电流。上述附图示出了每一对互相成横向的管道的射频电流是如何异相的,以避免在一给定处理区域内的两个等离子体流之间产生吸引电势。
在图57所示实施例中,图51中的两路偏置功率开关网络5630是被作为二极管控制的电流分配器来实施的,其包括一对二极管5810、5820,这对二极管5810、5820以相反的极性连接于共用的偏置功率发生器5640和和两个晶片支撑基座5025-1、5025-2之间。另外,阻抗匹配电路5830可以连在偏置功率发生器5640的输出端。
虽然在图44A到图60所述的实施例中,在各个外部管道上采用了等离子体源功率应用装置,包括一个铁氧体或磁性环状磁芯以及一个射频驱动绕组,其中铁氧体或磁性环状磁芯围绕上述管道的一带状部分,而上述射频驱动绕组则围绕磁芯,但也可使用其它类型的等离子体射频源功率应用装置。例如,在本说明书所描述的其它实施例中的等离子体源功率应用装置便采用了射频驱动导体绕组,这些绕组直接绕制在中空导管本身上(不需要磁芯),或者是绕组平行于中空导管或在中空导管旁绕制。这类等离子体源功率应用装置也可以用在图44A到图60的实施例中。
在图44A到图60的实施例中,阻抗匹配是由绕制于磁芯上的次级绕组实现的,此次级绕组连到一调谐电容器的两端(例如在图44A中,次级绕组5087和调谐电容器5088,以及次级绕组5097和调谐电容器5098)。然而,可以使用其它的阻抗匹配技术来补充或取代调谐电容器5088和次级绕组5087。这类其它技术可包括在射频发生器处(例如在射频发生器5110)进行频率调谐,或在每个射频功率应用装置处配置一有源匹配电路(例如,连到图44A中的源功率应用装置5068的一个有源阻抗匹配电路,以及连到图44A中的源功率应用装置5078的另一个有源阻抗匹配电路)。
本发明的有利特征:
本发明的反应器提供了大量的机会来增加蚀刻选择性,而并不会牺牲其它的性能特征例如蚀刻速率。例如,限制晶片附近的环形等离子体流不仅提高了蚀刻选择性,而且同时又通过增大等离子体离子浓度增大了蚀刻速率。通常认为,现有技术的反应器不能利用增大蚀刻速度或工件上方等离子体离子密度的相同原理,来增加蚀刻选择性。
在本发明中,可以通过多种方式中的任何一种,通过限制晶片或工件附近的环形等离子体流来提高蚀刻选择性。一种方式是降低基座到顶板或晶片到顶板的高度。另一种方式是在晶片上方引入气体分配板或喷头,此气体分配板或喷头限制环形等离子体离子流的通道。再一种方式是增大施加到晶片或工件的射频偏置功率。在实施本发明时,本领域技术人员可以选择上述提高蚀刻选择度的方法中的任一种方法或任何组合。
在本发明中,通过在局部(即在晶片或工件附近)注入活性处理气体,同时将惰性稀释气(例如氩气)注入到远处(即注入管道或压力通风系统内),蚀刻选择性还可以进一步得到提高。这优选通过下述操作来实现,即,在工件支座的正上方或对着工件支座设置一气体分配板或喷头,且专门(或至少主要)通过喷头来引入活性处理气体。同时,在远离晶片或工件上方的处理区域处,将稀释气注入管道内。因此,环形等离子体流不仅成为对晶片上材料进行活性离子蚀刻的等离子体离子源,而且,还额外地成为一种介质,用于在等离子体所诱发的离解过程被执行到产生不合需要的游离氟量的程度之前,就扫掉活性处理气体组分和它们的等离子体离解子代(plasma-dissociatedprogeny)。活性处理气体组分滞留时间的这种缩短提高了相对于光致抗蚀剂或其它材料的蚀刻选择性,这是一个显著的优点。
对于向环形等离子体流施加射频等离子体源功率,本发明提供了很大的灵活性。如上所述,通常是用天线将功率以电感形式耦合到环形等离子体流中。在很多实施例中,天线主要是借助于邻近或者说靠近外部管道或压力通风系统而耦合到外部管道或压力通风系统。例如,线圈式天线可以在管道或压力通风系统旁延伸。然而,在其它实施例中,天线被局限在管道或压力通风系统、及主反应器外壳(例如顶板)之间的封闭区域内。在后一种情况下,天线可以被视为处在管道的“下方”,而不是在管道旁边。本发明具有一个(或多个)磁芯的实施例则提供了甚至更大的灵活性,上述磁芯延伸穿过封闭区域(介于管道和主反应室外壳之间),并具有一伸出该封闭区域外的伸出部分,天线是围绕磁芯的这个伸出部分绕制的。在这一实施例中,天线通过磁芯而电感耦合,且因此便不需要邻近管道中的环形等离子体流。在一个这样的实施例中,采用了一闭路磁芯,而天线便被绕制在距离环形等离子体流或管道最远的磁芯部分上。因此,通过经由磁芯而将天线远距离耦合到环形等离子体流,实际上天线可以被置于几乎任何位置,例如完全远离等离子体反应室的某个位置处。
最后,本发明对很大直径的晶片或工件表面提供了均匀的等离子体覆盖。这在一个实施例中是通过以下方式实现的:使环形等离子体流的形状成为一宽等离子体带,其宽度优选地超出晶片的宽度。在另一个实施例中,在晶片表面上的等离子体离子密度的均匀性是这样实现的,即,提供两个或更多个互相成横向或正交的环形等离子体流,所述等离子体流在晶片上方的处理区域相交。环形等离子体流的流动方向相互之间彼此偏离360/n度。每个环形等离子体流的形状可以为等离子体宽带以覆盖很大直径的晶片。每个环形等离子体流可以由一单独的线圈式天线供电,此线圈式天线沿着这个环形等离子流的方向来定位。在一个优选实施例中,通过对相应的线圈式天线施加不同相位的射频信号来提高均匀性,从而在晶片上方的处理区域获得转动的环形等离子体流。在这个优选的实施例中,最佳结构是这样一种结构:其中环形等离子流在一循环连续的压力通风系统内流动,该压力通风系统通过顶板中或侧壁中在圆周方向连续的一环形开口而与主处理室部分连通。上述后一种特征使整个环形等离子体流能够以连续的方式转动方位角。
虽然具体参考优选实施例而详细描述了本发明,应该理解的是,在不脱离本发明的正确精神和范围的前提下尚可对本发明进行变动和改进。

Claims (49)

1.一种用于处理工件的等离子体反应器,所述等离子体反应器包括:
一外壳;
一位于所述外壳内的工件支座,该工件支座对着所述外壳的上部,所述工件支座和所述外壳的上部确定了它们之间的一处理区域,该处理区域基本延伸跨越所述晶片支座的直径;
所述外壳具有自其中穿过的第一对和第二对开口,所述第一对和第二对开口中的每一对的两个开口基本邻近所述工件支座的相对侧;
第一中空管道位于所述处理区域的外部且连接到所述第一对开口,提供了延伸穿过所述管道和跨越所述处理区域的第一环形通道;
第二中空管道位于所述处理区域的外部且连接到所述第二对开口,提供了延伸穿过所述管道和跨越所述处理区域的第二环形通道;
第一和第二等离子体源功率应用装置,其分别电感耦合到所述第一和第二中空管道的内部,所述第一和第二等离子体源功率应用装置各自能够维持所述第一和第二环形通道中一对应环行通道内的等离子体;
一射频功率发生器,其提供射频输出电流;
一电流开关网络,其连接到所述射频功率发生器与所述第一和第二等离子体源功率应用装置之间,以将射频输出电流的相应周期时间段提供给所述第一和第二等离子体源功率应用装置中一对应的等离子体源功率应用装置。
2.如权利要求1所述的等离子体反应器,其中所述电流开关网络包括二极管控制的电流分配器。
3.如权利要求2所述的等离子体反应器,其中所述二极管控制的电流分配器包括以第一二极管极性连接于所述射频功率发生器和所述第一等离子体源功率应用装置之间的第一二极管、及以与所述第一二极管极性相反的第二二极管极性连在所述射频功率发生器和所述第二等离子体源功率应用装置之间的第二二极管。
4.如权利要求1所述的等离子体反应器,其中所述电流开关网络包括相应的晶体管开关和互异的启动信号源,其中各所述晶体管开关独立地连接于所述射频功率发生器以及所述第一和第二等离子体源功率应用装置中相应的源功率应用装置之间,所述启动信号控制所述相应的晶体管开关。
5.如权利要求4所述的等离子体反应器,其中所述启动信号和所述射频功率发生器是同步的。
6.如权利要求1所述的等离子体反应器,其中每一个所述等离子体源功率应用装置包括一射频驱动导电绕组,该绕组邻近所述第一和第二管道中的一对应管道。
7.如权利要求6所述的等离子体反应器,其中每一个所述等离子体源功率应用装置进一步包括一环形磁芯,该环形磁芯围绕所述对应管道的一环形部分,所述射频驱动导电绕组是围绕所述环形磁芯的一部分绕制的。
8.如权利要求7所述的等离子体反应器,其中每一个所述等离子体源功率应用装置进一步包括第二导电绕组和一调谐电容器,其中所述第二绕组是围绕所述环形磁芯的另一部分绕制的,所述调谐电容器连接在所述第二绕组的两端。
9.如权利要求1所述的等离子体反应器,其中每个所述管道管道是用金属材料制成的,每个所述管道具有一绝缘间隙,该绝缘间隙在所述管道的壁中横向延伸直到所述对应环形通道,且将所述管道分为两部分,以防止沿着所述管道的长度方向形成闭合电子通路。
10.如权利要求6所述的等离子体反应器,其中所述射频驱动绕组是与所述对应管道并排设置的。
11.如权利要求1所述的等离子体反应器,其中所述第一和第二管道是互相成横向的,而且其中所述第一和第二环形通道在所述处理区域中相交。
12.如权利要求11所述的等离子体反应器,其中所述第一和第二管道是互相正交的。
13.如权利要求1所述的等离子体反应器,其中所述第一和第二对开口是穿过所述顶板的。
14.如权利要求1所述的等离子体反应器,进一步包括一偏置功率射频发生器,其耦合到所述晶片支撑基座。
15.一种用于处理工件的等离子体反应器,所述等离子体反应器包括:
一外壳;
一位于所述外壳内的工件支座,该工件支座对着所述外壳的上部,所述工件支座和所述外壳的上部确定了它们之间的一处理区域,该处理区域基本延伸跨越所述晶片支座的直径;
一穿过所述真空外壳的开口对阵列,每个开口对中的两个开口基本邻近所述工件支座的相对侧;
一中空管道阵列,其位于所述真空处理室的外部,且连接到所述开口对中的相应开口,以提供用于等离子体的相应封闭环形通道,所述相应封闭环形通道各自在所述真空处理室的外部延伸而穿过所述管道阵列中的一对应管道,并在所述真空处理室内穿过所述处理区域而延伸于一相应的所述开口对之间;
相应的源功率应用装置,其邻近相应的各个所述管道;
一源功率射频发生器,其具有循环电流输出;和
一电流开关网络,其连到所述源功率射频发生器和所述相应的源功率应用装置之间,以将所述循环电流输出的每个周期分成相应的时间段,并将所述相应的时间段施加到各个相应的所述源功率应用装置。
16.如权利要求15所述的等离子体反应器,其中所述电流开关网络包括二极管控制的电流分配器。
17.如权利要求15所述的等离子体反应器,其中所述电流开关网络包括相应的晶体管开关和互异的启动信号源,其中各所述晶体管开关独立地连接于所述射频功率发生器与所述等离子体源功率应用装置中一相应的源功率应用装置之间,所述互异启动信号控制所述相应的晶体管开关。
18.如权利要求17所述的等离子体反应器,其中所述互异的启动信号和所述射频功率发生器是同步的。
19.如权利要求15所述的等离子体反应器,其中每一个所述等离子体源功率应用装置包括一射频驱动导电绕组。
20.如权利要求19所述的等离子体反应器,其中每一个所述等离子体源功率应用装置进一步包括一环形磁芯,该环形磁芯围绕所述对应管道的一环形部分,所述射频驱动导电绕组是围绕所述环形磁芯的一部分绕制的。
21.如权利要求20所述的等离子体反应器,其中每一个所述等离子体源功率应用装置进一步包括第二导电绕组和一调谐电容器,其中所述第二绕组是围绕所述环形磁芯的另一部分绕制的,所述调谐电容器连接在所述第二绕组的两端。
22.如权利要求15所述的等离子体反应器,其中每个所述管道是用金属材料制成的,每个所述管道具有一绝缘间隙,该绝缘间隙在所述管道的壁内横向延伸直到所述对应环形通道,且将所述管道分为两部分,以防止沿着所述管道的长度方向形成闭合电子通路。
23.如权利要求19所述的等离子体反应器,其中所述射频驱动绕组是与所述对应管道并排设置的。
24.如权利要求15所述的等离子体反应器,其中所述管道是互相成横向的,而且其中所述环形通道在所述处理区域相交。
25.如权利要求15所述的等离子体反应器,其中所述开口对是穿过所述顶板的。
26.如权利要求15所述的等离子体反应器,进一步包括一偏置功率射频发生器,其耦合到所述晶片支撑基座。
27.如权利要求15所述的反应器,其中每个管道沿着与所述晶片支座的平面平行的轴线具有一定宽度,该宽度至少等于所述晶片支撑的直径。
28.如权利要求27所述的反应器,其中每个管道沿着与所述晶片支座的平面垂直的轴线具有一定高度,该高度小于所述宽度。
29.如权利要求28所述的反应器,其中每个管道具有矩形截面,由此而在所述封闭环形通道内产生相对薄而宽的等离子体带。
30.如权利要求20所述的反应器,进一步包括一变换器,其连接于所述射频发生器和相应的所述等离子体源功率应用装置之间,所述变换器包括一初级绕组和一次级绕组,其中所述初级绕组连接到所述射频发生器的两端,所述次级绕组具有相应的部分,而由所述相应的部分、通过所述开关网络连接到所述等离子体源功率应用装置中的一相应等离子体源功率应用装置的两端。
31.一种用于处理工件的等离子体反应器,所述等离子体反应器包括:
一外壳;
一位于所述外壳内的工件支座,该工件支座对着所述外壳的上部,所述工件支座和所述外壳的上部确定了它们之间的一处理区域,该处理区域基本延伸跨越所述晶片支座的直径;
所述外壳具有自其中穿过的至少第一和第二对开口,每对所述开口基本邻近所述工件支座的相对侧;
至少第一和第二中空管道,其位于所述处理区域的外部,且分别连接于所述第一和第二对开口之间,提供了延伸穿过所述管道和跨越所述处理区域的第一和第二环形通道;
相应的等离子体源功率应用装置,其邻近相应的各个所述管道以维持每个环形通道内的等离子体;
一源功率射频发生器,其具有循环电流输出;和
一电流开关网络,其连到所述源功率射频发生器和所述相应的源功率应用装置之间,以将所述循环电流输出的每个周期分成相应的时间段,并将所述相应的时间段施加到各个所述相应的源功率应用装置;
其中在所述晶片支座上方的处理区域内的所述封闭环形通道,其沿着与所述晶片支座的平面基本垂直的轴线的高度低于在所述封闭环形通道中其它位置的高度,由此相对于所述封闭环形通道中其它位置的等离子体离子密度,提高了所述处理区域内的等离子体离子密度。
32.如权利要求31所述的等离子体反应器,进一步包括一导电体,其位于所述工件支座和所述真空外壳之间,且限制所述晶片支座上方的所述处理区域内的所述环形通道。
33.如权利要求32所述的等离子体反应器,进一步包括一射频偏置功率源,其耦合到所述晶片支座,且能够维持位于所述工件支座上的工件上方的等离子体鞘的厚度,该等离子体鞘在所述处理区域内限制所述环形通道,而在所述工件支座上方的所述处理区域内提高了等离子体离子密度。
34.如权利要求31所述的等离子体反应器,其中所述管道是用金属材料制成的,所述管道具有一绝缘间隙,该绝缘间隙在所述管道的壁中横向延伸直到对应的环形通道,且将所述管道分为两部分,以防止沿着所述管道的长度方向形成闭合电子通路。
35.如权利要求31所述的等离子体反应器,其中所述真空外壳包括一纵向侧壁和一上方横向顶板,而且其中所述第一和第二对开口延伸穿过所述顶板。
36.如权利要求32所述的等离子体反应器,其中所述导电体包括气体分配板,而且其中所述封闭环形通道沿着与所述晶片支座的平面垂直的轴线的高度,是所述气体分配板和所述晶片支撑之间的距离。
37.如权利要求31所述的等离子体反应器,其中所述电流开关网络包括二极管控制的电流分配器。
38.如权利要求31所述的等离子体反应器,其中所述电流开关网络包括相应的晶体管开关和互异的启动信号源,其中各所述晶体管开关独立地连接于所述射频功率发生器与所述第一和第二等离子体源功率应用装置中一相应的源功率应用装置之间,所述互异启动信号控制所述相应的晶体管开关。
39.如权利要求31所述的等离子体反应器,其中每个所述等离子体源功率应用装置包括一射频驱动导电绕组,该射频驱动导电绕组邻近所述第一和第二管道中的一对应管道。
40.如权利要求39所述的等离子体反应器,其中每一个等离子体源功率应用装置进一步包括一环形磁芯,该环形磁芯围绕所述对应管道的一环形部分,所述射频驱动导电绕组是围绕所述环形磁芯的一部分绕制的。
41.如权利要求40所述的等离子体反应器,其中每一个所述等离子体源功率应用装置进一步包括第二导电绕组和一调谐电容器,其中所述第二绕组是围绕所述环形磁芯的另一部分绕制的,所述调谐电容器连接在所述第二绕组的两端。
42.一种用于处理工件的等离子体反应器,所述等离子体反应器包括:
一外壳;
多个位于外壳内的工件支座,所述工件支座对着所述外壳的上部,各个所述工件支座和所述外壳的一对应的上部分别确定了它们之间的多个处理区域,所述处理区域基本延伸跨越对应的所述晶片支座的直径;
多个穿过所述真空外壳的开口对阵列,每个所述阵列的中心基本围绕一对应的所述处理区域而定位,每对中的两个开口基本邻近所述工件支座中的一对应工件支座的相对侧;
多个中空管道阵列,其位于所述真空处理室的外部,且连接到相应的所述开口对阵列,每个中空管阵列提供多个环形等离子体流通道,所述环形等离子体流通道穿过所述多个处理区域中的一对应处理区域;
相应的源功率应用装置,其邻近相应的各所述管道;
一源功率射频发生器,其具有循环电流输出;和
一电流开关网络,其连到所述源功率射频发生器和所述相应的源功率应用装置之间,以将所述循环电流输出的每个周期分成相应的时间段,并将所述相应的时间段提供给各个相应的所述源功率应用装置。
43.如权利要求42所述的等离子体反应器,其中:
所述反应器包括第二源功率射频发生器,该第二源功率射频发生器具有第二循环电流输出,所述电流开关网路连接于两种源功率射频发生器和一相应的所述源功率应用装置之间,以将两种源功率射频发生器的循环电流输出的周期分成相应的时间段,并将所述相应的时间段提供给各个相应的所述源功率应用装置;
每个中空管道阵列构成两个中空管道;
每个开口对阵列构成两个开口;和
所述电流开关网络包括二极管控制的电流分配器。
44.如权利要求42所述的等离子体反应器,其中所述电流开关网络包括相应的晶体管开关和互异的启动信号源,其中各所述晶体管开关独立地连接于所述射频功率发生器与所述等离子体源功率应用装置中一相应的源功率应用装置之间,所述互异启动信号控制所述相应的晶体管开关。
45.如权利要求44所述的等离子体反应器,其中所述互异的启动信号和所述射频功率发生器是同步的。
46.如权利要求42所述的等离子体反应器,其中每一个所述等离子体源功率应用装置包括一射频驱动导电绕组。
47.如权利要求46所述的等离子体反应器,其中每一个所述等离子体源功率应用装置进一步包括一环形磁芯,该环形磁芯围绕对应的所述管道的一环形部分,所述射频驱动导电绕组是围绕所述环形磁芯的一部分绕制的。
48.如权利要求47所述的等离子体反应器,其中每一个所述等离子体源功率应用装置进一步包括第二导电绕组和一调谐电容器,其中所述第二绕组是围绕所述环形磁芯的另一部分绕制的,所述调谐电容器连接在所述第二绕组的两端。
49.如权利要求42所述的等离子体反应器,其中每个所述管道是用金属材料制成的,每个所述管道具有一绝缘间隙,该绝缘间隙在所述管道的壁内横向延伸直到对应的所述环形通道,且将所述管道分为两部分,以防止沿着所述管道的长度方向形成闭合电子通路。
CNB2003801053580A 2002-10-30 2003-10-10 利用单个发生器和开关元件而以空间上不同的等离子体二级元件驱动空间上独立的谐振结构 Expired - Fee Related CN100466155C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/285,092 US7430984B2 (en) 2000-08-11 2002-10-30 Method to drive spatially separate resonant structure with spatially distinct plasma secondaries using a single generator and switching elements
US10/285,092 2002-10-30

Publications (2)

Publication Number Publication Date
CN1723529A true CN1723529A (zh) 2006-01-18
CN100466155C CN100466155C (zh) 2009-03-04

Family

ID=32312042

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2003801053580A Expired - Fee Related CN100466155C (zh) 2002-10-30 2003-10-10 利用单个发生器和开关元件而以空间上不同的等离子体二级元件驱动空间上独立的谐振结构

Country Status (7)

Country Link
US (1) US7430984B2 (zh)
EP (1) EP1561234B1 (zh)
KR (1) KR101038165B1 (zh)
CN (1) CN100466155C (zh)
DE (1) DE60324958D1 (zh)
TW (1) TWI338537B (zh)
WO (1) WO2004042773A2 (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105144849A (zh) * 2013-03-15 2015-12-09 普拉斯玛比利提有限责任公司 环形等离子体处理装置
CN107424900A (zh) * 2012-08-27 2017-12-01 朗姆研究公司 加强等离子体处理系统中的等离子体增强蚀刻
US10224221B2 (en) 2013-04-05 2019-03-05 Lam Research Corporation Internal plasma grid for semiconductor fabrication
CN110246737A (zh) * 2018-03-08 2019-09-17 长鑫存储技术有限公司 一种半导体晶圆结构的刻蚀方法
US10443150B2 (en) 2015-05-21 2019-10-15 Plasmability, Llc Toroidal plasma processing apparatus with a shaped workpiece holder

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7430984B2 (en) 2000-08-11 2008-10-07 Applied Materials, Inc. Method to drive spatially separate resonant structure with spatially distinct plasma secondaries using a single generator and switching elements
US7158221B2 (en) * 2003-12-23 2007-01-02 Applied Materials, Inc. Method and apparatus for performing limited area spectral analysis
US20050241762A1 (en) * 2004-04-30 2005-11-03 Applied Materials, Inc. Alternating asymmetrical plasma generation in a process chamber
US20060027329A1 (en) * 2004-08-09 2006-02-09 Sinha Ashok K Multi-frequency plasma enhanced process chamber having a torroidal plasma source
EP1727186B1 (en) * 2005-05-23 2012-01-25 New Power Plasma Co., Ltd. Plasma chamber with discharge inducing bridge
US7578258B2 (en) * 2006-03-03 2009-08-25 Lam Research Corporation Methods and apparatus for selective pre-coating of a plasma processing chamber
US10225919B2 (en) * 2011-06-30 2019-03-05 Aes Global Holdings, Pte. Ltd Projected plasma source
US20130017315A1 (en) * 2011-07-15 2013-01-17 Applied Materials, Inc. Methods and apparatus for controlling power distribution in substrate processing systems
US9307578B2 (en) * 2011-08-17 2016-04-05 Lam Research Corporation System and method for monitoring temperatures of and controlling multiplexed heater array
US10388493B2 (en) 2011-09-16 2019-08-20 Lam Research Corporation Component of a substrate support assembly producing localized magnetic fields
US9082591B2 (en) * 2012-04-24 2015-07-14 Applied Materials, Inc. Three-coil inductively coupled plasma source with individually controlled coil currents from a single RF power generator
US9305749B2 (en) 2014-02-10 2016-04-05 Applied Materials, Inc. Methods of directing magnetic fields in a plasma source, and associated systems
US9550694B2 (en) 2014-03-31 2017-01-24 Corning Incorporated Methods and apparatus for material processing using plasma thermal source
US9533909B2 (en) 2014-03-31 2017-01-03 Corning Incorporated Methods and apparatus for material processing using atmospheric thermal plasma reactor
US9284210B2 (en) 2014-03-31 2016-03-15 Corning Incorporated Methods and apparatus for material processing using dual source cyclonic plasma reactor
US20160200618A1 (en) 2015-01-08 2016-07-14 Corning Incorporated Method and apparatus for adding thermal energy to a glass melt
US10428426B2 (en) * 2016-04-22 2019-10-01 Applied Materials, Inc. Method and apparatus to prevent deposition rate/thickness drift, reduce particle defects and increase remote plasma system lifetime
KR20220107521A (ko) * 2021-01-25 2022-08-02 (주) 엔피홀딩스 반응기, 이를 포함하는 공정 처리 장치 및 반응기 제조 방법

Family Cites Families (116)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2344138A (en) 1940-05-20 1944-03-14 Chemical Developments Corp Coating method
US3109100A (en) 1960-05-19 1963-10-29 Automatic Canteen Co Photosensitive currency testing device
US3576685A (en) 1968-03-15 1971-04-27 Itt Doping semiconductors with elemental dopant impurity
US3907616A (en) 1972-11-15 1975-09-23 Texas Instruments Inc Method of forming doped dielectric layers utilizing reactive plasma deposition
CH611938A5 (zh) 1976-05-19 1979-06-29 Battelle Memorial Institute
DE3118785A1 (de) 1981-05-12 1982-12-02 Siemens AG, 1000 Berlin und 8000 München Verfahren und vorrichtung zum dotieren von halbleitermaterial
US4465529A (en) 1981-06-05 1984-08-14 Mitsubishi Denki Kabushiki Kaisha Method of producing semiconductor device
US4385946A (en) 1981-06-19 1983-05-31 Bell Telephone Laboratories, Incorporated Rapid alteration of ion implant dopant species to create regions of opposite conductivity
US4382099A (en) 1981-10-26 1983-05-03 Motorola, Inc. Dopant predeposition from high pressure plasma source
JPH0635323B2 (ja) 1982-06-25 1994-05-11 株式会社日立製作所 表面処理方法
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
US4521441A (en) 1983-12-19 1985-06-04 Motorola, Inc. Plasma enhanced diffusion process
JPS60153119A (ja) 1984-01-20 1985-08-12 Fuji Electric Corp Res & Dev Ltd 不純物拡散方法
US4539217A (en) 1984-06-27 1985-09-03 Eaton Corporation Dose control method
US4698104A (en) 1984-12-06 1987-10-06 Xerox Corporation Controlled isotropic doping of semiconductor materials
JPH0763056B2 (ja) 1986-08-06 1995-07-05 三菱電機株式会社 薄膜形成装置
US4892753A (en) 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US4764394A (en) 1987-01-20 1988-08-16 Wisconsin Alumni Research Foundation Method and apparatus for plasma source ion implantation
US4912065A (en) 1987-05-28 1990-03-27 Matsushita Electric Industrial Co., Ltd. Plasma doping method
KR930003857B1 (ko) 1987-08-05 1993-05-14 마쯔시다덴기산교 가부시기가이샤 플라즈마 도우핑방법
US4778561A (en) 1987-10-30 1988-10-18 Veeco Instruments, Inc. Electron cyclotron resonance plasma source
US5643838A (en) 1988-03-31 1997-07-01 Lucent Technologies Inc. Low temperature deposition of silicon oxides for device fabrication
US4871421A (en) 1988-09-15 1989-10-03 Lam Research Corporation Split-phase driver for plasma etch system
US5061838A (en) 1989-06-23 1991-10-29 Massachusetts Institute Of Technology Toroidal electron cyclotron resonance reactor
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5106827A (en) 1989-09-18 1992-04-21 The Perkin Elmer Corporation Plasma assisted oxidation of perovskites for forming high temperature superconductors using inductively coupled discharges
US5312778A (en) 1989-10-03 1994-05-17 Applied Materials, Inc. Method for plasma processing using magnetically enhanced plasma chemical vapor deposition
EP0466645A1 (de) * 1990-07-13 1992-01-15 Bieri Hydraulik Ag Spannpresse
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US5040046A (en) 1990-10-09 1991-08-13 Micron Technology, Inc. Process for forming highly conformal dielectric coatings in the manufacture of integrated circuits and product produced thereby
US5107201A (en) 1990-12-11 1992-04-21 Ogle John S High voltage oscilloscope probe with wide frequency response
US5288650A (en) 1991-01-25 1994-02-22 Ibis Technology Corporation Prenucleation process for simox device fabrication
JP3119693B2 (ja) 1991-10-08 2000-12-25 エム・セテック株式会社 半導体基板の製造方法及びその装置
US5290382A (en) 1991-12-13 1994-03-01 Hughes Aircraft Company Methods and apparatus for generating a plasma for "downstream" rapid shaping of surfaces of substrates and films
US5423945A (en) 1992-09-08 1995-06-13 Applied Materials, Inc. Selectivity for etching an oxide over a nitride
US5505780A (en) 1992-03-18 1996-04-09 International Business Machines Corporation High-density plasma-processing tool with toroidal magnetic field
US5277751A (en) 1992-06-18 1994-01-11 Ogle John S Method and apparatus for producing low pressure planar plasma using a coil with its axis parallel to the surface of a coupling window
WO1994006263A1 (en) 1992-09-01 1994-03-17 The University Of North Carolina At Chapel Hill High pressure magnetically assisted inductively coupled plasma
US5542559A (en) 1993-02-16 1996-08-06 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
US5354381A (en) 1993-05-07 1994-10-11 Varian Associates, Inc. Plasma immersion ion implantation (PI3) apparatus
JP3430552B2 (ja) 1993-05-07 2003-07-28 ソニー株式会社 ダイヤモンド半導体の製造方法
US5572038A (en) 1993-05-07 1996-11-05 Varian Associates, Inc. Charge monitor for high potential pulse current dose measurement apparatus and method
IT1263372B (it) 1993-05-26 1996-08-05 Deregibus A & A Spa Macchina perfezionata per la produzione di tubi in gomma vulcanizzata.
EP0634778A1 (en) 1993-07-12 1995-01-18 The Boc Group, Inc. Hollow cathode array
JP2919254B2 (ja) 1993-11-22 1999-07-12 日本電気株式会社 半導体装置の製造方法および形成装置
US5520209A (en) 1993-12-03 1996-05-28 The Dow Chemical Company Fluid relief device
US5435881A (en) 1994-03-17 1995-07-25 Ogle; John S. Apparatus for producing planar plasma using varying magnetic poles
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5587038A (en) 1994-06-16 1996-12-24 Princeton University Apparatus and process for producing high density axially extending plasmas
US5569363A (en) 1994-10-25 1996-10-29 Sony Corporation Inductively coupled plasma sputter chamber with conductive material sputtering capabilities
US5674321A (en) 1995-04-28 1997-10-07 Applied Materials, Inc. Method and apparatus for producing plasma uniformity in a magnetic field-enhanced plasma reactor
US5711812A (en) 1995-06-06 1998-01-27 Varian Associates, Inc. Apparatus for obtaining dose uniformity in plasma doping (PLAD) ion implantation processes
US5888413A (en) 1995-06-06 1999-03-30 Matsushita Electric Industrial Co., Ltd. Plasma processing method and apparatus
US5683517A (en) 1995-06-07 1997-11-04 Applied Materials, Inc. Plasma reactor with programmable reactant gas distribution
US5702530A (en) 1995-06-23 1997-12-30 Applied Materials, Inc. Distributed microwave plasma reactor for semiconductor processing
US5653811A (en) 1995-07-19 1997-08-05 Chan; Chung System for the plasma treatment of large area substrates
US5660895A (en) 1996-04-24 1997-08-26 Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College Low-temperature plasma-enhanced chemical vapor deposition of silicon oxide films and fluorinated silicon oxide films using disilane as a silicon precursor
US6000360A (en) 1996-07-03 1999-12-14 Tokyo Electron Limited Plasma processing apparatus
US5711182A (en) * 1996-09-09 1998-01-27 Yang; Shyi-Dong Crimping tool with wire stripping capability
US5911832A (en) 1996-10-10 1999-06-15 Eaton Corporation Plasma immersion implantation with pulsed anode
US5654043A (en) 1996-10-10 1997-08-05 Eaton Corporation Pulsed plate plasma implantation system and method
US5770982A (en) 1996-10-29 1998-06-23 Sematech, Inc. Self isolating high frequency saturable reactor
SE510984C2 (sv) 1996-10-31 1999-07-19 Assa Ab Cylinderlås
JP4013271B2 (ja) 1997-01-16 2007-11-28 日新電機株式会社 物品表面処理方法及び装置
JPH10270428A (ja) 1997-03-27 1998-10-09 Mitsubishi Electric Corp プラズマ処理装置
US6174450B1 (en) 1997-04-16 2001-01-16 Lam Research Corporation Methods and apparatus for controlling ion energy and plasma density in a plasma processing system
US6582999B2 (en) * 1997-05-12 2003-06-24 Silicon Genesis Corporation Controlled cleavage process using pressurized fluid
US6155909A (en) * 1997-05-12 2000-12-05 Silicon Genesis Corporation Controlled cleavage system using pressurized fluid
US6291313B1 (en) 1997-05-12 2001-09-18 Silicon Genesis Corporation Method and device for controlled cleaving process
US5897752A (en) 1997-05-20 1999-04-27 Applied Materials, Inc. Wafer bias ring in a sustained self-sputtering reactor
US6150628A (en) * 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6103599A (en) 1997-07-25 2000-08-15 Silicon Genesis Corporation Planarizing technique for multilayered substrates
GB2343550A (en) 1997-07-29 2000-05-10 Silicon Genesis Corp Cluster tool method and apparatus using plasma immersion ion implantation
US5935077A (en) 1997-08-14 1999-08-10 Ogle; John Seldon Noninvasive blood flow sensor using magnetic field parallel to skin
US5994236A (en) 1998-01-23 1999-11-30 Ogle; John Seldon Plasma source with process nonuniformity improved using ferromagnetic cores
US6265328B1 (en) 1998-01-30 2001-07-24 Silicon Genesis Corporation Wafer edge engineering method and device
US6274459B1 (en) * 1998-02-17 2001-08-14 Silicon Genesis Corporation Method for non mass selected ion implant profile control
US6132552A (en) 1998-02-19 2000-10-17 Micron Technology, Inc. Method and apparatus for controlling the temperature of a gas distribution plate in a process reactor
US6395150B1 (en) * 1998-04-01 2002-05-28 Novellus Systems, Inc. Very high aspect ratio gapfill using HDP
US5998933A (en) 1998-04-06 1999-12-07 Shun'ko; Evgeny V. RF plasma inductor with closed ferrite core
US6101971A (en) 1998-05-13 2000-08-15 Axcelis Technologies, Inc. Ion implantation control using charge collection, optical emission spectroscopy and mass analysis
US6164241A (en) 1998-06-30 2000-12-26 Lam Research Corporation Multiple coil antenna for inductively-coupled plasma generation systems
JP3497092B2 (ja) * 1998-07-23 2004-02-16 名古屋大学長 プラズマ密度情報測定方法、および測定に用いられるプローブ、並びにプラズマ密度情報測定装置
US6300643B1 (en) 1998-08-03 2001-10-09 Varian Semiconductor Equipment Associates, Inc. Dose monitor for plasma doping system
US6020592A (en) 1998-08-03 2000-02-01 Varian Semiconductor Equipment Associates, Inc. Dose monitor for plasma doping system
US6050218A (en) 1998-09-28 2000-04-18 Eaton Corporation Dosimetry cup charge collection in plasma immersion ion implantation
US6174743B1 (en) 1998-12-08 2001-01-16 Advanced Micro Devices, Inc. Method of reducing incidence of stress-induced voiding in semiconductor interconnect lines
US6096661A (en) 1998-12-15 2000-08-01 Advanced Micro Devices, Inc. Method for depositing silicon dioxide using low temperatures
US6579805B1 (en) * 1999-01-05 2003-06-17 Ronal Systems Corp. In situ chemical generator and method
US6239553B1 (en) 1999-04-22 2001-05-29 Applied Materials, Inc. RF plasma source for material processing
US6392351B1 (en) 1999-05-03 2002-05-21 Evgeny V. Shun'ko Inductive RF plasma source with external discharge bridge
US6248642B1 (en) 1999-06-24 2001-06-19 Ibis Technology Corporation SIMOX using controlled water vapor for oxygen implants
US6237527B1 (en) 1999-08-06 2001-05-29 Axcelis Technologies, Inc. System for improving energy purity and implant consistency, and for minimizing charge accumulation of an implanted substrate
WO2001011650A1 (en) 1999-08-06 2001-02-15 Advanced Energy Industries, Inc. Inductively coupled ring-plasma source apparatus for processing gases and materials and method thereof
US6182604B1 (en) 1999-10-27 2001-02-06 Varian Semiconductor Equipment Associates, Inc. Hollow cathode for plasma doping system
US6433553B1 (en) * 1999-10-27 2002-08-13 Varian Semiconductor Equipment Associates, Inc. Method and apparatus for eliminating displacement current from current measurements in a plasma processing system
US6335536B1 (en) 1999-10-27 2002-01-01 Varian Semiconductor Equipment Associates, Inc. Method and apparatus for low voltage plasma doping using dual pulses
US6341574B1 (en) 1999-11-15 2002-01-29 Lam Research Corporation Plasma processing systems
US6426015B1 (en) * 1999-12-14 2002-07-30 Applied Materials, Inc. Method of reducing undesired etching of insulation due to elevated boron concentrations
US6350697B1 (en) 1999-12-22 2002-02-26 Lam Research Corporation Method of cleaning and conditioning plasma reaction chamber
US6291938B1 (en) 1999-12-31 2001-09-18 Litmas, Inc. Methods and apparatus for igniting and sustaining inductively coupled plasma
US6417078B1 (en) * 2000-05-03 2002-07-09 Ibis Technology Corporation Implantation process using sub-stoichiometric, oxygen doses at different energies
US6679981B1 (en) * 2000-05-11 2004-01-20 Applied Materials, Inc. Inductive plasma loop enhancing magnetron sputtering
US6305316B1 (en) 2000-07-20 2001-10-23 Axcelis Technologies, Inc. Integrated power oscillator RF source of plasma immersion ion implantation system
US6303519B1 (en) 2000-07-20 2001-10-16 United Microelectronics Corp. Method of making low K fluorinated silicon oxide
US6403453B1 (en) * 2000-07-27 2002-06-11 Sharp Laboratories Of America, Inc. Dose control technique for plasma doping in ultra-shallow junction formations
US6494986B1 (en) * 2000-08-11 2002-12-17 Applied Materials, Inc. Externally excited multiple torroidal plasma source
US7430984B2 (en) 2000-08-11 2008-10-07 Applied Materials, Inc. Method to drive spatially separate resonant structure with spatially distinct plasma secondaries using a single generator and switching elements
EP1307896A2 (en) * 2000-08-11 2003-05-07 Applied Materials, Inc. Externally excited torroidal plasma source
US6348126B1 (en) * 2000-08-11 2002-02-19 Applied Materials, Inc. Externally excited torroidal plasma source
US6593173B1 (en) * 2000-11-28 2003-07-15 Ibis Technology Corporation Low defect density, thin-layer, SOI substrates
US6413321B1 (en) * 2000-12-07 2002-07-02 Applied Materials, Inc. Method and apparatus for reducing particle contamination on wafer backside during CVD process
US6634313B2 (en) * 2001-02-13 2003-10-21 Applied Materials, Inc. High-frequency electrostatically shielded toroidal plasma and radical source
US6755150B2 (en) * 2001-04-20 2004-06-29 Applied Materials Inc. Multi-core transformer plasma source
US20030013314A1 (en) * 2001-07-06 2003-01-16 Chentsau Ying Method of reducing particulates in a plasma etch chamber during a metal etch process
US6632728B2 (en) * 2001-07-16 2003-10-14 Agere Systems Inc. Increasing the electrical activation of ion-implanted dopants

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107424900A (zh) * 2012-08-27 2017-12-01 朗姆研究公司 加强等离子体处理系统中的等离子体增强蚀刻
CN105144849A (zh) * 2013-03-15 2015-12-09 普拉斯玛比利提有限责任公司 环形等离子体处理装置
US9909215B2 (en) 2013-03-15 2018-03-06 Plasmability, Llc Method of CVD plasma processing with a toroidal plasma processing apparatus
CN105144849B (zh) * 2013-03-15 2019-06-18 普拉斯玛比利提有限责任公司 环形等离子体处理装置
US10224221B2 (en) 2013-04-05 2019-03-05 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US11171021B2 (en) 2013-04-05 2021-11-09 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US10443150B2 (en) 2015-05-21 2019-10-15 Plasmability, Llc Toroidal plasma processing apparatus with a shaped workpiece holder
US10704161B2 (en) 2015-05-21 2020-07-07 Plasmability, Llc Toroidal plasma processing apparatus with a shaped workpiece holder
CN110246737A (zh) * 2018-03-08 2019-09-17 长鑫存储技术有限公司 一种半导体晶圆结构的刻蚀方法
CN110246737B (zh) * 2018-03-08 2021-07-06 长鑫存储技术有限公司 一种半导体晶圆结构的刻蚀方法

Also Published As

Publication number Publication date
TWI338537B (en) 2011-03-01
KR101038165B1 (ko) 2011-05-31
KR20050084625A (ko) 2005-08-26
US20030047449A1 (en) 2003-03-13
CN100466155C (zh) 2009-03-04
TW200421946A (en) 2004-10-16
DE60324958D1 (de) 2009-01-08
EP1561234A2 (en) 2005-08-10
EP1561234B1 (en) 2008-11-26
WO2004042773A2 (en) 2004-05-21
WO2004042773A3 (en) 2004-07-29
US7430984B2 (en) 2008-10-07

Similar Documents

Publication Publication Date Title
CN1723529A (zh) 利用单个发生器和开关元件而以空间上不同的等离子体二级元件驱动空间上独立的谐振结构
CN1314072C (zh) 抑制电弧放电的对应等离子体喷头rf顶电极调谐的merie等离子体反应器
US6348126B1 (en) Externally excited torroidal plasma source
CN1160479C (zh) 等离子体增强的化学处理反应器和方法
JP5335418B2 (ja) プラズマ源およびプラズマ源の動作方法
CN1298199C (zh) 等离子体处理方法及装置
CN1694228A (zh) 电浆腔室及在此电浆腔室中处理基底的方法
CN102106192B (zh) 场加强感应耦合等离子体(fe-icp)反应器
JP2019077951A (ja) 合成ダイヤモンド材料を製造するマイクロ波プラズマ反応器
CN101043784A (zh) 混合等离子体反应器
TW200402795A (en) Capacitively coupled plasma reactor with magnetic plasma control
TW200405769A (en) Externally excited torroidal plasma source with magnetic control of ion distribution
KR100809889B1 (ko) 외부에서 여기된 토로이드형 플라즈마 소스를 구비한 플라즈마 챔버
CN1812684A (zh) 等离子反应器顶置源功率电极
CN1669108A (zh) 磁等离子体控制电容耦合等离子体反应器
CN1759473A (zh) 半导体处理用的基板保持结构和等离子体处理装置
CN1675738A (zh) 具双频偏压源及单频等离子体产生源的蚀刻腔室
CN1842243A (zh) 等离子体处理装置和等离子体处理方法
CN100352316C (zh) 等离子体处理装置和等离子体处理方法
CN1875453A (zh) 等离子体处理衬底的设备和方法
CN1745463A (zh) 等离子体处理装置和等离子体处理装置用的电极板和电极板制造方法
CN1230877C (zh) 等离子体装置及其制造方法
CN107195524B (zh) 等离子体处理装置、等离子体处理方法和制造电子器件的方法
CN1870851A (zh) 具有放电感应电桥的等离子源及利用其的等离子处理系统
US20230369017A1 (en) Plasma sources and plasma processing apparatus thereof

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090304

Termination date: 20121010