CN1768419A - 形成鳍状场效应晶体管器件中的结构的方法 - Google Patents

形成鳍状场效应晶体管器件中的结构的方法 Download PDF

Info

Publication number
CN1768419A
CN1768419A CNA2004800087527A CN200480008752A CN1768419A CN 1768419 A CN1768419 A CN 1768419A CN A2004800087527 A CNA2004800087527 A CN A2004800087527A CN 200480008752 A CN200480008752 A CN 200480008752A CN 1768419 A CN1768419 A CN 1768419A
Authority
CN
China
Prior art keywords
fin structure
semiconductor device
fin
crystal silicon
dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2004800087527A
Other languages
English (en)
Other versions
CN100413038C (zh
Inventor
林明仁
汪海宏
俞斌
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Devices Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Publication of CN1768419A publication Critical patent/CN1768419A/zh
Application granted granted Critical
Publication of CN100413038C publication Critical patent/CN100413038C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate

Abstract

一种半导体器件,包括第一鳍状结构(810)、第二鳍状结构(810)及第三鳍状结构(210)。该第一及第二鳍状结构(810)包括单晶硅材料。该第三鳍状结构(210)位于该第一及第二鳍状结构(810)之间,其包括介电材料。该第三鳍状结构(210)产生应力,该应力导引至该第一及第二鳍状结构(810)中的单晶硅材料。

Description

形成鳍状场效应晶体管器件中的结构的方法
技术领域
本发明一般涉及半导体制造,尤其涉及形成鳍状场效应晶体管(FinFET)器件。
背景技术
随着在超大尺寸集成半导体器件领域对高密度及高性能需求的逐渐增强,要求诸如栅极长度等设计特征小于100nm,并具有高可靠性能和不断增加生产率。这种在设计特征尺寸(design features)减小到100nm以下后,对传统方法论的极限提出了挑战。
例如,当传统的平面型金属氧化物半导体场效应晶体管(MOSFET)的栅极长度小于100nm时,短沟道效应引起的例如源极与漏极间的过量漏电流(excessive leakage)问题,变得越来越难克服。此外,迁移率降低以及许多工艺处理方面的问题也使得传统MOSFET很难掌握对尺寸不断减小的器件特性的兼容。因此急待研发一种新器件结构以改善FET的性能并容许器件规模更进一步减小。
双栅极MOSFET描述一种新的结构,该结构已经被认为是替代目前平面型MOSFET的最佳结构。在双栅极MOSFET内,可使用两个栅极控制短沟道效应。而鳍状场效应晶体管(FinFET)是双栅极结构,其有很好的短沟道效应行为,该FinFET包括有形成在垂直鳍状片(Fin)中的沟道。该FinFET结构也可使用类似于传统平面型MOSFET的布图及工艺技术制造。
发明内容
按照本发明的原理的实施例提供单晶硅鳍状结构,该结构形成在介电鳍状结构的相对侧上。该介电鳍状结构的材料经选择以在该单晶硅材料中引起有效应力,从而可增强迁移率。
如这里所包含及广泛描述的与本发明相一致的目的一样,半导体器件包括第一鳍状结构,其包含介电材料且具有第一侧表面及第二侧表面;第二鳍状结构,其包含单晶硅材料且形成在邻近该第一鳍状结构的第一侧表面;第三鳍状结构,其包含该单晶硅材料且形成于邻近该第一鳍状结构的第二表面侧;形成于该第一、第二及第三鳍状结构一端的源极区;形成于该第一、第二及第三鳍状结构另一端的漏极区;以及至少一个栅极。
在按照本发明原理的另一实施例中,揭示一种制造半导体器件的方法,该半导体器件包括衬底以及形成在该衬底上的介电层。该方法包括:蚀刻该介电层以形成第一鳍状结构;沉积无定形硅层;蚀刻该无定形硅层以形成邻近于该第一鳍状结构的第一侧表面的第二鳍状结构以及邻近该第一鳍状结构的第二相对侧表面的第三鳍状结构;至少在该第二鳍状结构及该第三鳍状结构的上表面上沉积金属层;实施金属诱导结晶操作,使该第二鳍状结构及该第三鳍状结构内的无定形硅转变为单晶硅材料;形成源极区及漏极区;在该第一、第二及第三鳍状结构上面沉积栅极材料;以及图形化并蚀刻该栅极材料以形成至少一个栅极电极。
在按照本发明原理的再一实施例中,揭示一种半导体器件,该半导体器件包括第一鳍状结构、第二鳍状结构及第三鳍状结构。该第一及第二鳍状结构包括单晶硅材料。该第三鳍状结构位于该第一及第二鳍状结构之间,其包括介电材料。该第三鳍状结构产生应力,该应力被导引至该第一及第二鳍状结构中的单晶硅材料。
附图说明
以下附图,用以并入并组成说明书的一部分,其阐明本发明的实施例,并一起描述、说明本发明,其中,
图1给出了在按照本发明原理的实施例中用于形成鳍状场效应晶体管器件的鳍状结构的典型工艺;
图2至图9显示了根据图1所示的工艺所制造的鳍状场效应晶体管器件的典型视图;
图10至图15显示了在按照本发明原理的替换实施例中用于形成多鳍状结构的典型视图;以及
图16及图17显示了依据本发明原理的替换实施例用于产生沟槽(trench)的典型视图。
具体实施方式
将参考附图详细说明按照本发明的实施例。在不同的附图中,相同的参考标记可标示相同或相似的元件,并且如下详细说明并非用于限制本发明,相反,本发明的范围如权利要求及其对等的实施例所定义。
按照本发明原理的实施例提供了形成在介电鳍状结构相对侧上的单晶硅鳍状结构。该介电鳍状结构的材料经选择,以在该单晶硅材料中引起有效应力,以增强迁移率。
图1给出了在按照本发明原理的实施例中用于形成鳍状场效应晶体管器件的鳍状结构的典型方法。图2至图9显示了根据图1所示的方法制造鳍状场效应晶体管器件的典型视图。将在下文中说明鳍状场效应晶体管器件的制造。然而须了解,这里所说明的技术可相等地应用于形成多于一个的鳍状场效应晶体管器件。
参考图1及图2(步骤105),工艺可开始于在半导体器件的衬底200上形成介电鳍状结构210。在一个实施例中,该衬底200可包括硅。在与本发明相一致的可替换的实施例中,该衬底200可包括诸如锗的其它半导体材料或例如硅锗的结合半导体材料。在其它实施例中,衬底200可包含形成于硅或锗衬底上的例如氧化层的绝缘物。该介电鳍状结构210可包含介电材料,该介电材料在双鳍状结构中产生有效张应力(应变),该双鳍状结构邻近该介电鳍状结构210形成。在一个实施例中,该介电鳍状结构210可包含氧化物或金属镍。
可用传统的方法形成该介电鳍状结构210。例如,在该衬底200上沉积介电材料,沉积的厚度大约在200到1000范围内。在部分的介电材料上可形成屏蔽(mask),接着可用传统的方法蚀刻该介电材料,在该衬底200上结束蚀刻以形成该介电鳍状结构210。该得到的介电鳍状结构210的宽度大约在100到1000范围内。
在形成该介电鳍状结构210之后,如图3所示(步骤110),可在该半导体器件上沉积无定形硅层(amorphous silicon layer)310。在一个与本发明的原理相一致的实施例中,该无定形硅层310可沉积的厚度大约在100到1000范围内。
接着,如图4所述(步骤115),可用传统的方法蚀刻该无定形硅层310,在该衬底200结束蚀刻以形成无定形硅间隔(鳍状)结构410。每个无定形硅鳍状结构410高度大约在200到1000范围内,及其宽度大约在100到1000范围内。
如图5所示(步骤120),可在该半导体器件上沉积介电层510。在一个与本发明的原理相一致的实施例中,该介电层510可沉积的厚度大约在200到1000范围内,该介电层510可包含氧化物或其它介电材料。
如图6所示(步骤120),可用化学机械抛光(CMP)(或其它技术)对该半导体器件进行抛光,以平坦化该半导体器件的上表面,使得每个无定形硅鳍状结构410的上表面外露。在化学机械抛光过程中,可以移除部分的介电鳍状结构210及无定形硅鳍状结构410的上表面,以外露出每个无定形硅鳍状结构410的上表面。例如,化学机械抛光之后,鳍状210及410的高度大约在150到200范围内。
如图7所示(步骤125),可在该半导体器件上沉积例如镍的金属层710。在一个实施例中,镍金属层710可沉积的厚度大约20。
接着,可实施金属诱导结晶(MIC)操作。如图8所示(步骤130),该MIC操作可包括在大约500℃到550℃温度内对该镍金属层710退火几个小时,其作用就是使镍金属扩散到该无定形硅内,使得该鳍状结构410中的无定形硅转变成单晶硅810。作为MIC操作的结果,镍硅(NiSi)化合物薄层820可形成在衬底200与单晶硅鳍状结构810之间。在一个实施例中,该镍硅层820的厚度大约在20到200范围内。
在该单晶硅鳍状结构810形成之后,可利用传统的FinFET制造处理以完成该晶体管(例如形成源极及漏极区)、接触(contact)、互连(interconnect)以及该FinFET器件的层间介电层。例如,该介电层510可被移除,例如像氮化硅或氧化硅的保护介电层,可形成在该鳍状210及810的上表面,接着,在该单晶硅鳍状结构810的侧表面上形成栅极电介质。然后,在该鳍状210及810各自端部形成源极区及漏极区,接着,形成一个或多于一个的栅极。例如,硅层,锗层,硅锗结合层或各式的金属可作为该栅极材料。接着该栅极材料可被图形化并蚀刻以形成栅极电极。例如,图9说明了在形成源极/漏极区与栅极电极之后,按照本发明原理的半导体器件的典型的顶视图。如图所示,该半导体器件包括具有鳍状210及810的双栅极结构、源极及漏极区910及920、以及栅极电极930及940。
然后,根据特定端器件要求,可对该源极/漏极区910及920掺杂n型或p型杂质。此外,根据特殊的电路要求,在该源极/漏极离子注入前可选择性形成侧壁间隔物,以控制源极/漏极结的位置。接着可进行激活退火以激活该源极/漏极区910及920。
已经按照上述的形成许多鳍状结构说明了本发明。应当了解,根据特殊的电路要求,按照本发明的方法可用于形成任意数量的鳍状。
因此,依照本发明的原理,可形成单晶硅鳍状结构,该单晶硅鳍状结构具有位于该单晶硅鳍状结构之间的介电鳍状结构。该介电鳍状结构的材料可加以选择,以在该单晶硅鳍状结构中引起有效应力(应变),从而达到增强该单晶硅鳍状结构中的迁移率。
(其它实施例)
图10至图15说明在按照本发明原理的替换实施例中形成多个鳍状结构的示意图。参阅图10,工艺可从半导体器件的处理开始,该半导体器件包括形成在衬底1000上的氧化层1010。该衬底1000可包括硅或诸如锗的其它半导体材料,或硅锗的组合半导体材料。该氧化层1010的高度大约在200到1000范围内。
如图10所示,可蚀刻该氧化层1010以形成沟槽(trench)1020。在一个实施例中,该沟槽1020的宽度大约在200到2000范围内。接着如图11所述,可沉积无定形硅并蚀刻该无定形硅以形成无定形硅间隔1110。每个无定形硅间隔1110的宽度大约在100到1000范围内。如图12所述,介电材料1210可沉积在无定形硅间隔1110之间的间隙内。该介电材料可包含氧化物或其它介电材料。
如图13所示,在无定形硅间隔1110的上表面可沉积镍金属层1310。该镍金属层1310的厚度大约为20。接着可实施金属诱导结晶(MIC)操作。如图14所示,该MIC操作可包括在大约500℃到550℃范围内对该镍金属层1310退火数小时,以使该无定形硅间隔1110转变成单晶硅鳍状结构1410。在MIC操作的结果,镍硅化合物(NiSi)薄层1420可形成在衬底1000与单晶硅鳍状结构1410之间。在一个实施例中,该镍硅层1420的厚度大约在20到200范围内。
接着如图15所示,该氧化层1010可用传统方法移除,从而,可产生间隔导入合并的场效应晶体管(spacer-induced-merged FET)。
在另一个实施例中,间隔(spacer)可用于产生窄沟槽(trench),能够在该沟槽两侧之间提供耦合效应(coupling effect)。如图16所示,半导体器件可包括氧化层1610,该氧化层1610形成于衬底上(未图标),且硅层1620形成于该氧化层1610上。可沉积诸如氮化硅、氧化硅等材料并图形化该材料,以形成硬掩膜(hard mask)1640。接着可沉积诸如氮化硅(SiN)、氧化硅(SiO)材料或其它材料的间隔材料,并蚀刻该材料以在硬掩膜1640的侧表面形成间隔1630。接着如图17所示,可用该间隔1630及该硬掩膜1640作为屏蔽来蚀刻该硅层1620,以形成窄沟槽1710。该沟槽1710的宽度大约在100到1000范围内。该沟槽1710有利于在位于沟槽1710两侧的鳍状1620间提供耦合效应。
按照本发明原理的实施例提供单晶硅鳍状结构,该结构形成在介电鳍状结构的相对侧上。可选择该介电硅鳍状结构的材料以在该单晶硅材料中引起有效应力。以此方式,能够提高迁移率。
如前所述的本发明的典型实施例提供了说明及描述,但并不详尽或用于将本发明限定至所揭示的精密成形范围。根据上述的技术或从中获得的教导可对本发明做出修改、变更。例如,为了彻底的了解本发明,在上述中,许多特殊细节被提出,例如像特殊的材料,结构,化学物,工艺等。然而,不使用这里所提出的特殊细节,本发明也可被实践。在其它范例中,为了不模糊本发明的要点,众所周知的处理结构未被详细公布。在本发明的实践中,传统的沉积,光刻及蚀刻技术可被应用,因此,在这里,这些技术的细节并没有详细提出。
在按照本发明的其它实施例中,图1中所揭示的一系列步骤次序可加以改变,而且,无相互依赖的步骤可并行实施。
除非在此明确说明,本申请的描述中使用的非元件的、动作或指令,应被解释为本发明的关键的或必要部分。并且,这里所使用的冠词“一(a)”意指包括一项或更多项的措词。在仅意指某一项对象的地方,可使用该冠词“一个(one)”或类似的术语。
本发明的范围如权利要求及其它对等的实施例所定义。

Claims (10)

1.一种半导体器件,包含:
第一鳍状结构(210),包含介电材料且包括有第一侧表面及第二侧表面;
第二鳍状结构(810),包含单晶硅材料且形成于邻近该第一鳍状结构(210)的第一侧表面;
第三鳍状结构(810),包含该单晶硅材料且形成于邻近该第一鳍状结构(210)的第二侧表面;
源极区(910),形成于该第一鳍状结构(210)、第二鳍状结构(810)及第三鳍状结构(810)的一端;
漏极区(920),形成于该第一鳍状结构(210)、第二鳍状结构(810)及第三鳍状结构(810)的另一端;以及
至少一个栅极(930,940)。
2.如权利要求1所述的半导体器件,其中该第一鳍状结构(210)的宽度大约在200到1000范围内。
3.如权利要求1所述的半导体器件,其中该介电材料包括氧化物及氮化物的其中之一。
4.如权利要求1所述的半导体器件,其中该第二鳍状结构(810)及第三鳍状结构(810)的各自宽度大约在100到1000范围内。
5.一种制造半导体器件的方法,该半导体器件包括衬底(200)以及形成在该衬底(200)上的介电层(210),该方法特征在于:
蚀刻该介电层(210)以形成第一鳍状结构(210);
沉积无定形硅层(310);
蚀刻该无定形硅层(310)以形成邻近于该第一鳍状结构(210)的第一侧表面的第二鳍状结构(410)以及邻近于该第一鳍状结构(210)的相对边的第二侧表面的第三鳍状结构(410);
至少在该第二鳍状结构(410)及该第三鳍状结构(410)的上表面上沉积金属层(710);
实施金属诱导结晶化操作,使该第二鳍状结构(410)及该第三鳍状结构(410)内的无定形硅转变成单晶硅材料;
形成源极区(910)及漏极区(920);
在该第一、第二及第三鳍状结构(210,810)上沉积栅极材料;以及
图形化并蚀刻该栅极材料以形成至少一个栅极电极(930,940)。
6.如权利要求5所述的方法,其中该介电层(210)包含氧化物及氮化物的至少其中之一。
7.一种半导体器件,包含:
第一鳍状结构(810),包含单晶硅材料;
第二鳍状结构(810),包含该单晶硅材料;以及
位于该第一鳍状结构(810)与第二鳍状结构(810)之间的第三鳍状结构(210),该第三鳍状结构(210)包含介电材料,该第三鳍状结构(210)在该第一及第二鳍状结构(810)中的单晶硅材料中诱发应力。
8.如权利要求7所述的半导体器件,其中该第一鳍状结构(810)及第二鳍状结构(810)的各自宽度大约在100到1000范围内。
9.如权利要求8所述的半导体器件,其中该第三鳍状结构(210)的宽度大约在100到1000范围内。
10.如权利要求9所述的半导体器件,其中该介电材料包含氧化物及氮化物的至少其中之一。
CNB2004800087527A 2003-04-03 2004-03-29 形成鳍状场效应晶体管器件中的结构的方法 Expired - Lifetime CN100413038C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/405,343 US6762448B1 (en) 2003-04-03 2003-04-03 FinFET device with multiple fin structures
US10/405,343 2003-04-03

Publications (2)

Publication Number Publication Date
CN1768419A true CN1768419A (zh) 2006-05-03
CN100413038C CN100413038C (zh) 2008-08-20

Family

ID=32681857

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2004800087527A Expired - Lifetime CN100413038C (zh) 2003-04-03 2004-03-29 形成鳍状场效应晶体管器件中的结构的方法

Country Status (8)

Country Link
US (2) US6762448B1 (zh)
JP (1) JP5009611B2 (zh)
KR (1) KR101070845B1 (zh)
CN (1) CN100413038C (zh)
DE (1) DE112004000586B4 (zh)
GB (1) GB2417829B (zh)
TW (1) TWI384614B (zh)
WO (1) WO2004093197A2 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100459126C (zh) * 2003-11-05 2009-02-04 国际商业机器公司 鳍型场效应晶体管及其制造方法
WO2013029318A1 (zh) * 2011-08-30 2013-03-07 中国科学院微电子研究所 一种半导体结构及其制造方法
CN103378129A (zh) * 2012-04-19 2013-10-30 中国科学院微电子研究所 一种半导体结构及其制造方法

Families Citing this family (93)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6709982B1 (en) * 2002-11-26 2004-03-23 Advanced Micro Devices, Inc. Double spacer FinFET formation
US7105894B2 (en) * 2003-02-27 2006-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Contacts to semiconductor fin devices
US6900502B2 (en) 2003-04-03 2005-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel on insulator device
US6882025B2 (en) * 2003-04-25 2005-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Strained-channel transistor and methods of manufacture
US7074656B2 (en) * 2003-04-29 2006-07-11 Taiwan Semiconductor Manufacturing Company, Ltd. Doping of semiconductor fin devices
US6867433B2 (en) 2003-04-30 2005-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator chip incorporating strained-channel partially-depleted, fully-depleted, and multiple-gate transistors
US6909147B2 (en) * 2003-05-05 2005-06-21 International Business Machines Corporation Multi-height FinFETS
KR100521382B1 (ko) * 2003-06-30 2005-10-12 삼성전자주식회사 핀 전계효과 트랜지스터 제조 방법
US6943405B2 (en) * 2003-07-01 2005-09-13 International Business Machines Corporation Integrated circuit having pairs of parallel complementary FinFETs
US20050012087A1 (en) * 2003-07-15 2005-01-20 Yi-Ming Sheu Self-aligned MOSFET having an oxide region below the channel
US7078742B2 (en) * 2003-07-25 2006-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Strained-channel semiconductor structure and method of fabricating the same
US6936881B2 (en) * 2003-07-25 2005-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. Capacitor that includes high permittivity capacitor dielectric
US6940705B2 (en) 2003-07-25 2005-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Capacitor with enhanced performance and method of manufacture
JP3860582B2 (ja) * 2003-07-31 2006-12-20 株式会社東芝 半導体装置の製造方法
US7301206B2 (en) * 2003-08-01 2007-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator SRAM configured using partially-depleted and fully-depleted transistors
US7101742B2 (en) * 2003-08-12 2006-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel complementary field-effect transistors and methods of manufacture
US20050035410A1 (en) * 2003-08-15 2005-02-17 Yee-Chia Yeo Semiconductor diode with reduced leakage
US20050035369A1 (en) * 2003-08-15 2005-02-17 Chun-Chieh Lin Structure and method of forming integrated circuits utilizing strained channel transistors
US7112495B2 (en) * 2003-08-15 2006-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method of a strained channel transistor and a second semiconductor component in an integrated circuit
US7071052B2 (en) * 2003-08-18 2006-07-04 Taiwan Semiconductor Manufacturing Company, Ltd. Resistor with reduced leakage
US7888201B2 (en) * 2003-11-04 2011-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator SRAM configured using partially-depleted and fully-depleted transistors
US7498225B1 (en) * 2003-12-04 2009-03-03 Advanced Micro Devices, Inc. Systems and methods for forming multiple fin structures using metal-induced-crystallization
US7198995B2 (en) * 2003-12-12 2007-04-03 International Business Machines Corporation Strained finFETs and method of manufacture
US20050186722A1 (en) * 2004-02-25 2005-08-25 Kuan-Lun Cheng Method and structure for CMOS device with stress relaxed by ion implantation of carbon or oxygen containing ions
KR100605104B1 (ko) * 2004-05-04 2006-07-26 삼성전자주식회사 핀-펫 소자 및 그 제조 방법
US20050266632A1 (en) * 2004-05-26 2005-12-01 Yun-Hsiu Chen Integrated circuit with strained and non-strained transistors, and method of forming thereof
US7452778B2 (en) * 2004-06-10 2008-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor nano-wire devices and methods of fabrication
JP2006128494A (ja) * 2004-10-29 2006-05-18 Toshiba Corp 半導体集積回路装置及びその製造方法
US20060118892A1 (en) * 2004-12-02 2006-06-08 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and Structures to Produce a Strain-Inducing Layer in a Semiconductor Device
KR100629604B1 (ko) * 2004-12-31 2006-09-27 동부일렉트로닉스 주식회사 반도체 소자의 게이트 라인 형성 방법
TWI295506B (en) 2005-02-03 2008-04-01 Samsung Electronics Co Ltd Semiconductor device having transistor with vertical gate electrode and method of fabricating the same
US7288805B2 (en) 2005-02-24 2007-10-30 International Business Machines Corporation Double gate isolation
US7101763B1 (en) 2005-05-17 2006-09-05 International Business Machines Corporation Low capacitance junction-isolation for bulk FinFET technology
US20070018239A1 (en) 2005-07-20 2007-01-25 International Business Machines Corporation Sea-of-fins structure on a semiconductor substrate and method of fabrication
KR100642384B1 (ko) * 2005-09-15 2006-11-03 주식회사 하이닉스반도체 반도체 메모리소자의 트랜지스터 및 그 제조방법
US7400031B2 (en) * 2005-09-19 2008-07-15 International Business Machines Corporation Asymmetrically stressed CMOS FinFET
FR2895835B1 (fr) * 2005-12-30 2008-05-09 Commissariat Energie Atomique Realisation sur une structure de canal a plusieurs branches d'une grille de transistor et de moyens pour isoler cette grille des regions de source et de drain
US7425491B2 (en) * 2006-04-04 2008-09-16 Micron Technology, Inc. Nanowire transistor with surrounding gate
US8734583B2 (en) * 2006-04-04 2014-05-27 Micron Technology, Inc. Grown nanofin transistors
US7491995B2 (en) 2006-04-04 2009-02-17 Micron Technology, Inc. DRAM with nanofin transistors
US8354311B2 (en) 2006-04-04 2013-01-15 Micron Technology, Inc. Method for forming nanofin transistors
US7538391B2 (en) * 2007-01-09 2009-05-26 International Business Machines Corporation Curved FINFETs
US8558278B2 (en) 2007-01-16 2013-10-15 Taiwan Semiconductor Manufacturing Company, Ltd. Strained transistor with optimized drive current and method of forming
FR2921757B1 (fr) * 2007-09-28 2009-12-18 Commissariat Energie Atomique Structure de transistor double-grille dotee d'un canal a plusieurs branches.
JP5193583B2 (ja) * 2007-12-17 2013-05-08 株式会社東芝 フィン型トランジスタ
US7943961B2 (en) 2008-03-13 2011-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Strain bars in stressed layers of MOS devices
US7808051B2 (en) 2008-09-29 2010-10-05 Taiwan Semiconductor Manufacturing Company, Ltd. Standard cell without OD space effect in Y-direction
US8305829B2 (en) * 2009-02-23 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Memory power gating circuit for controlling internal voltage of a memory array, system and method for controlling the same
US8305790B2 (en) * 2009-03-16 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Electrical anti-fuse and related applications
US8957482B2 (en) * 2009-03-31 2015-02-17 Taiwan Semiconductor Manufacturing Company, Ltd. Electrical fuse and related applications
US8912602B2 (en) * 2009-04-14 2014-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
US8461015B2 (en) * 2009-07-08 2013-06-11 Taiwan Semiconductor Manufacturing Company, Ltd. STI structure and method of forming bottom void in same
US8497528B2 (en) 2010-05-06 2013-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure
US8759943B2 (en) 2010-10-08 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor having notched fin structure and method of making the same
US8472227B2 (en) * 2010-01-27 2013-06-25 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits and methods for forming the same
US8264021B2 (en) * 2009-10-01 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Finfets and methods for forming the same
US8264032B2 (en) 2009-09-01 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Accumulation type FinFET, circuits and fabrication method thereof
US8187928B2 (en) 2010-09-21 2012-05-29 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming integrated circuits
US8482073B2 (en) * 2010-03-25 2013-07-09 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit including FINFETs and methods for forming the same
US8298925B2 (en) 2010-11-08 2012-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming ultra shallow junction
US8629478B2 (en) * 2009-07-31 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure for high mobility multiple-gate transistor
US9484462B2 (en) 2009-09-24 2016-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of fin field effect transistor
US8623728B2 (en) 2009-07-28 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming high germanium concentration SiGe stressor
US8440517B2 (en) 2010-10-13 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
US8980719B2 (en) 2010-04-28 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for doping fin field-effect transistors
US20110097867A1 (en) * 2009-10-22 2011-04-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of controlling gate thicknesses in forming fusi gates
US8021949B2 (en) * 2009-12-01 2011-09-20 International Business Machines Corporation Method and structure for forming finFETs with multiple doping regions on a same chip
US9040393B2 (en) 2010-01-14 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming semiconductor structure
CN102263131B (zh) * 2010-05-25 2013-05-01 中国科学院微电子研究所 一种半导体器件及其形成方法
US8603924B2 (en) 2010-10-19 2013-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming gate dielectric material
US9048181B2 (en) 2010-11-08 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming ultra shallow junction
US8769446B2 (en) 2010-11-12 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method and device for increasing fin device density for unaligned fins
US8592915B2 (en) 2011-01-25 2013-11-26 Taiwan Semiconductor Manufacturing Company, Ltd. Doped oxide for shallow trench isolation (STI)
US8877602B2 (en) 2011-01-25 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms of doping oxide for forming shallow trench isolation
US8431453B2 (en) 2011-03-31 2013-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma doping to reduce dielectric loss during removal of dummy layers in a gate structure
US8872284B2 (en) 2012-03-20 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with metal gate stressor
US8987790B2 (en) 2012-11-26 2015-03-24 International Business Machines Corporation Fin isolation in multi-gate field effect transistors
US8877588B2 (en) * 2013-02-11 2014-11-04 Globalfoundries Inc. Methods of forming a three-dimensional semiconductor device with a dual stress channel and the resulting device
US9396931B2 (en) 2013-08-01 2016-07-19 Qualcomm Incorporated Method of forming fins from different materials on a substrate
US9548213B2 (en) 2014-02-25 2017-01-17 International Business Machines Corporation Dielectric isolated fin with improved fin profile
US9123627B1 (en) * 2014-05-01 2015-09-01 Globalfoundries Inc. Methods of forming alternative material fins with reduced defect density for a FinFET semiconductor device
US9224605B2 (en) * 2014-05-01 2015-12-29 Globalfoundries Inc. Forming alternative material fins with reduced defect density by performing an implantation/anneal defect generation process
CN105097535B (zh) * 2014-05-12 2018-03-13 中国科学院微电子研究所 FinFet器件的制造方法
US9847329B2 (en) * 2014-09-04 2017-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Structure of fin feature and method of making same
US9805991B2 (en) * 2015-08-20 2017-10-31 International Business Machines Corporation Strained finFET device fabrication
US9722052B2 (en) 2015-10-27 2017-08-01 International Business Machines Corporation Fin cut without residual fin defects
US10103246B2 (en) 2016-06-09 2018-10-16 International Business Machines Corporation Fabrication of a vertical fin field effect transistor (vertical finFET) with a self-aligned gate and fin edges
US9773870B1 (en) 2016-06-28 2017-09-26 International Business Machines Corporation Strained semiconductor device
US9680019B1 (en) * 2016-07-20 2017-06-13 Globalfoundries Inc. Fin-type field-effect transistors with strained channels
US10559501B2 (en) * 2016-09-20 2020-02-11 Qualcomm Incorporated Self-aligned quadruple patterning process for Fin pitch below 20nm
FR3089343B1 (fr) * 2018-11-29 2021-10-08 Commissariat Energie Atomique Procede de realisation d’un transistor fet
US11011626B2 (en) 2019-05-07 2021-05-18 International Business Machines Corporation Fin field-effect transistor with reduced parasitic capacitance and reduced variability
US11476356B2 (en) * 2020-05-29 2022-10-18 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field-effect transistor device with low-dimensional material and method

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0214578A (ja) 1988-07-01 1990-01-18 Fujitsu Ltd 半導体装置
US5115289A (en) * 1988-11-21 1992-05-19 Hitachi, Ltd. Semiconductor device and semiconductor memory device
JP3202223B2 (ja) * 1990-11-27 2001-08-27 日本電気株式会社 トランジスタの製造方法
JPH04250667A (ja) * 1991-01-28 1992-09-07 Oki Electric Ind Co Ltd 半導体装置及びその製造方法
JP2789931B2 (ja) * 1991-05-27 1998-08-27 日本電気株式会社 半導体装置
KR920022546A (ko) * 1991-05-31 1992-12-19 김광호 모오스 트랜지스터의 구조 및 그 제조방법
JPH04354138A (ja) * 1991-05-31 1992-12-08 Oki Electric Ind Co Ltd Mis型半導体装置の製造方法
JP2572003B2 (ja) 1992-03-30 1997-01-16 三星電子株式会社 三次元マルチチャンネル構造を有する薄膜トランジスタの製造方法
JP3203048B2 (ja) * 1992-04-21 2001-08-27 三菱電機株式会社 半導体装置およびその製造方法
DE19548056C1 (de) 1995-12-21 1997-03-06 Siemens Ag Verfahren zur Herstellung einer Gateelektrode für eine MOS-Struktur
US5946566A (en) 1996-03-01 1999-08-31 Ace Memory, Inc. Method of making a smaller geometry high capacity stacked DRAM device
JPH09293793A (ja) * 1996-04-26 1997-11-11 Mitsubishi Electric Corp 薄膜トランジスタを有する半導体装置およびその製造方法
US5932911A (en) 1996-12-13 1999-08-03 Advanced Micro Devices, Inc. Bar field effect transistor
US6063688A (en) * 1997-09-29 2000-05-16 Intel Corporation Fabrication of deep submicron structures and quantum wire transistors using hard-mask transistor width definition
US6177299B1 (en) * 1998-01-15 2001-01-23 International Business Machines Corporation Transistor having substantially isolated body and method of making the same
JP4436469B2 (ja) * 1998-09-30 2010-03-24 三洋電機株式会社 半導体装置
JP2001185721A (ja) * 1999-12-22 2001-07-06 Nec Corp 半導体装置
US6483156B1 (en) * 2000-03-16 2002-11-19 International Business Machines Corporation Double planar gated SOI MOSFET structure
US20020011612A1 (en) 2000-07-31 2002-01-31 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
JP4044276B2 (ja) 2000-09-28 2008-02-06 株式会社東芝 半導体装置及びその製造方法
US6562665B1 (en) 2000-10-16 2003-05-13 Advanced Micro Devices, Inc. Fabrication of a field effect transistor with a recess in a semiconductor pillar in SOI technology
US7163864B1 (en) * 2000-10-18 2007-01-16 International Business Machines Corporation Method of fabricating semiconductor side wall fin
US6413802B1 (en) * 2000-10-23 2002-07-02 The Regents Of The University Of California Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture
US6664143B2 (en) * 2000-11-22 2003-12-16 North Carolina State University Methods of fabricating vertical field effect transistors by conformal channel layer deposition on sidewalls
US6358827B1 (en) 2001-01-19 2002-03-19 Taiwan Semiconductor Manufacturing Company Method of forming a squared-off, vertically oriented polysilicon spacer gate
US6475869B1 (en) * 2001-02-26 2002-11-05 Advanced Micro Devices, Inc. Method of forming a double gate transistor having an epitaxial silicon/germanium channel region
US6537880B1 (en) 2001-09-13 2003-03-25 Vanguard International Semiconductor Corporation Method of fabricating a high density NAND stacked gate flash memory device having narrow pitch isolation and large capacitance between control and floating gates
US6492212B1 (en) 2001-10-05 2002-12-10 International Business Machines Corporation Variable threshold voltage double gated transistors and method of fabrication
US6657259B2 (en) * 2001-12-04 2003-12-02 International Business Machines Corporation Multiple-plane FinFET CMOS
US6583469B1 (en) 2002-01-28 2003-06-24 International Business Machines Corporation Self-aligned dog-bone structure for FinFET applications and methods to fabricate the same
US6635909B2 (en) 2002-03-19 2003-10-21 International Business Machines Corporation Strained fin FETs structure and method
EP1383164A1 (en) * 2002-07-17 2004-01-21 Interuniversitair Micro-Elektronica Centrum (IMEC) FinFET device and a method for manufacturing such device
US7358121B2 (en) 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
US6770516B2 (en) * 2002-09-05 2004-08-03 Taiwan Semiconductor Manufacturing Company Method of forming an N channel and P channel FINFET device on the same semiconductor substrate
US6864519B2 (en) 2002-11-26 2005-03-08 Taiwan Semiconductor Manufacturing Co., Ltd. CMOS SRAM cell configured using multiple-gate transistors
US6645797B1 (en) * 2002-12-06 2003-11-11 Advanced Micro Devices, Inc. Method for forming fins in a FinFET device using sacrificial carbon layer
US6794718B2 (en) * 2002-12-19 2004-09-21 International Business Machines Corporation High mobility crystalline planes in double-gate CMOS technology
US6716686B1 (en) 2003-07-08 2004-04-06 Advanced Micro Devices, Inc. Method for forming channels in a finfet device

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100459126C (zh) * 2003-11-05 2009-02-04 国际商业机器公司 鳍型场效应晶体管及其制造方法
WO2013029318A1 (zh) * 2011-08-30 2013-03-07 中国科学院微电子研究所 一种半导体结构及其制造方法
CN103378129A (zh) * 2012-04-19 2013-10-30 中国科学院微电子研究所 一种半导体结构及其制造方法
CN103378129B (zh) * 2012-04-19 2016-03-23 中国科学院微电子研究所 一种半导体结构及其制造方法

Also Published As

Publication number Publication date
TW200501393A (en) 2005-01-01
KR101070845B1 (ko) 2011-10-10
US6852576B2 (en) 2005-02-08
DE112004000586T5 (de) 2006-02-16
GB2417829A (en) 2006-03-08
KR20050118717A (ko) 2005-12-19
WO2004093197A3 (en) 2005-02-10
GB0521181D0 (en) 2005-11-23
US6762448B1 (en) 2004-07-13
WO2004093197A2 (en) 2004-10-28
DE112004000586B4 (de) 2010-04-08
JP2006522488A (ja) 2006-09-28
US20040198031A1 (en) 2004-10-07
JP5009611B2 (ja) 2012-08-22
CN100413038C (zh) 2008-08-20
GB2417829B (en) 2006-08-30
TWI384614B (zh) 2013-02-01

Similar Documents

Publication Publication Date Title
CN100413038C (zh) 形成鳍状场效应晶体管器件中的结构的方法
TWI542007B (zh) 元件與其形成方法
US7326634B2 (en) Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
CN1272856C (zh) 具有受应力沟道的场效应晶体管及其制造方法
CN100342507C (zh) 制造应变mosfet的结构和方法
CN100345265C (zh) 硅化金属栅极晶体管的结构和方法
US20150194348A1 (en) Semiconductor process
CN1742375A (zh) 应变沟道鳍片场效应晶体管
CN1771589A (zh) 形成FinFET装置中的栅极以及薄化该FinFET装置的沟道区中的鳍的方法
CN1977387A (zh) 高迁移率三栅器件及其制造方法
CN1976059A (zh) 鳍片型场效应晶体管结构以及用于制造这种结构的方法
CN1711630A (zh) 磨平栅极材料以改善半导体装置中的栅极特征尺寸的方法
CN1577890A (zh) 具有凸起的结区域的pmos晶体管应变最优化
CN1967812A (zh) 具有准自对准源极/漏极FinFET的半导体器件及其形成方法
CN1542965A (zh) 具有其内形成有空隙区的外延图形的集成电路器件及其形成方法
CN1742362A (zh) 三栅极与栅极环绕的金属氧化物半导体场效应晶体管器件及其制造方法
CN1902740A (zh) 利于高产量并包括蚀刻终止层和/或应力膜的置换栅流程
CN1555579A (zh) 具有高介电常数栅极绝缘层和与衬底形成肖特基接触的源极和漏极的晶体管
CN101064310A (zh) 应用自对准双应力层的cmos结构和方法
CN1674239A (zh) 场效应晶体管及其制造方法
US6432784B1 (en) Method of forming L-shaped nitride spacers
CN107533960B (zh) 制造三维装置的方法以及形成多栅极式晶体管的方法
US6894337B1 (en) System and method for forming stacked fin structure using metal-induced-crystallization
CN103578996A (zh) 晶体管制造方法
CN1921086A (zh) 应变cmos的集成制作方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term

Granted publication date: 20080820