CN1799045A - 使用机器学习系统的对在半导体晶片上形成的结构的光学计量 - Google Patents

使用机器学习系统的对在半导体晶片上形成的结构的光学计量 Download PDF

Info

Publication number
CN1799045A
CN1799045A CNA2004800149754A CN200480014975A CN1799045A CN 1799045 A CN1799045 A CN 1799045A CN A2004800149754 A CNA2004800149754 A CN A2004800149754A CN 200480014975 A CN200480014975 A CN 200480014975A CN 1799045 A CN1799045 A CN 1799045A
Authority
CN
China
Prior art keywords
diffracted signal
machine learning
learning system
diffracted
training
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2004800149754A
Other languages
English (en)
Other versions
CN100418083C (zh
Inventor
斯里尼瓦斯·多迪
埃曼努埃尔·德勒热
尼克希尔·贾卡达
鲍君威
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
TEL Timbre Technologies Inc
Original Assignee
TEL Timbre Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by TEL Timbre Technologies Inc filed Critical TEL Timbre Technologies Inc
Publication of CN1799045A publication Critical patent/CN1799045A/zh
Application granted granted Critical
Publication of CN100418083C publication Critical patent/CN100418083C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/24Measuring arrangements characterised by the use of optical techniques for measuring contours or curvatures
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N20/00Machine learning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor

Abstract

提供一种使用机器学习系统的对在半导体晶片上形成的结构的光学计量,通过得到用计量装置测量的第一衍射信号,检测在半导体晶片上形成的结构。通过使用机器学习系统产生第二衍射信号,其中,机器学习系统接收一个或更多个表征结构的剖面的参数作为输入,以产生第二衍射信号。比较第一和第二衍射信号。当第一和第二衍射信号在匹配准则内匹配时,基于由机器学习系统使用以产生第二衍射信号的一个或更多个参数或剖面确定结构的特征。

Description

使用机器学习系统的对在半导体晶片 上形成的结构的光学计量
技术领域
本发明涉及对在半导体晶片上形成的结构的计量(metrology),尤其涉及使用机器学习系统的对在半导体晶片上形成的结构的计量。
背景技术
光学计量包括:将入射束引向结构;测量得到的衍射束;分析衍射束以确定结构的特征。在半导体制造中,光学计量一般用于质量保证。例如,在制造接近半导体晶片上的半导体芯片的周期性格栅(periodic grating)之后,光学计量系统用于确定周期性格栅的剖面(profile)。通过确定周期性格栅的剖面,可以评价用于形成周期性格栅的制造方法的质量,并且,通过延伸,可以评价接近周期性格栅的半导体芯片。
一种常规的光学计量系统使用诸如严格耦合波分析(rigorouscoupled wave analysis)(RCWA)的衍射模拟技术,以分析衍射束。更具体地,在衍射模拟技术中,部分地基于求解麦克斯韦方程计算模型衍射信号。计算模型衍射信号包括执行大量的耗时间、成本高的复杂计算。
发明内容
在一个示例性实施方式中,通过得到用计量装置测量的第一衍射信号,检测在半导体晶片上形成的结构。通过使用机器学习系统产生第二衍射信号,这里机器学习系统接收一个或更多个表征结构的剖面的参数作为输入,以产生第二衍射信号。比较第一和第二衍射信号。当第一和第二衍射信号在匹配准则内匹配时,基于由机器学习系统使用以产生第二衍射信号的一个或更多个参数或剖面确定结构的特征。
附图说明
通过参照结合附图的以下说明,本发明可得到最佳理解,在附图中,用相同的附图标记表示相同的部分。
图1表示示例性光学计量系统;
图2A~2E表示示例性剖面;
图3表示示例性神经网络;
图4表示机器学习系统的示例性训练方法;
图5表示机器学习系统的示例性试验方法;
图6表示通过使用机器学习系统确定结构的特征的示例性方法;
图7表示在基于库(library-based)的方法中使用机器学习系统确定结构的特征的示例性方法。
图8表示在基于库的系统中使用机器学习系统确定结构的特征的示例性系统。
图9表示在基于回归(regression-based)的方法中使用机器学习系统确定结构的特征的示例性方法。
图10表示在基于回归的系统中使用机器学习系统确定结构的特征的示例性系统。
具体实施方式
以下说明大量的具体构造、参数等。但应当理解,这种说明目的不在于限定本发明的范围,而是用于说明各示例性实施方式。
(1.计量)
参照图1,计量系统100可用于检测和分析结构。例如,计量系统100可用于确定在晶片104上形成的周期性格栅102的特征。如上面所述,可以在诸如邻近在晶片104上形成的器件的晶片104上的试验区中,形成周期性格栅102。作为替代方案,可以在不干扰器件的动作的器件区域或沿晶片104上的划线的区域中,形成周期性格栅102。
如图1所示,计量系统100可包括具有源106和检测器112的计量装置。通过来自源106的入射束108照射周期性格栅102。在本示例性实施方式中,入射束108以关于周期性格栅102的法线矢量
Figure A20048001497500091
的入射角θi和方位角Φ(即,入射束108的平面和周期性格栅102的周期性方向之间的角度)射在周期性格栅102上。衍射束110以关于法线矢量
Figure A20048001497500092
的角度θd离开,并被检测器112接收。检测器112将衍射束110转换成测量的衍射信号,该衍射信号可包含反射率、tan(ψ)、cos(Δ)、傅里叶系数等。
计量系统100还包括构成为接收测量的衍射信号并分析测量的衍射信号的处理模块114。如下面所述,由此可通过使用基于库的方法和基于回归的方法,确定周期性格栅102的特征。另外,可以考虑采用其它线性或非线性剖面提取(profile extraction)技术。
(2.基于库的方法)
在基于库的方法中,将测量的衍射信号与衍射信号的库相比较。更具体而言,库中的各衍射信号与结构的剖面有关。当在测量的衍射信号和库中的衍射信号中的一个之间形成匹配时,或当测量的衍射信号和为库中的衍射信号中的一个之间的差异在预定或匹配准则以内时,认为与库中的匹配的衍射信号相关的剖面代表结构的实际剖面。从而可基于与匹配的衍射信号相关的剖面确定结构的特征。
因此,重新参照图1,在一个示例性实施方式中,在得到测量的衍射信号后,处理模块114将测量的衍射信号与存储在库116中的衍射信号相比较。库116中的各衍射信号与剖面相关。当在测量的衍射信号和库116中的衍射信号中的一个之间形成匹配时,可以认为与库116中的匹配的衍射信号相关的剖面代表周期性格栅102的实际剖面。
可以通过用参数组表征剖面、然后改变该参数组以产生不同形状和尺寸的多个剖面,产生存储在库116中的剖面的组。可以将用参数组表征剖面的方法称为参数化。
例如,如图2A所示,假定剖面200可由分别限定其高度和宽度的参数h1和w1表征。如图2B~2E所示,可以通过增加参数的数量,表征剖面200的添加的形状和特征。例如,如图2B所示,剖面200可由分别限定其高度、底宽和顶宽的参数h1、w1和w2表征。注意,可以将剖面200的宽度称为临界尺寸(critical demension,CD)。例如,在图2B中,可以分别将参数w1和w2描述为限定剖面200的底部CD和顶部CD。应当理解,可以使用各种类型的参数,以表征剖面200,包含入射角(AOI)、间距、n&k、硬件参数(例如,偏振角)等。
如上所述,可以通过改变表征剖面的参数,产生存储在库116(图1)中的剖面的组。例如,参照图2B,通过改变参数h1、w1和w2,可以产生不同形状和尺寸的剖面。注意,可以相对于彼此改变一个、两个或全部三个参数。
因此,可以使用与匹配的衍射信号相关的剖面的参数,以确定被检测的结构的特征。例如,可以使用与底部CD对应的剖面的参数,以确定被检测的结构的底部CD。
仍然参照图1,存储在库116中的剖面和衍射信号的组中的剖面和对应的衍射信号的数量(即,库116的分辨率和/或范围)部分地取决于参数的组的范围和参数的组改变的增量。在一个示例性实施方式中,在从实际结构得到测量的衍射信号之前产生存储在库116中的剖面和衍射信号。因此,可以基于对用于结构的制造方法和可能的变化范围的熟悉情况选择在产生的库116中使用的范围和增量(即,范围和分辨率)。也可以基于诸如使用原子力显微镜法(AFM)、扫描电子显微镜法(SEM)等的测量方法的实验手段选择库116的范围和/或分辨率。
对于基于库的方法的更详细的说明,可参见在2001年7月16日提交的发明名称为“GENERATION OF A LIBRARY OF PERIODICGRATING DIFFR5TION SIGNALS”的美国专利申请No.09/907488,在此引入其全部内容作为参考。
(3.基于回归的方法)
在基于回归的方法中,将测量的衍射信号与在比较之前通过使用用于一个剖面的参数组(即,尝试性参数)产生的衍射信号(即,尝试性衍射信号)相比较。如果测量的衍射信号和尝试性衍射信号不匹配,或者当测量的衍射信号与尝试性衍射信号之间的差异不在预定或匹配准则内,通过使用用于另一剖面的另一参数组,产生另一尝试性衍射信号,然后将测量的衍射信号与新产生的尝试性衍射信号比较。当测量的衍射信号与尝试性衍射信号匹配,或当测量的衍射信号与尝试性衍射信号之间的差异在预定或匹配准则内,认为与匹配的尝试性衍射信号相关的剖面代表结构的实际剖面。从而可以使用与匹配的尝试性衍射信号相关的剖面,以确定被检测的结构的特征。
因此,仍然参照图1,在一个示例性实施方式中,处理模块114可以产生用于剖面的尝试性衍射信号,然后将测量的衍射信号与尝试性衍射信号相比较。如上所述,如果测量的衍射信号与尝试性衍射信号不匹配,或当测量的衍射信号与尝试性衍射信号的差异不在预定或匹配准则内时,则处理模块114可以反复产生用于另一剖面的另一尝试性衍射信号。在一个示例性实施方式中,可以通过使用诸如包含模拟退火(annealing)的整体最优化技术和包含最速下降算法的局部最优化技术的最优化算法,产生随后产生的尝试性衍射信号。
在一个示例性实施方式中,可将尝试性衍射信号和剖面存储在库116(即,动态库)中。从而可以在后来匹配测量的衍射信号时使用存储在库116中的尝试性衍射信号和剖面。作为替代方案,可以从计量系统100中略去库116。
对于基于回归的方法的更详细的说明,可参见在2001年8月6日提交的发明名称为“METHOD AND SYSTEM OF DYNAMICLEARNING THROUGH A REGRESSION-BASED LIBRARYGENERATION PROCESS”的美国专利申请No.09/923578,在此引入其全部内容作为参考。
(4.机器学习系统)
参照图1,在一个示例性实施方式中,通过使用采用诸如反向传播(back propagation)、径向基函数(radial basis function)、支持向量(support vector)、核心回归(kernel regression)等的机器学习算法的机器学习系统118,产生在基于库的方法和/或基于回归的方法中使用的衍射信号。对于机器学习系统和算法的更详细的说明,可参见“Neural Networks”,Simon Haykin,Prentice Hall,1999,在此引入其全部内容作为参考。
在本示例性实施方式中,机器学习系统118接收剖面作为输入,并产生衍射信号作为输出。虽然在图1中机器学习系统118被示为处理模块114的部件,但应理解,机器学习系统118可以是单独的模块。并且,当机器学习系统118被用作基于库的方法的一部分时,可以通过机器学习系统118事先产生库116中的衍射信号。因而,机器学习系统118可以是不与处理模块114连接的单独的模块。相反,当机器学习系统118被用作基于回归的方法的一部分时,即使当机器学习系统118是单独的模块而不是处理模块114的部件时,机器学习系统118也与处理模块114连接。
参照图3,在一个示例性实施方式中,机器学习系统是使用反向传播算法的神经网络300。神经网络300包含输入层302、输出层304和输入层302和输出层304之间的隐藏层306。通过使用链接308,连接输入层302和隐藏层306。通过使用链接310,连接隐藏层306和输出层304。但应当理解,神经网络300可包含任意数量的以各种构造连接的层。
如图3所示,输入层302包含一个或更多个输入节点312。在本示例性实施方式中,输入层302中的输入节点312对应于被输入神经网络300中的剖面的参数。因此,输入节点312的数量对应于用于表征剖面的参数的数量。例如,如果用2个参数(例如,顶宽和底宽)表征剖面,那么输入层302包含2个输入节点312,这里,第一输入节点312对应于第一参数(例如,顶宽),第二输入节点312对应于第二参数(例如,底宽)。
在神经网络300中,输出层304包含一个或更多个输出节点314。在本示例性实施方式中,各输出节点314是线性函数。但应认识到,各输出节点314可以为各种类型的函数。另外,在本示例性实施方式中,输出层304中的输出节点314对应于从神经网络300输出的衍射信号的维。因此,输出节点314的数量对应于用于表征衍射信号的维的数量。例如,如果用对应于例如5个不同的波长的5个维表征衍射信号,那么输出层304包含5个输出节点314,这里,第一输出节点314对应于第一维(例如,第一波长),第二输出节点314对应于第二维(例如,第二波长)等。
在神经网络300中,隐藏层306包含一个或更多个隐藏节点316。在本示例性实施方式中,各隐藏节点316是S形转移函数或径向基函数。但应认识到,各隐藏节点316可以为各种类型的函数。另外,在本示例性实施方式中,基于输出节点314的数量确定隐藏节点316的数量。更加具体而言,隐藏节点316的数量(m)通过预定的比值(r=m/n)与输出节点314的数量(n)相关。例如,当r=10时,对于各输出节点314有10个隐藏节点316。但应认识到,该预定的比值可以是输出节点314的数量与隐藏节点316的数量的比(即,r=n/m)。另外,应当认识到,可以在基于预定的比值确定隐藏节点316的初始数量后,调整神经网络300中的隐藏节点316的数量。并且,可以基于经验和/或实验而不是基于预定的比值,确定神经网络300中的隐藏节点316的数量。
在使用机器学习系统以产生衍射信号之前,对机器学习系统进行训练。参照图4,该图示出用于训练机器学习系统的示例性方法400。在示例性方法400中,通过使用训练输入数据组和训练输出数据组,对机器学习系统进行训练,这里,训练输入数据组中的输入数据在训练输出数据组中具有相应的输出数据,以形成输入和输出数据对。
在402中,得到训练输入数据组。在本示例性实施方式中,训练输入数据包含剖面组。如上所述,用参数组表征剖面。可以通过单独地或以组合的方式改变一个或更多个表征剖面的参数,产生剖面的范围。基于待检测的结构的实际剖面的可变性的预期范围确定所要产生的剖面的整个范围,该预期范围是在实验上或通过经验被确定的。例如,如果预期待检测的结构的实际剖面具有可在x1和x2之间变化的底宽,那么可以通过x1和x2之间改变与底宽对应的参数,产生剖面的整个范围。
在一个示例性实施方式中,用于训练机器学习系统的剖面的组选自所要产生的剖面的整个范围。更加具体而言,通过使用剖面的整个范围的随意抽样,选择训练数据组。应当认识到,可以使用诸如系统抽样、随机和系统抽样的组合等的各种抽样技术,以选择训练数据组。
在本示例性实施方式中,将所要产生的剖面的整个范围分为两个或更多个部分。为各部分的每一个配置和训练机器学习系统。例如,假定将整个范围分为第一部分和第二部分。因此,在本例子中,为第一部分配置和训练第一机器学习系统,为第二部分配置和训练第二机器学习系统。划分整个范围并使用多个机器学习系统的一个优点在于,可以使用并行处理(例如,可以并行训练和使用两个机器学习系统)。另一优点在于,各机器学习系统关于它们的各个部分可以比用于整个范围的单个机器学习系统更精确。更具体而言,用于整个范围训练的单个机器学习系统容易受到会降低机器学习系统的精度的局部最小值的影响。
当划分整个范围时,各部分可以具有相同的尺寸或不同的尺寸。当各部分具有不同的尺寸时,可以基于各部分内的数据的密度确定各部分的尺寸。例如,低密度部分可以比高密度部分大。应当认识到,各部分的数量和尺寸可以根据应用而变化。
在404中,得到训练输出数据组。在本示例性实施方式中,训练输出数据包含衍射信号组。用作训练输出数据的衍射信号组中的衍射信号对应于用作训练输入数据的剖面的组中的剖面。可以通过使用诸如严格耦合波分析(RCWA)、积分法、菲涅耳法、有限分析、模型分析等的模型化技术,基于剖面的组中的各剖面产生衍射信号的组中的各衍射信号。作为替代方案,可以使用诸如通过使用诸如偏振光椭圆率测量仪(ellipsometer)、反射计、原子力显微镜(AFM)、扫描电子显微镜(SEM)等的计量装置来测量衍射信号的实验技术,基于剖面组中的各剖面产生衍射信号组中的各衍射信号。因此,来自剖面组的剖面和来自衍射信号组的相应衍射信号形成剖面/衍射信号对。虽然在剖面/衍射信号对中的剖面和衍射信号之间存在一一对应关系,但注意,在剖面/衍射信号对中的剖面和衍射信号之间,不需要公知的分析或数值关系。
在一个示例性实施方式中,在使用衍射信号组以训练机器学习系统之前,通过使用主成分分析(PCA),变换衍射信号组。更具体而言,可以通过使用诸如大量的不同波长的大量的维,表征衍射信号。通过使用PCA以变换衍射信号组,将衍射信号变换为不相关的维,且不相关的维的空间小于初始维的空间。在机器学习系统得到训练后,可以将衍射信号变换回去。
在本示例性实施方式中,可以将衍射信号的维分为两个或更多个部分。为各部分的每一个配置和训练机器学习系统。例如,假定将维分为第一部分和第二部分。因此,在本例子中,为第一部分配置和训练第一机器学习系统,为第二部分配置和训练第二机器学习系统。同样,划分维并使用多个机器学习系统的一个优点在于,可以使用并行处理(例如,可以并行训练和使用两个机器学习系统)。另一优点在于,各机器学习系统关于它们的各个部分可以比单个机器学习系统更精确。
在406中,对来自用作训练输入数据的剖面组的剖面,通过使用机器学习系统产生衍射信号。在408中,将产生的衍射信号与对应于剖面的来自衍射信号组的衍射信号相比较。当衍射信号之间的差不在希望的或预定的误差容限内时,用来自用作训练输入数据的剖面组的另一剖面重复406和408。在410中,当衍射信号之间的差在希望的或预定的误差容限内时,训练过程终止。
应当认识到,训练方法400可以包含诸如梯度下降、线性规划、二次规划、模拟退火、Marquardt-Levenberg算法等的最优化技术的使用。另外,可以以分批法执行训练方法400。要得到分批法的更详细的说明,参见上面已引用的Simon Haykin的“Neural Networks”。
并且,图4中所示的训练方法400示出反向传播算法。但应认识到,可以使用诸如径向基网络、支持向量、核心回归等的各种训练算法。
参照图5,示出用于测试机器学习系统的示例性方法500。在一个示例性实施方式中,在机器学习系统得到训练后,可以对机器学习系统进行测试,以确认它已适当地得到训练。但应理解,在一些应用中可以忽略该测试过程。
在502中,得到测试输入数据组。在504中,得到测试输出数据组。在本示例性实施方式中,测试输入数据包含剖面组,测试输出数据包含衍射信号组。可以通过使用上面在训练方法过程中说明的相同方法和技术,得到测试输入数据组和测试输出数据组。测试输入数据组和测试输出数据组可以与训练输入数据和训练输出数据相同,或可以是训练输入数据和训练输出数据的子组。作为替代方案,测试输入数据组和测试输出数据组可以与训练输入数据和训练输出数据不同。
在506中,对于来自用作测试输入数据的剖面组的剖面,通过使用机器学习系统产生衍射信号。在508中,将产生的衍射信号与对应于剖面的来自测试输出数据中的衍射信号组的衍射信号相比较。在510中,当衍射信号之间的差不在希望的或预定的误差容限内时,对机器学习系统进行重新训练。当对机器学习系统进行重新训练时,可以调整训练过程。例如,可以调整训练输入和输出变量的选择和数量。另外,可以调整机器学习系统。例如,当如上所述机器学习系统是神经网络时,可以调整隐藏节点的数量。在512中,当衍射信号之间的差在希望的或预定的误差容限内时,测试过程终止。
可以使用经验性风险最小化(ERM)技术,以量化训练的机器学习系统一般化到新的输入的好坏程度。对于ERM的更详细的说明,可参见“Statistical Learning Theory”,Vladimir N.Vapnik,Wiley-Interscience,1998年9月,在此加入其全部内容作为参考。
在对机器学习系统进行训练和测试后,可以使用机器学习系统以产生用于分析在半导体晶片上形成的结构的衍射信号。同样应注意,在一些应用情况下可以忽略测试过程。
参照图6,示出使用机器学习系统以检测在半导体晶片上形成的结构的示例性方法600。在602中,通过使用计量装置得到结构的测量的衍射信号。在604中,通过使用机器学习系统得到产生的衍射信号。在606中,比较衍射信号。在608中,基于测量和产生的衍射信号的比较,确定结构的特征。
更具体而言,如上所述,使用与产生的衍射信号对应的剖面作为对于机器学习系统的输入,以产生产生的衍射信号。通过一个或更多个参数表征剖面。因此,当产生的衍射信号在匹配准则内与测量的衍射信号匹配时,可以使用剖面,并因此可使用表征剖面的一个或更多个参数,以确定结构的特征。
参照图7,示出在基于库的方法中使用机器学习系统的示例性方法700。在702中,通过使用机器学习系统,产生衍射信号的库。更具体而言,通过将剖面的范围输入机器学习系统中,产生衍射信号的库。在704中,通过使用诸如偏振光椭圆率测量仪(ellipsometer)、反射计等的计量装置,得到测量的衍射信号。在706中,将测量的衍射信号与通过使用机器学习系统产生的衍射信号的库中的衍射信号相比较。在708中,通过使用与来自衍射信号的库的匹配的衍射信号对应的剖面,确定结构的特征。
参照图8,示出在基于库的系统中使用机器学习系统的示例性系统800。如图8所示,通过使用机器学习系统118,产生库116。然后由处理模块114使用库116,以将库116中的衍射信号与从诸如偏振光椭圆率测量仪、反射计等的计量装置802得到的测量的衍射信号相比较。应注意,虽然图8中将机器学习系统118描述为单独的单元,但机器学习系统118可被集成为处理模块114的组成部分。另外,机器学习系统118可以与处理模块114连接,以诸如通过网络连接将库116输送到处理模块114中。作为替代方案,库116可被存储在便携式存储介质中,并在物理上被传输到处理模块114。
并且,如图8所示,处理模块114可以与被配置为执行一个或更多个制造步骤的半导体制造单元804耦合。但应认识到,除了被集成到半导体制造单元804上以外,计量系统可以作为单独的系统工作。
参照图9,示出在基于回归的方法中使用机器学习系统的示例性方法900。在902中,通过使用诸如偏振光椭圆率测量仪、反射计、原子力显微镜(AFM)、扫描电子显微镜(SEM)等的计量装置,得到测量的衍射信号。在904中,通过使用机器学习系统,得到产生的衍射信号。在906中,比较两个衍射信号。当两个衍射信号在预定的匹配准则内不匹配时,用在904中产生的另一衍射信号重复904和906。重复该过程,直到发现匹配,意思是产生的和测量的衍射信号在预定的匹配准则内匹配。在908中,如果两个衍射信号在预定的匹配准则内匹配,则认为与匹配的衍射信号对应的剖面对应于正被检测的结构的实际剖面。因此,可以使用剖面和表征剖面的参数以确定结构的特征。
参照图10,示出在基于回归的系统中使用机器学习系统的示例性系统1000。如图10所示,优化器1002接收测量的衍射信号作为来自计量装置802的输入。优化器1002接收产生的衍射信号作为来自机器学习系统118的输入。优化器1002比较产生的和测量的衍射信号。当产生的和测量的衍射信号匹配时,优化器1002输出与匹配的产生的衍射信号对应的剖面。当产生的和测量的衍射信号在预定的匹配准则内不匹配时,优化器1002向机器学习系统118输出信号,以产生另一衍射信号。重复该过程,直到发现匹配,意思是产生的和测量的衍射信号在预定的匹配准则内匹配。
在一个示例性实施方式中,使用优化技术,以减少实现匹配所需要重复的次数。更具体而言,优化问题的目的在于,在几种可能的方案中找到最佳的方案,这里,可以通过相关的成本函数量化最佳方案。换句话说,对于给定成本大小下的给定问题,任务是找到具有最低的成本的方案。因此,在本示例性应用中,任务是找到具有关于给定的测量衍射信号产生最低成本(在给定的成本量度下)的相应衍射信号的剖面。应当认识到,诸如梯度下降、线性规划、二次规划、模拟退火、Marquardt-Levenberg算法等的大致分为两类(即整体和局部)的大量最优化技术是公知的并可以被使用。要得到整体和局部最优化技术的更详细的说明,参见剑桥第二版William H.Press、Saul A.Teukolsky、William T.Vetterling和Brian P.Flannery的“NumericalRecipes in C”,在此加入其内容作为参考。
如上所述,可以作为基于回归的方法的部分产生衍射信号的库。更具体而言,当完成匹配时,意思是当产生的衍射信号和测量的衍射信号在匹配准则内匹配时,可以在匹配剖面周围产生衍射信号的库。一般地,作为基于回归的方法的部分产生的衍射信号的库比上述作为基于库的方法的部分产生的库小。
另外,可以在内插法中使用上述作为基于回归的方法的部分产生的衍射信号的库和作为基于库的方法的部分产生的库,这里,在库中两个入口(entry)之间导出方案。要得到内插法的更详细的说明,参见在2002年2月12日提交的发明名称为“PROFILE REFINEMENTFOR INTEGRATED CIRCUIT METROLOGY”的美国专利申请No.10/075904,在此加入其全部内容作为参考。
为了解释和说明,给出本发明的具体实施方式的上述说明。它们的目的不在于穷举或将本发明限定为公开的精确的形式,并且应当理解,根据上述说明,许多更改和变化是可能的。
例如,参照图1,如上所述,机器学习系统118可以被配置为用诸如原子力显微镜(AFM)、扫描电子显微镜(SEM)等的非光学计量装置或光学和非光学计量装置的组合工作。因此,机器学习系统118可以产生与使用的计量装置的类型对应的各种类型的衍射信号。例如,当计量装置是SEM时,由机器学习系统118产生的衍射信号是诸如二维图像或SEM痕迹的SEM信号。
另外,产生的衍射信号可以包含由计量装置使用的信号的特性函数。例如,在训练过程中,可以使用衍射信号的各种阶次的导数(例如,第一阶、第二阶…第n阶导数)作为Marquardt-Levenberg算法的部分,以优化训练过程。

Claims (29)

1.一种检测在半导体晶片上形成的结构的方法,包括以下步骤:
得到用计量装置测量的第一衍射信号;
得到用机器学习系统产生的第二衍射信号,其中,所述机器学习系统接收一个或更多个表征所述结构的剖面的参数作为输入,以产生所述第二衍射信号;
比较所述第一和第二衍射信号;和
当所述第一和第二衍射信号在匹配准则内匹配时,基于由所述机器学习系统使用以产生所述第二衍射信号的所述一个或更多个参数或所述剖面确定所述结构的特征。
2.根据权利要求1的方法,还包括以下步骤:
在产生所述第二衍射信号之前,用训练输入数据组和训练输出数据组训练所述机器学习系统,其中,所述训练输入数据的每一个是由一个或更多个参数表征的所述结构的剖面,且所述训练输出数据的每一个是与所述结构的所述剖面对应的衍射信号。
3.根据权利要求2的方法,还包括以下步骤:
从所述结构的剖面的范围中选择训练输入数据组。
4.根据权利要求3的方法,还包括以下步骤:
将剖面的所述范围分为第一部分和至少一个第二部分,其中,为所述第一部分配置和训练第一机器学习系统,为所述第二部分配置和训练第二机器学习系统。
5.根据权利要求2的方法,其中,
通过在训练所述机器学习系统之前使用模型化技术,基于所述训练输入数据组产生所述训练输出数据组。
6.根据权利要求5的方法,其中,
所述模型化技术包含严格耦合波分析、积分法、菲涅耳法、有限分析或模型分析。
7.根据权利要求2的方法,其中,所述训练输出数据包含多个维数,并且还包括以下步骤:
通过使用主成分分析,变换所述训练输出数据。
8.根据权利要求7的方法,还包括以下步骤:
将所述训练输出数据的所述维数分为第一部分和至少一个第二部分,其中,为所述第一部分配置和训练第一机器学习系统,为所述第二部分配置和训练第二机器学习系统。
9.根据权利要求2的方法,其中,训练包含以下步骤:
(a)得到训练输入数据;
(b)通过使用所述训练输入数据用所述机器学习系统产生衍射信号;
(c)将所述衍射信号与对应于用来产生所述衍射信号的所述训练输入数据的所述训练输出数据相比较;
(d)当所述衍射信号和所述训练输出数据在匹配准则内不匹配时,用另一训练输入数据重复(b)和(c)。
10.根据权利要求2的方法,其中,
训练包含使用反向传播、径向基网络、支持向量或核心回归算法。
11.根据权利要求1的方法,其中,
当所述第一和第二衍射信号在所述匹配准则内不匹配时,将所述第一衍射信号与来自衍射信号的库的另一衍射信号相比较,以及通过使用所述机器学习系统产生所述衍射信号的库中的所述衍射信号。
12.根据权利要求1的方法,其中,
当所述第一和第二衍射信号在所述匹配准则内不匹配时,通过使用机器学习系统产生另一衍射信号,以与所述第一衍射信号相比较。
13.根据权利要求1的方法,其中,
所述计量装置是偏振光椭圆率测量仪、反射计、原子力显微镜或扫描电子显微镜。
14.根据权利要求1的方法,其中,
所述一个或更多个参数包含一个或更多个维的临界尺寸、入射角、n和k值或间距。
15.根据权利要求1的方法,其中,
所述机器学习系统是神经网络。
16.一种计算机可读存储介质,包含用于使计算机检测在半导体晶片上形成的结构的计算机可执行指令,该计算机可读存储介质包含用于执行以下步骤的指令:
得到用计量装置测量的第一衍射信号;
得到用机器学习系统产生的第二衍射信号,其中,所述机器学习系统接收一个或更多个表征所述结构的剖面的参数作为输入,以产生所述第二衍射信号;
比较所述第一和第二衍射信号;和
当所述第一和第二衍射信号在匹配准则内匹配时,基于由所述机器学习系统使用以产生所述第二衍射信号的所述一个或更多个所述剖面的参数确定所述结构的特征。
17.根据权利要求16的计算机可读存储介质,还包含用于执行以下步骤的指令:
在产生所述第二衍射信号之前,用训练输入数据组和训练输出数据组训练所述机器学习系统,其中,所述训练输入数据的每一个是由一个或更多个参数表征的所述结构的剖面,且所述训练输出数据的每一个是与所述结构的所述剖面对应的衍射信号。
18.根据权利要求17的计算机可读存储介质,其中,
通过在训练所述机器学习系统之前使用模型化技术,基于所述训练输入数据组产生所述训练输出数据组。
19.根据权利要求17的计算机可读存储介质,其中,训练包含以下步骤:
(a)得到训练输入数据;
(b)通过使用所述训练输入数据用所述机器学习系统产生衍射信号;
(c)将所述衍射信号与对应于用来产生所述衍射信号的所述训练输入数据的所述训练输出数据相比较;
(d)当所述衍射信号和所述训练输出数据在匹配准则内不匹配时,用另一训练输入数据重复(b)和(c)。
20.根据权利要求16的计算机可读存储介质,其中,
当所述第一和第二衍射信号在所述匹配准则内不匹配时,将所述第一衍射信号与来自衍射信号的库的另一衍射信号相比较,以及通过使用所述机器学习系统产生所述衍射信号的库中的所述衍射信号。
21.根据权利要求16的计算机可读存储介质,其中,
当所述第一和第二衍射信号在所述匹配准则内不匹配时,通过使用机器学习系统产生另一衍射信号,以与所述第一衍射信号相比较。
22.一种用于检测在半导体晶片上形成的结构的系统,所述系统包括:
被配置为测量来自所述结构的第一衍射信号的计量装置;
被配置为产生第二衍射信号的机器学习系统,其中,所述机器学习系统接收一个或更多个表征所述结构的剖面的参数作为输入,以产生所述第二衍射信号;和
被配置为比较所述第一和第二衍射信号的处理器,其中,当所述第一和第二衍射信号在匹配准则内匹配时,基于由所述机器学习系统使用以产生所述第二衍射信号的所述一个或更多个参数或所述剖面确定所述结构的特征。
23.根据权利要求22的系统,其中,
在产生所述第二衍射信号之前,用训练输入数据组和训练输出数据组训练所述机器学习系统,其中,所述训练输入数据的每一个是由一个或更多个参数表征的所述结构的剖面,且所述训练输出数据的每一个是与所述结构的所述剖面对应的衍射信号。
24.根据权利要求23的系统,其中,
所述训练输入数据组选自所述结构的剖面的范围。
25.根据权利要求24的系统,其中,
将剖面的所述范围分为第一部分和至少一个第二部分,并且所述机器学习系统包括:
为所述第一部分配置和训练的第一机器学习系统,和为所述第二部分配置和训练的第二机器学习系统。
26.根据权利要求23的系统,其中,
所述训练输出数据包含多个维数,所述训练输出数据的所述维数被分为第一部分和至少一个第二部分,且
所述机器学习系统包括:
为所述第一部分配置和训练的第一机器学习系统,和
为所述第二部分配置和训练的第二机器学习系统。
27.根据权利要求22的系统,还包括:
衍射信号的库,其中通过使用所述机器学习系统产生所述库中的所述衍射信号,且
当所述第一和第二衍射信号在所述匹配准则内不匹配时,所述第一衍射信号与来自所述衍射信号的库的另一衍射信号相比较。
28.根据权利要求22的系统,其中,
当所述第一和第二衍射信号在所述匹配准则内不匹配时,所述机器学习系统产生另一衍射信号,以与所述第一衍射信号相比较。
29.根据权利要求22的系统,还包括:
与所述处理器耦合的半导体制造单元,所述半导体制造单元被配置为执行一个或更多个制造步骤。
CNB2004800149754A 2003-06-27 2004-06-25 使用机器学习系统的对在半导体晶片上形成的结构的光学计量 Expired - Fee Related CN100418083C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/608,300 US20040267397A1 (en) 2003-06-27 2003-06-27 Optical metrology of structures formed on semiconductor wafer using machine learning systems
US10/608,300 2003-06-27

Publications (2)

Publication Number Publication Date
CN1799045A true CN1799045A (zh) 2006-07-05
CN100418083C CN100418083C (zh) 2008-09-10

Family

ID=33540544

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2004800149754A Expired - Fee Related CN100418083C (zh) 2003-06-27 2004-06-25 使用机器学习系统的对在半导体晶片上形成的结构的光学计量

Country Status (6)

Country Link
US (2) US20040267397A1 (zh)
JP (1) JP4589315B2 (zh)
KR (1) KR101059427B1 (zh)
CN (1) CN100418083C (zh)
DE (1) DE112004001001T5 (zh)
WO (1) WO2005003911A2 (zh)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101299150B (zh) * 2007-05-03 2011-04-06 台湾积体电路制造股份有限公司 监控和预测晶片平整度的方法及半导体晶片的制造方法
CN101359611B (zh) * 2007-07-30 2011-11-09 东京毅力科创株式会社 对光学计量系统的选定变量进行优化
CN101359612B (zh) * 2007-07-30 2012-07-04 东京毅力科创株式会社 晶片图案结构的检查装置及其计量数据管理方法
CN103403724A (zh) * 2011-03-04 2013-11-20 东京毅力科创株式会社 用于基于库的临界尺寸(cd)计量的精确和快速的神经网络训练
CN106663646A (zh) * 2014-07-07 2017-05-10 科磊股份有限公司 基于代理结构的测量的信号响应计量
CN107408519A (zh) * 2015-03-24 2017-11-28 科磊股份有限公司 基于模型的单个参数测量
CN108463876A (zh) * 2016-01-11 2018-08-28 科磊股份有限公司 为样品产生模拟输出
CN111971551A (zh) * 2018-04-10 2020-11-20 朗姆研究公司 机器学习中的光学计量以表征特征
CN112805635A (zh) * 2018-10-09 2021-05-14 应用材料公司 先进半导体工艺优化和制造期间的自适应控制
WO2021237949A1 (zh) * 2020-05-29 2021-12-02 复旦大学 基于动量空间色散关系的关键参数的量测方法和系统
CN114503123A (zh) * 2019-10-14 2022-05-13 科磊股份有限公司 用于计量的信号域适应
US11733686B2 (en) 2018-03-13 2023-08-22 Applied Materials, Inc. Machine learning systems for monitoring of semiconductor processing

Families Citing this family (143)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7330279B2 (en) * 2002-07-25 2008-02-12 Timbre Technologies, Inc. Model and parameter selection for optical metrology
US7394554B2 (en) * 2003-09-15 2008-07-01 Timbre Technologies, Inc. Selecting a hypothetical profile to use in optical metrology
US7224471B2 (en) * 2003-10-28 2007-05-29 Timbre Technologies, Inc. Azimuthal scanning of a structure formed on a semiconductor wafer
US7523076B2 (en) * 2004-03-01 2009-04-21 Tokyo Electron Limited Selecting a profile model for use in optical metrology using a machine learning system
US7388677B2 (en) * 2004-03-22 2008-06-17 Timbre Technologies, Inc. Optical metrology optimization for repetitive structures
US20050275850A1 (en) * 2004-05-28 2005-12-15 Timbre Technologies, Inc. Shape roughness measurement in optical metrology
US7065423B2 (en) * 2004-07-08 2006-06-20 Timbre Technologies, Inc. Optical metrology model optimization for process control
US7566181B2 (en) * 2004-09-01 2009-07-28 Tokyo Electron Limited Controlling critical dimensions of structures formed on a wafer in semiconductor processing
US7171284B2 (en) 2004-09-21 2007-01-30 Timbre Technologies, Inc. Optical metrology model optimization based on goals
US7280229B2 (en) * 2004-12-03 2007-10-09 Timbre Technologies, Inc. Examining a structure formed on a semiconductor wafer using machine learning systems
US7274465B2 (en) * 2005-02-17 2007-09-25 Timbre Technologies, Inc. Optical metrology of a structure formed on a semiconductor wafer using optical pulses
US20060187466A1 (en) * 2005-02-18 2006-08-24 Timbre Technologies, Inc. Selecting unit cell configuration for repeating structures in optical metrology
US7421414B2 (en) * 2005-03-31 2008-09-02 Timbre Technologies, Inc. Split machine learning systems
US7355728B2 (en) * 2005-06-16 2008-04-08 Timbre Technologies, Inc. Optical metrology model optimization for repetitive structures
US7515282B2 (en) * 2005-07-01 2009-04-07 Timbre Technologies, Inc. Modeling and measuring structures with spatially varying properties in optical metrology
US7523021B2 (en) * 2006-03-08 2009-04-21 Tokyo Electron Limited Weighting function to enhance measured diffraction signals in optical metrology
US7302367B2 (en) * 2006-03-27 2007-11-27 Timbre Technologies, Inc. Library accuracy enhancement and evaluation
US7522293B2 (en) * 2006-03-30 2009-04-21 Tokyo Electron Limited Optical metrology of multiple patterned layers
US7623978B2 (en) * 2006-03-30 2009-11-24 Tokyo Electron Limited Damage assessment of a wafer using optical metrology
US7324193B2 (en) * 2006-03-30 2008-01-29 Tokyo Electron Limited Measuring a damaged structure formed on a wafer using optical metrology
US7474420B2 (en) * 2006-03-30 2009-01-06 Timbre Technologies, Inc. In-die optical metrology
US7619731B2 (en) * 2006-03-30 2009-11-17 Tokyo Electron Limited Measuring a damaged structure formed on a wafer using optical metrology
US7576851B2 (en) * 2006-03-30 2009-08-18 Tokyo Electron Limited Creating a library for measuring a damaged structure formed on a wafer using optical metrology
US7444196B2 (en) * 2006-04-21 2008-10-28 Timbre Technologies, Inc. Optimized characterization of wafers structures for optical metrology
US20090306941A1 (en) * 2006-05-15 2009-12-10 Michael Kotelyanskii Structure Model description and use for scatterometry-based semiconductor manufacturing process metrology
US7446888B2 (en) * 2006-05-22 2008-11-04 Tokyo Electron Limited Matching optical metrology tools using diffraction signals
US7446887B2 (en) * 2006-05-22 2008-11-04 Tokyo Electron Limited Matching optical metrology tools using hypothetical profiles
US7525673B2 (en) * 2006-07-10 2009-04-28 Tokyo Electron Limited Optimizing selected variables of an optical metrology system
US7526354B2 (en) * 2006-07-10 2009-04-28 Tokyo Electron Limited Managing and using metrology data for process and equipment control
US7495781B2 (en) * 2006-07-10 2009-02-24 Tokyo Electron Limited Optimizing selected variables of an optical metrology model
US7518740B2 (en) * 2006-07-10 2009-04-14 Tokyo Electron Limited Evaluating a profile model to characterize a structure to be examined using optical metrology
US20080013107A1 (en) * 2006-07-11 2008-01-17 Tokyo Electron Limited Generating a profile model to characterize a structure to be examined using optical metrology
US7515283B2 (en) * 2006-07-11 2009-04-07 Tokyo Electron, Ltd. Parallel profile determination in optical metrology
US7469192B2 (en) * 2006-07-11 2008-12-23 Tokyo Electron Ltd. Parallel profile determination for an optical metrology system
US7523439B2 (en) * 2006-07-11 2009-04-21 Tokyo Electron Limited Determining position accuracy of double exposure lithography using optical metrology
US7742888B2 (en) * 2006-07-25 2010-06-22 Tokyo Electron Limited Allocating processing units to generate simulated diffraction signals used in optical metrology
US7765076B2 (en) * 2006-09-22 2010-07-27 Tokyo Electron Limited Allocating processing units to processing clusters to generate simulated diffraction signals
US7300730B1 (en) 2006-09-26 2007-11-27 Tokyo Electron Limited Creating an optically tunable anti-reflective coating
US7763404B2 (en) * 2006-09-26 2010-07-27 Tokyo Electron Limited Methods and apparatus for changing the optical properties of resists
US7555395B2 (en) * 2006-09-26 2009-06-30 Tokyo Electron Limited Methods and apparatus for using an optically tunable soft mask to create a profile library
US20080074677A1 (en) * 2006-09-26 2008-03-27 Tokyo Electron Limited accuracy of optical metrology measurements
US20080074678A1 (en) * 2006-09-26 2008-03-27 Tokyo Electron Limited Accuracy of optical metrology measurements
US20080076046A1 (en) * 2006-09-26 2008-03-27 Tokyo Electron Limited accuracy of optical metrology measurements
US7783669B2 (en) * 2006-10-12 2010-08-24 Tokyo Electron Limited Data flow management in generating profile models used in optical metrology
US7765234B2 (en) * 2006-10-12 2010-07-27 Tokyo Electron Limited Data flow management in generating different signal formats used in optical metrology
US7417750B2 (en) * 2006-11-07 2008-08-26 Tokyo Electron Limited Consecutive measurement of structures formed on a semiconductor wafer using an angle-resolved spectroscopic scatterometer
US7522295B2 (en) * 2006-11-07 2009-04-21 Tokyo Electron Limited Consecutive measurement of structures formed on a semiconductor wafer using a polarized reflectometer
US7428044B2 (en) * 2006-11-16 2008-09-23 Tokyo Electron Limited Drift compensation for an optical metrology tool
US7505148B2 (en) 2006-11-16 2009-03-17 Tokyo Electron Limited Matching optical metrology tools using spectra enhancement
US7639375B2 (en) * 2006-12-14 2009-12-29 Tokyo Electron Limited Determining transmittance of a photomask using optical metrology
US7327475B1 (en) 2006-12-15 2008-02-05 Tokyo Electron Limited Measuring a process parameter of a semiconductor fabrication process using optical metrology
US8798966B1 (en) * 2007-01-03 2014-08-05 Kla-Tencor Corporation Measuring critical dimensions of a semiconductor structure
US7596422B2 (en) * 2007-01-12 2009-09-29 Tokyo Electron Limited Determining one or more profile parameters of a structure using optical metrology and a correlation between profile models and key profile shape variables
US7667858B2 (en) * 2007-01-12 2010-02-23 Tokyo Electron Limited Automated process control using optical metrology and a correlation between profile models and key profile shape variables
US7639351B2 (en) * 2007-03-20 2009-12-29 Tokyo Electron Limited Automated process control using optical metrology with a photonic nanojet
US7949618B2 (en) * 2007-03-28 2011-05-24 Tokyo Electron Limited Training a machine learning system to determine photoresist parameters
US7728976B2 (en) 2007-03-28 2010-06-01 Tokyo Electron Limited Determining photoresist parameters using optical metrology
US7567353B2 (en) * 2007-03-28 2009-07-28 Tokyo Electron Limited Automated process control using optical metrology and photoresist parameters
US7372583B1 (en) * 2007-04-12 2008-05-13 Tokyo Electron Limited Controlling a fabrication tool using support vector machine
US7483809B2 (en) * 2007-04-12 2009-01-27 Tokyo Electron Limited Optical metrology using support vector machine with profile parameter inputs
US7511835B2 (en) * 2007-04-12 2009-03-31 Tokyo Electron Limited Optical metrology using a support vector machine with simulated diffraction signal inputs
US7627392B2 (en) * 2007-08-30 2009-12-01 Tokyo Electron Limited Automated process control using parameters determined with approximation and fine diffraction models
US7949490B2 (en) * 2007-08-30 2011-05-24 Tokyo Electron Limited Determining profile parameters of a structure using approximation and fine diffraction models in optical metrology
US7912679B2 (en) * 2007-09-20 2011-03-22 Tokyo Electron Limited Determining profile parameters of a structure formed on a semiconductor wafer using a dispersion function relating process parameter to dispersion
US7636649B2 (en) * 2007-09-21 2009-12-22 Tokyo Electron Limited Automated process control of a fabrication tool using a dispersion function relating process parameter to dispersion
US7639370B2 (en) * 2007-11-07 2009-12-29 Tokyo Electron Limited Apparatus for deriving an iso-dense bias
US7598099B2 (en) * 2007-11-07 2009-10-06 Tokyo Electron Limited Method of controlling a fabrication process using an iso-dense bias
US20090116040A1 (en) * 2007-11-07 2009-05-07 Tokyo Electron Limited Method of Deriving an Iso-Dense Bias Using a Hybrid Grating Layer
US8412700B2 (en) 2008-01-11 2013-04-02 International Business Machines Corporation Database query optimization using index carryover to subset an index
US8015191B2 (en) * 2008-03-27 2011-09-06 International Business Machines Corporation Implementing dynamic processor allocation based upon data density
US8140520B2 (en) * 2008-05-15 2012-03-20 International Business Machines Corporation Embedding densities in a data structure
US8275761B2 (en) 2008-05-15 2012-09-25 International Business Machines Corporation Determining a density of a key value referenced in a database query over a range of rows
US7990534B2 (en) * 2008-07-08 2011-08-02 Tokyo Electron Limited System and method for azimuth angle calibration
US7742163B2 (en) * 2008-07-08 2010-06-22 Tokyo Electron Limited Field replaceable units (FRUs) optimized for integrated metrology (IM)
US7940391B2 (en) * 2008-07-08 2011-05-10 Tokyo Electron Limited Pre-aligned metrology system and modules
JP2010044101A (ja) * 2008-08-08 2010-02-25 Toshiba Corp パターン予測方法、プログラム及び装置
US9625937B2 (en) * 2008-08-18 2017-04-18 Kla-Tencor Corporation Computation efficiency by diffraction order truncation
US7948630B2 (en) * 2008-10-08 2011-05-24 Tokyo Electron Limited Auto focus of a workpiece using two or more focus parameters
US8560270B2 (en) * 2008-12-09 2013-10-15 Tokyo Electron Limited Rational approximation and continued-fraction approximation approaches for computation efficiency of diffraction signals
US8107073B2 (en) * 2009-02-12 2012-01-31 Tokyo Electron Limited Diffraction order sorting filter for optical metrology
US7924422B2 (en) * 2009-02-12 2011-04-12 Tokyo Electron Limited Calibration method for optical metrology
US8024676B2 (en) * 2009-02-13 2011-09-20 Tokyo Electron Limited Multi-pitch scatterometry targets
US8183062B2 (en) * 2009-02-24 2012-05-22 Tokyo Electron Limited Creating metal gate structures using Lithography-Etch-Lithography-Etch (LELE) processing sequences
KR101467987B1 (ko) * 2009-03-02 2014-12-02 어플라이드 머티리얼즈 이스라엘 리미티드 유사한 구조 엘리먼트들을 분류하는 cd 계측 시스템 및 방법
JP5764380B2 (ja) * 2010-04-29 2015-08-19 エフ イー アイ カンパニFei Company Sem画像化法
NL2006700A (en) 2010-06-04 2011-12-06 Asml Netherlands Bv Method and apparatus for measuring a structure on a substrate, computer program products for implementing such methods & apparatus.
MY186210A (en) 2010-07-23 2021-06-30 First Solar Inc In-line metrology system and method
US9239522B2 (en) * 2010-10-08 2016-01-19 Kla-Tencor Corporation Method of determining an asymmetric property of a structure
DK3556277T3 (da) 2010-11-30 2021-12-13 Medivators Inc Engangssugeventil til et endoskop
CN102207424B (zh) * 2010-12-29 2013-01-23 深圳超多维光电子有限公司 立体显示装置的参数测量系统和方法
US8173450B1 (en) 2011-02-14 2012-05-08 Tokyo Electron Limited Method of designing an etch stage measurement system
US8173451B1 (en) 2011-02-16 2012-05-08 Tokyo Electron Limited Etch stage measurement system
US8193007B1 (en) 2011-02-17 2012-06-05 Tokyo Electron Limited Etch process control using optical metrology and sensor devices
US8468471B2 (en) 2011-09-23 2013-06-18 Kla-Tencor Corp. Process aware metrology
US10088413B2 (en) * 2011-11-21 2018-10-02 Kla-Tencor Corporation Spectral matching based calibration
US8812277B2 (en) 2011-12-09 2014-08-19 Tokyo Electron Limited Method of enhancing an optical metrology system using ray tracing and flexible ray libraries
US8838422B2 (en) 2011-12-11 2014-09-16 Tokyo Electron Limited Process control using ray tracing-based libraries and machine learning systems
US8570531B2 (en) 2011-12-11 2013-10-29 Tokyo Electron Limited Method of regenerating diffraction signals for optical metrology systems
US20130158957A1 (en) * 2011-12-16 2013-06-20 Lie-Quan Lee Library generation with derivatives in optical metrology
US8464194B1 (en) * 2011-12-16 2013-06-11 International Business Machines Corporation Machine learning approach to correct lithographic hot-spots
US10255385B2 (en) 2012-03-28 2019-04-09 Kla-Tencor Corporation Model optimization approach based on spectral sensitivity
US20130325395A1 (en) * 2012-06-01 2013-12-05 Globalfoundries Singapore Pte. Ltd. Co-optimization of scatterometry mark design and process monitor mark design
US9291554B2 (en) * 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US10101670B2 (en) * 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
US11175589B2 (en) 2013-06-03 2021-11-16 Kla Corporation Automatic wavelength or angle pruning for optical metrology
US10386729B2 (en) 2013-06-03 2019-08-20 Kla-Tencor Corporation Dynamic removal of correlation of highly correlated parameters for optical metrology
US10481088B2 (en) 2013-06-04 2019-11-19 Kla-Tencor Corporation Automatic determination of fourier harmonic order for computation of spectral information for diffraction structures
US10895810B2 (en) 2013-11-15 2021-01-19 Kla Corporation Automatic selection of sample values for optical metrology
US10152654B2 (en) * 2014-02-20 2018-12-11 Kla-Tencor Corporation Signal response metrology for image based overlay measurements
US10352876B2 (en) * 2014-05-09 2019-07-16 KLA—Tencor Corporation Signal response metrology for scatterometry based overlay measurements
CN104021770B (zh) * 2014-06-12 2016-05-11 重庆卓美华视光电有限公司 裸眼3d液晶显示屏模组参数的处理方法
US9262819B1 (en) 2014-09-26 2016-02-16 GlobalFoundries, Inc. System and method for estimating spatial characteristics of integrated circuits
US10152678B2 (en) * 2014-11-19 2018-12-11 Kla-Tencor Corporation System, method and computer program product for combining raw data from multiple metrology tools
US9995689B2 (en) * 2015-05-22 2018-06-12 Nanometrics Incorporated Optical metrology using differential fitting
WO2017117568A1 (en) 2015-12-31 2017-07-06 Kla-Tencor Corporation Accelerated training of a machine learning based model for semiconductor applications
US11580375B2 (en) 2015-12-31 2023-02-14 Kla-Tencor Corp. Accelerated training of a machine learning based model for semiconductor applications
US10360477B2 (en) * 2016-01-11 2019-07-23 Kla-Tencor Corp. Accelerating semiconductor-related computations using learning based models
JP6542738B2 (ja) 2016-10-12 2019-07-10 ファナック株式会社 対象物の出荷時検査情報と稼働時アラーム情報の相関関係を学習する機械学習装置および機械学習方法
US10921369B2 (en) 2017-01-05 2021-02-16 Xcalipr Corporation High precision optical characterization of carrier transport properties in semiconductors
US10733744B2 (en) * 2017-05-11 2020-08-04 Kla-Tencor Corp. Learning based approach for aligning images acquired with different modalities
WO2019035854A1 (en) * 2017-08-16 2019-02-21 Kla-Tencor Corporation MACHINE LEARNING IN RELATION TO METROLOGY MEASUREMENTS
USD947376S1 (en) 2018-03-21 2022-03-29 Medivators Inc. Endoscope suction valve
US20230045798A1 (en) * 2018-04-30 2023-02-16 Seoul National University R&Db Foundation Method for predicting structure of indoor space using radio propagation channel analysis through deep learning
USD952142S1 (en) 2018-05-21 2022-05-17 Medivators Inc. Cleaning adapter
JP6974635B2 (ja) 2018-06-14 2021-12-01 ノヴァ リミテッドNova Ltd 半導体製造計測および処理制御
DE102018209562B3 (de) 2018-06-14 2019-12-12 Carl Zeiss Smt Gmbh Vorrichtungen und Verfahren zur Untersuchung und/oder Bearbeitung eines Elements für die Photolithographie
EP3629088A1 (en) * 2018-09-28 2020-04-01 ASML Netherlands B.V. Providing a trained neural network and determining a characteristic of a physical system
US10657214B2 (en) 2018-10-09 2020-05-19 Applied Materials, Inc. Predictive spatial digital design of experiment for advanced semiconductor process optimization and control
US10930531B2 (en) 2018-10-09 2021-02-23 Applied Materials, Inc. Adaptive control of wafer-to-wafer variability in device performance in advanced semiconductor processes
KR102224466B1 (ko) 2018-11-07 2021-03-05 포항공과대학교 산학협력단 기계학습을 이용한 페로브스카이트의 구조 분석 방법
US11410290B2 (en) 2019-01-02 2022-08-09 Kla Corporation Machine learning for metrology measurements
DE102019103503A1 (de) * 2019-02-12 2020-08-13 Carl Zeiss Smt Gmbh Fehlerreduktion bei Bildern, die mit geladenen Teilchen erzeugt wurden, mithilfe von Machine-Learning-basierten Verfahren
JP7129356B2 (ja) 2019-02-13 2022-09-01 キオクシア株式会社 測定方法
JP7316867B2 (ja) 2019-07-25 2023-07-28 キオクシア株式会社 半導体画像処理装置
KR102230354B1 (ko) * 2019-11-18 2021-03-22 고려대학교 산학협력단 기계 학습 모델을 이용한 반도체 소자 테스트 장치 및 방법
CN111043988B (zh) * 2019-12-10 2021-04-23 东南大学 一种基于图形学和深度学习的单张条纹投影测量方法
US11092901B2 (en) * 2019-12-21 2021-08-17 Qoniac Gmbh Wafer exposure method using wafer models and wafer fabrication assembly
JP6832463B1 (ja) * 2020-04-06 2021-02-24 東京応化工業株式会社 情報処理システム、情報処理装置、情報処理方法及びプログラム
US11289387B2 (en) 2020-07-31 2022-03-29 Applied Materials, Inc. Methods and apparatus for backside via reveal processing
KR102468352B1 (ko) * 2021-02-26 2022-11-18 김이경 파동분포를 제어하기 위한 구조를 설계하는 방법 및 그 장치
US20220375051A1 (en) * 2021-05-05 2022-11-24 Kla Corporation Deep generative model-based alignment for semiconductor applications
US20220388112A1 (en) * 2021-06-03 2022-12-08 Applied Materials, Inc. Using light coupling properties for machine-learning-based film detection
US20240062097A1 (en) * 2022-08-22 2024-02-22 Applied Materials, Inc. Equipment parameter management at a manufacturing system using machine learning

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5479573A (en) * 1992-11-24 1995-12-26 Pavilion Technologies, Inc. Predictive network with learned preprocessing parameters
US5638178A (en) * 1995-09-01 1997-06-10 Phase Metrics Imaging polarimeter detector for measurement of small spacings
JP2000197050A (ja) * 1998-12-25 2000-07-14 Canon Inc 画像処理装置及び方法
US6192103B1 (en) * 1999-06-03 2001-02-20 Bede Scientific, Inc. Fitting of X-ray scattering data using evolutionary algorithms
IL130874A (en) * 1999-07-09 2002-12-01 Nova Measuring Instr Ltd System and method for measuring pattern structures
JP2001185595A (ja) * 1999-12-27 2001-07-06 Fujitsu Ltd 特性値の制御方法
US6943900B2 (en) * 2000-09-15 2005-09-13 Timbre Technologies, Inc. Generation of a library of periodic grating diffraction signals
US6650422B2 (en) * 2001-03-26 2003-11-18 Advanced Micro Devices, Inc. Scatterometry techniques to ascertain asymmetry profile of features and generate a feedback or feedforward process control data associated therewith
US6558965B1 (en) * 2001-07-11 2003-05-06 Advanced Micro Devices, Inc. Measuring BARC thickness using scatterometry
US6785638B2 (en) * 2001-08-06 2004-08-31 Timbre Technologies, Inc. Method and system of dynamic learning through a regression-based library generation process
US7031894B2 (en) * 2002-01-16 2006-04-18 Timbre Technologies, Inc. Generating a library of simulated-diffraction signals and hypothetical profiles of periodic gratings

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101299150B (zh) * 2007-05-03 2011-04-06 台湾积体电路制造股份有限公司 监控和预测晶片平整度的方法及半导体晶片的制造方法
CN101359611B (zh) * 2007-07-30 2011-11-09 东京毅力科创株式会社 对光学计量系统的选定变量进行优化
CN101359612B (zh) * 2007-07-30 2012-07-04 东京毅力科创株式会社 晶片图案结构的检查装置及其计量数据管理方法
CN103403724A (zh) * 2011-03-04 2013-11-20 东京毅力科创株式会社 用于基于库的临界尺寸(cd)计量的精确和快速的神经网络训练
CN103403724B (zh) * 2011-03-04 2016-11-09 科磊股份有限公司 用于基于库的临界尺寸cd计量的精确和快速的神经网络训练
US9607265B2 (en) 2011-03-04 2017-03-28 Kla-Tencor Corporation Accurate and fast neural network training for library-based critical dimension (CD) metrology
CN106663646A (zh) * 2014-07-07 2017-05-10 科磊股份有限公司 基于代理结构的测量的信号响应计量
CN107408519B (zh) * 2015-03-24 2021-06-08 科磊股份有限公司 基于模型的单个参数测量的系统和方法
CN107408519A (zh) * 2015-03-24 2017-11-28 科磊股份有限公司 基于模型的单个参数测量
CN108463876A (zh) * 2016-01-11 2018-08-28 科磊股份有限公司 为样品产生模拟输出
US11733686B2 (en) 2018-03-13 2023-08-22 Applied Materials, Inc. Machine learning systems for monitoring of semiconductor processing
TWI817992B (zh) * 2018-03-13 2023-10-11 美商應用材料股份有限公司 用於半導體處理監視的機器學習系統
CN111971551A (zh) * 2018-04-10 2020-11-20 朗姆研究公司 机器学习中的光学计量以表征特征
US11921433B2 (en) 2018-04-10 2024-03-05 Lam Research Corporation Optical metrology in machine learning to characterize features
CN112805635A (zh) * 2018-10-09 2021-05-14 应用材料公司 先进半导体工艺优化和制造期间的自适应控制
CN114503123A (zh) * 2019-10-14 2022-05-13 科磊股份有限公司 用于计量的信号域适应
CN114503123B (zh) * 2019-10-14 2023-07-14 科磊股份有限公司 用于计量的信号域适应
WO2021237949A1 (zh) * 2020-05-29 2021-12-02 复旦大学 基于动量空间色散关系的关键参数的量测方法和系统

Also Published As

Publication number Publication date
KR101059427B1 (ko) 2011-08-25
US7831528B2 (en) 2010-11-09
JP4589315B2 (ja) 2010-12-01
CN100418083C (zh) 2008-09-10
WO2005003911A2 (en) 2005-01-13
US20040267397A1 (en) 2004-12-30
KR20060033740A (ko) 2006-04-19
DE112004001001T5 (de) 2006-09-14
JP2007528985A (ja) 2007-10-18
WO2005003911A3 (en) 2005-06-30
US20090198635A1 (en) 2009-08-06

Similar Documents

Publication Publication Date Title
CN1799045A (zh) 使用机器学习系统的对在半导体晶片上形成的结构的光学计量
KR101144402B1 (ko) 광학적 계측에 이용되는 가상 프로파일 선택 방법 및 선택 시스템과, 컴퓨터 판독 가능 기억 매체
US7453584B2 (en) Examining a structure formed on a semiconductor wafer using machine learning systems
CN102918464B (zh) 衬底上结构的测量
US7525673B2 (en) Optimizing selected variables of an optical metrology system
US6768983B1 (en) System and method for real-time library generation of grating profiles
US7526354B2 (en) Managing and using metrology data for process and equipment control
KR102137848B1 (ko) 스펙트럼 감도 및 프로세스 변동에 기초한 측정 레시피 최적화
US10215559B2 (en) Metrology of multiple patterning processes
CN1308651C (zh) 对单一特征的光学度量
US7495781B2 (en) Optimizing selected variables of an optical metrology model
KR20080092881A (ko) 서포트 벡터 머신을 이용한 제조 공구의 제어 방법
US7483809B2 (en) Optical metrology using support vector machine with profile parameter inputs
KR101368930B1 (ko) 시뮬레이트 회절 신호 입력을 가진 서포트 벡터 머신을 이용하는 광학 계측 방법
WO2012134867A2 (en) Design-based inspection using repeating structures
US20160325504A1 (en) Metrology Method and Apparatus, Computer Program and Lithographic System
CN1659574A (zh) 用于集成电路的光学计量的波长选择
KR101357326B1 (ko) 패턴화 구조 검사 시스템
JP2023540747A (ja) 三次元ウェハ構造向けビニング増強欠陥検出方法
KR101461667B1 (ko) 패턴화 구조 검사 장치 및 계측 데이터 관리 방법
KR101179300B1 (ko) 머신 학습 시스템을 이용하여 반도체 웨이퍼상에 형성된 구조물을 조사하는 방법 및 시스템, 머신 학습 시스템의 트레이닝 방법 및 컴퓨터 판독가능한 기억 매체
US20220357286A1 (en) Effective cell approximation model for logic structures
KR20240043726A (ko) 하이 스루풋 측정 신호에 기초하여 웨이퍼에 걸친 변동을 특성화하기 위해 웨이퍼 위치를 선택하는 방법 및 시스템
KR20240003440A (ko) 훈련된 파라미터 조건부 측정 모델에 기초한 고해상도 프로파일 측정

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20080910

Termination date: 20130625