CN1863939A - 微特征工件处理装置和用于在微特征工件上批量沉积材料的方法 - Google Patents

微特征工件处理装置和用于在微特征工件上批量沉积材料的方法 Download PDF

Info

Publication number
CN1863939A
CN1863939A CNA2004800289815A CN200480028981A CN1863939A CN 1863939 A CN1863939 A CN 1863939A CN A2004800289815 A CNA2004800289815 A CN A2004800289815A CN 200480028981 A CN200480028981 A CN 200480028981A CN 1863939 A CN1863939 A CN 1863939A
Authority
CN
China
Prior art keywords
microfeature workpieces
gas
delivery conduit
retainer
longitudinal extension
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2004800289815A
Other languages
English (en)
Other versions
CN100537843C (zh
Inventor
玲仪·A·郑
忠·T·段
莱尔·D·博纳
郱迩萱
罗纳德·A·魏玛
大卫·J·库比斯塔
凯文·L·比曼
赛姆·巴斯塞里
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Publication of CN1863939A publication Critical patent/CN1863939A/zh
Application granted granted Critical
Publication of CN100537843C publication Critical patent/CN100537843C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S206/00Special receptacle or package
    • Y10S206/832Semiconductor wafer boat

Abstract

本发明提供了例如通过使用原子层沉积将材料沉积在微电子半导体上用于处理微特征工件的设备和方法。这些设备的一些包括微特征工件保持器,所述微特征工件保持器包括气体分配器。一个示范性实施方式提供了适于保持多个微特征工件的微特征工件保持器。所述工件保持器包括多个工件支撑件和气体分配器。所述工件保持器适于支撑呈以定距离间隔的关系的多个微特征工件,以在每个微特征工件的表面附近限定处理空间。所述气体分配器包括入口和多个出口,其中每个出口都设置为指引工艺气体流到处理空间的其中之一中。

Description

微特征工件处理装置和用于在微特征工件上批量沉积材料的方法
技术领域
本发明涉及用于处理微特征(microfeature)工件(例如,半导体晶片)的装置和方法。本发明的一些方面在通过原子层沉积或化学气相沉积进行微特征工件上的材料的批量沉积方面具有特别的实用性。
背景技术
膜沉积技术已经广泛应用于微特征的制造,以在紧密符合表面构形(surface topography)的工件上形成涂层。在微电子部件的环境中,晶片上的器件中的单个部件的尺寸被持续缩小,而器件上的层数却在不断增加。结果,部件的密度和凹陷的纵横比(例如,开口的深度与大小的比率)不断增加。这种晶片的尺寸同样增加以提供更多的空间(real estate),以在单个的晶片上形成更多的裸片(也就是芯片)。很多制造者目前正在从200mm工件过渡到300mm工件,且在未来将使用更大的工件。因此,薄膜沉积技术致力于制造非常均匀的共形层(conformal layer),所述共形层覆盖具有非常小的开口的深凹陷中的侧壁、底、和角。
一种广泛使用的薄膜沉积技术是化学气相沉积(chemical vapordeposition,缩写为CVD)。在CVD系统中,一个或多个能够反应以形成固态薄膜的前体被在气态或汽态混合,接着,前体混合物被呈现到工件的表面。工件的表面催化前体之间的反应,以在工件表面处形成固态薄膜。用来催化工件的表面处的反应的常见方式是加热工件到可以导致反应的温度。
尽管CVD技术在很多应用中是有用的,但是,它们仍然有很多缺点。例如,如果前体不是高反应性的,则需要较高的工件温度来实现合理的沉积率。这种高温度通常是不理想的,原因在于加热工件对于已经形成在工件上的结构和其它材料具有损害性。举例来说,嵌入或掺杂的材料可能在较高温度下移到硅工件中。另一方面,如果更高反应性的前体可被使用,以使得工件温度可以更低,接着,在抵达工件的预期表面之前,反应可在气相状态下过早发生。这是不被期望的,原因在于膜质量和均匀性可能受到损害,还有一种原因在于其限制了可被使用的前体的类型。
原子层沉积(ALD)是另一种薄膜沉积技术。图1A和1B示意性地示出了ALD工艺的基本操作。参考图1A,一层气体分子A覆盖工件W的表面。通过将工件W暴露于含有A分子的气体前体(precursor gas),接着用吹扫气体吹扫(purge)所述室,去除多余的A分子,形成A分子层。由于表面处的A分子在吹扫循环期间通过中等温度的物理吸附力或在较高温度的化学吸附力保持在适当位置,所以所述工艺可在工件W的表面上形成单层A分子。A分子层接着暴露于包含B分子的另一气体前体。A分子与B分子反应,在工件W上形成由固体材料C构成的非常薄的层。接着再次用吹扫气体吹扫所述室,以去除多余的B分子。
图2示出用于使用ALD技术形成薄固体层的一个循环阶段。典型循环包括:(a)暴露工件于第一前体A;(b)吹扫多余的A分子;(c)暴露工件于第二前体B;接着(d)吹扫多余的B分子。所述吹扫工艺典型地包括导入大体与任一前体不反应的吹扫气体,并且在泵吸(pumping)步骤中从反应室抽完吹扫气体和多余前体。在实际处理中,重复几个循环,以在工件上构造具有理想厚度的薄膜。例如,每个循环可以形成厚度约为0.5-1.0的层,因此花费60-120个循环来形成厚度约为60的固体层。
ALD处理的一个缺点是,与CVD技术相比,它具有较低的处理量(throughput)。例如,ALD处理通常花费几秒钟来执行每个A-吹扫-B吹扫循环。这导致几分钟的总处理时间,以形成仅60的单薄层。与ALD处理相比,CVD技术仅需要约一分钟来形成60的厚层。在单晶片处理室中,ALD工艺可以比相应的单晶片CVD工艺长500%-2000%。现有单晶片CVD技术的低处理量限制了该项技术在目前的应用,因为ALD可能是总制造工艺中的瓶颈。
提高ALD处理的处理量的一个有希望的解决方法是在批处理中同时处理多个晶片(例如,20-250个)。图3示意性地示出传统的批ALD反应器10,所述批ALD反应器具有连接至气体源30和真空40的处理外壳20。处理外壳20通常包括外壁22和环形衬套(annular liner)24。平台60经由密封件62密封外壳20的外壁或某一部分,以限定处理室25。气体从气体源30通过喷气管32导入处理室25,其中所述喷气管32将气体导入处理室25的主室28内。在真空40的影响下,经由喷气管32导入的气体将流经主室28,向外进入环形排气件26,以利用真空40抽出。多个工件W(例如,半导体晶片)可被保持在工件保持器70中的处理外壳中。在操作中,加热器50加热工件W到想要的温度,且气体源30输送如上面结合图2描述的第一前体A、吹扫气体、和第二前体B。
然而,当同时沉积材料在例如图3中所示的ALD反应器10中的多个工件上时,难以越过(across)每个工件W的表面均匀沉积前体A和B。从工件W之间的空间去除多余前体也可是有问题的。在例如图3中所示的ALD反应器中,用于去除没有被化学吸附在工件的其中之一的表面上的残余前体的主要机制是扩散(diffusion)。这不仅是显著减少反应器10的处理量的较慢工艺,而是不可能充分去除残余前体。这样,传统的批ALD反应器可具有低处理量,并且形成不均匀的膜。
附图说明
图1A和1B是在根据现有技术的ALD处理中的各阶段的示意性截面图。
图2是示出用于使用根据现有技术的ALD技术形成层的循环的曲线图。
图3是根据现有技术的包括反应器的系统的示意性表示,所述系统用于沉积材料到微特征工件上。
图4是根据本发明的一个实施例的微特征工件的等距视图。
图5是图4的微特征工件保持器的侧视图。
图6是沿图5的线6-6的图4和5的微特征工件保持器的示意性截面图。
图7是沿图6的线7-7的图4-6的微特征工件保持器的示意性截面图。
图8是沿图6的线8-8的图4-7的微特征工件保持器的示意性截面图。
图9是根据本发明的另一实施例的微特征工件保持器的示意性侧视图。
图10是根据本发明的又一实施例的微特征工件保持器的示意性等距视图。
图11是图10的微特征工件保持器的示意性侧视图。
图12是沿图11的线12-12的图10和11的微特征工件保持器的示意性截面图。
图13是沿图12的线13-13的图10-12的微特征工件保持器的部分的示意性截面图。
图14是沿图12的线14-14的图10-13的微特征工件保持器的部分的示意性截面图。
图15是根据本发明的另一实施例的微特征工件处理系统的示意性图示。
图16是根据本发明的另一实施例的微特征工件处理系统的示意性图示。
图17是根据本发明的再一实施例的微特征工件处理系统的示意性图示。
具体实施方式
A.概述
本发明的各个实施例提供了微特征工件保持器、包括处理室的系统、和用于沉积材料到微特征工件上的方法。下面参考用于沉积材料到微特征工件上的反应器描述本发明的许多具体细节。术语“微特征工件”通篇用于包括在其上和/或其中制造微电子器件、微机械器件、数据存储元件、读/写部件、和其它装置的基板。例如微特征工件可以是例如硅或砷化镓晶片、玻璃基板、绝缘基板、和许多其它类型的材料。微特征工件通常具有尺寸为0.05微米或更大的亚微米特性。并且,术语“气体”通篇用于包括没有固定形状且体积与可用空间一致的任何形式的物质,具体而言包括蒸气(即,温度低于临界温度从而它可通过在恒定温度的压缩液化或固化的气体)。根据本发明的几个实施例在图4-17和一下文本中作了阐述,以提供对本发明的具体实施例的透彻理解。然而,本领域的技术人员将理解,本发明可具有另外的实施例,或没有图4-17中所示的实施例的几个细节,也可实施本发明。
本发明的某些实施例提供了适于保持多个微特征工件的微特征工件保持器(例如,用于诸如ALD等化学处理)。这些工件保持器可包括包含一个或多个气体输送导管的气体分配器。气体分配器可具有入口和多个出口,其中所述出口可被设置为指引工艺气体流到工件之间的空间内。举例来说这可便于反应前体在微特征工件表面上的更均匀的分配。这些出口的分配也可便于工件之间的空间的快速而有效的吹扫。
本发明的其它实施例提供了可包括限定处理室的外壳、设置在处理室内的可去除微特征工件保持器、和工艺气体供应导管的微特征工件处理系统。所述工件保持器可类似于上述工件保持器,且包括气体分配器,所述气体分配器具有适于从微特征工件之间的工艺气体源指引气体流的出口。
本发明的其它实施例提供了将材料沉积在微特征工件上的方法。尽管下面描述了多个方法,但是具有结合ALD使用的特定效用的一个方法包括将微特征工件保持器定位在处理室内,同时微特征工件保持器支撑多个工件,以在每对相邻工件之间限定处理空间。第一气体前体可被输送给处理室,以将大量第一气体前体沉积在每个微特征工件的表面上。吹扫气体可被输送给微特征工件保持器。微特征工件保持器可承载气体分配器,所述气体分配器横向输送第一吹扫气体流到一对工件之间的空间内,横向输送第二吹扫气体流到另一对工件之间的处理空间内。所述方法可进一步包括输送第二气体前体给处理室;所述第二气体前体与所述大量第一气体前体反应,以在工件表面上形成材料层。
为了便于理解,将以下论述分成三个重点部分。第一部分论述根据本发明的被选择的实施例的微特征工件保持器。第二部分论述在本发明的其它实施例中的微特征工件处理系统的各方面。第三部分论述根据本发明的其它方面的方法概要(outlines method)。
B.微特征工件保持器
图4-8示意性地示出了根据本发明的一个实施例的微特征工件保持器100。所述微特征工件保持器100通常包括基部、多个柱120、和帽150。图4-8中所示的具体实施例利用3个柱,即,柱120a、120b、和120c。基部110和帽150的每个的形状都通常为半圆形,且柱120a-c彼此隔开约90°,从而两个外柱120a和120c通常完全彼此相对(diametrically opposed)。应认识到,这仅是可结合形状为圆形的微特征工件使用的一个可能的实施例。在其它实施例中,可使用更多或更少的柱120。另外,基部110和/或帽150可采用固体板或盘形式,或具有任何其它理想形状。在其它实施例中,仅利用基部110或帽150的其中之一。例如,帽150可被省略,且基部110可提供对柱120的必要支持。
微特征工件保持器100中的每个柱120的截面都通常为圆形。在其它实施例中,柱可具有其它形状。例如,柱120可通常为楔形,例如在名称为“Silicon Fixtures Useful for High Temperature Wafer Processing”的PCT国际公开No.WO 02/095807中所建议的,并且其内容结合于此作为参考。
每个柱120都包括沿其长度纵向隔开的多个工件。在所示出的实施例中,这些工件支撑件包括延伸进柱120的本体的槽122。在其它实施例中,工件保持器可包括向内延伸的指状件、环形件、夹、或本领域中已知的其它工件支撑结构,例如用在半导体晶片操纵(handling)和处理设备中使用的支撑件。图4和5示出具有有限个槽122的柱。根据使用工件保持器100的应用,柱120可包括更少或更多的槽。
可根据需要修改槽122的尺寸和形状。在一个实施例中,每个槽122都适于接收微特征工件之一的边缘部分(如图5中所建议的)。单个槽122可能深度不足以接收微特征工件W的充分多的部分,以支撑工件W。在所示出的实施例中,柱120a-c的每个上的槽122都关于彼此定位,以协作地支撑工件W。如图5中所示,每个槽122都通常与另外两个柱120的每个上的槽122的相应的一个水平对准。这允许工件W被支撑在三个分离的周缘位置处,以增强对每个工件W的支撑。如果这些槽122沿每个柱120的长度隔开相同距离,则槽122可支撑呈以定距离间隔的大体平行关系的多个微特征工件W。工件W的以定距离间隔的关系将在工件W的每个之间限定处理空间S。
如图5中示意性示出的,微特征工件保持器100也包括气体分配器130。所述气体分配器130包括至少一个气体输送导管134,所述气体分配器130适于关于工件W指引工艺气体流。在一个实施例中,采用单个气体输送导管134。在图5中示意性示出的具体实施例中,气体分配器130包括连接多个气体输送导管134a-c的歧管132。歧管132可包括形成在弓形基部110中的弓形流体通道,其中所述弓形基部110在基部110中的气体入口140和气体输送导管134a-c的每个之间提供流体连通。在所示出的实施例中,分离的气体输送导管134与每个柱120关联。这里,第一气体输送导管134a由第一柱120a承载,第二气体输送导管134b由第二柱120b承载,且第三气体输送导管134c由第三柱120c承载。在一些实施例中,柱120的一个或多个可以不包括气体输送导管134。在其它实施例中,多于一个的气体输送导管134可由每个柱120承载。
如图6-8中最佳示出的,气体输送导管134b可包括形成在第二柱120b中的内腔。(尽管图6-8示出第二柱120b,但是其它柱120a和120c的结构可以大体相同。这里,以下讨论一般参考柱120和气体输送导管134。)气体输送导管134包括多个横向通道136,每个横向通道都指引来自气体输送导管134的流体给多个出口138的其中之一。这些出口138设置在两个相邻的槽122之间。包括每个横向通道136的气体输送导管134截面大体为圆形,且出口138限定大体为圆形的开口。然而,也可改变微特征工件保持器100中的气体输送导管134和出口138的尺寸和形状。在其它实施例中,例如,出口138可包括横向尺寸比纵向尺寸长的椭圆形或槽,或包括定向(directional)喷嘴(未示出)。
当微特征工件W被装载在微特征工件保持器100中时,它们将限定一系列处理空间S。至少一个出口138理想地与这些处理空间S的每个关联。在所示出的实施例中,一个出口138定位在柱120a-c的每个上的每对相邻的槽122之间。结果,三个出口138与每个处理空间关联,同时一个出口与支撑工件W的柱120a-c的每个关联。指引横向气体流到处理空间S内可进一步促进处理气体流从气体分配器130进入和通过处理空间S。
再次参看图4,可向着微特征工件保持器100的中心轴a(图4中)向内指引每个出口138。从而,当工件W定位在保持器100中时,每个出口138将被设置为向着工件W的一个的中心向内指引处理气体流。这被期望进一步提高材料沉积的均匀度和/或减少吹扫所述系统所需要的时间。
微特征工件保持器100可由从微特征工件W和预期的使用条件的观点来看适合的任何材料形成,其中微特征工件保持器与微特征工件W一起使用。如果微特征工件W包括例如半导体晶片,则微特征工件保持器100尤其可由玻璃、石英玻璃(例如,熔凝石英)、或多晶硅(即,多晶体硅)形成。对于可能对污染较不敏感的其它类型的应用,微特征工件保持器100可由金属、陶瓷、或适当硬的和耐用的聚合材料形成。
图9示意性地示出根据本发明的另一实施例的微特征工件保持器102。所述微特征工件保持器102在许多方面类似于图4-8中所示的微特征工件保持器100,并且在图4-9中使用相同参考标号表示相同元件。
微特征工件保持器100和102之间的一个区别涉及气体分配器的设计。图5中所示的气体分配器130使用通过公共歧管132与气体输送导管134a-c的每个连通的单个气体入口140。图9的微特征工件保持器102不包括歧管132。而是,图9中的气体分配器131具有用于气体输送导管134的每个的单独的气体入口140。因此,一个入口140a与气体输送导管134a的第一个流体连通,第二气体入口140b与第二气体输送导管134b流体连通,第三气体入口140c与第三气体输送导管134c流体连通。在此设计中,气体输送导管134的每个都可适于输送独立于通过其它导管134的每个输送的工艺气体流的工艺气体流。如下面所指出的,这可允许不同的工艺气体通过导管134a-c的每个输送。在上面结合图1和2概述的ALD工艺的上下文中,例如,气体输送导管的一个(例如,导管134a)可专用于输送第一气体前体A,气体输送导管的第二个(例如,导管134b)可用于输送第二气体前体B,且第三气体输送导管134c可用于输送吹扫气体。
图10-14示意性地示出根据本发明的微特征工件保持器200。所述微特征工件保持器200通常包括基部210、帽250、和在基部210和帽250之间延伸的至少一个柱220。所示出的实施例利用以类似于上面结合图4的微特征工件保持器100描述的方式大体等角隔开的三个柱220a-220c。柱220a-220c的每个都可包括向着微特征工件保持器200的中心轴A向内定位的一系列纵向隔开的槽222。这些槽222的每个都可适于接收微特征工件W(为了容易理解,在图10-14中省略)的边缘部分。在特定柱220中的每个槽222都可关于其它柱220的每个中的相应槽222定位,从而三个相应槽222可协作地支撑微特征工件W。
图4-8和10-14的微特征工件保持器100和200之间的一个区别涉及气体分配器与工件保持器的柱的关系。在图4-8的工件保持器100中,气体分配器130包括几个气体输送导管134,每个气体输送导管都包括柱120的一个的内腔。相反,图10-14的微特征工件保持器200具有大体上为固体的柱220。如图12和14中所建议的,柱220可具有固体内部,同时一系列以定距离间隔的槽222形成在柱的向内定向的表面中。
参看图11,微特征工件保持器200也包括整体用参考标号230表示的气体分配器,所述气体分配器包括一系列气体输送导管234。具体而言,第一气体输送导管234a关于第一柱220a承载,第二气体输送导管234b关于第二柱220b承载,且第三气体输送导管234c关于第三柱220c承载。气体输送导管234的每个的位置都以任何想要的形式关于相邻柱220固定。在一个实施例中,气体输送导管234可整个被基部210和/或帽250支撑。在图10和11中所示的具体实施例中,导管234的每个的基部部分(base portion)242被接收在基部210中的开口中并经过所述开口,但是气体输送导管234的相对端与帽250隔开。在另一实施例中,导管234的每个都连接至帽250。如图11和12中所示,所示出的实施例中的气体输送导管234的每个都定位在紧紧靠近柱220的其中之一。在所述布置中,导管234的每个都可连接至相邻柱220或由相邻柱220以其它方式物理支撑。在其它实施例(未示出)中,导管234可仅连接至相邻柱220,而不直接连接至基部210或帽250。在另外的一些实施例中,气体输送导管234不需要靠近柱220的任一定位。例如,一个气体输送导管234可定位在第一和第二柱220a和220b之间,且第二气体输送导管234可定位在第二和第三柱220b和220c之间。
气体输送导管234提供气体入口240和多个气体出口238之间的流体通道。如图13中所示,气体输送导管234(在此实例中,气体输送导管234b)可包括纵向延伸的沟道235和向着微特征工件保持器200的轴(图10中的轴A)向内横向延伸的一系列横向通道236。这些通道236的出口238可沿相应导管234的长度横向(laterally)定位,从而每个出口238可在一对相邻槽222中间指引工艺气体流。当微特征工件W(图10和11中未示出)定位在微特征工件保持器200中时,这些出口238因而指引工艺气体流到限定在两个相邻的微特征工件W之间的处理空间(图5中的S)中。
图10-14的气体分配器230包括用于气体输送导管234的每个的单独的气体入口240。在可选实施例中,两个或更多个气体输送导管234可经由基部210中的歧管(未示出,类似于上述微特征工件保持器100中的歧管132)与公共入口240连通。
C.微特征工件处理系统
微特征工件保持器100、102、和200可用于多种处理。图15-17示意性地示出利用微特征工件保持器同时处理一批微特征工件的选择的微特征工件处理系统。在选择的实施例中,在这些处理系统中使用的微特征工件保持器利用上面微特征工件保持器100、102、和/或200的特性。
图15示意性地示出根据本发明的一个实施例的微特征工件处理系统300。所述系统300包括适于接收保持器中的多个微特征工件W的反应器310。在图15中所示的具体实施例中,工件W承载在大体如上面结合图4-8描述的工件保持器100中。
反应器310通常包括由壁322和保持器支撑平台326限定的外壳320。壁322可密封地啮合在图15中作为O形环密封件324示意性示出的平台326。这将限定处理室325,其中微特征工件保持器100可接收在所述处理室325内。反应器310也可包括加热器330和通过真空管线342与处理室325连通的真空340。加热器330可是具有任何传统设计的加热器,例如感应加热器或类似物。
反应器310的气体供应系统350通常包括多个单独的气体源352,同时至少一个气体源352为用在系统300中的处理工件W中的每种工艺气体而设置。所示出的实施例包括用于输送第一气体(GAS1)的第一气体源352a、用于输送第二气体(GAS2)的第二气体源352b、和用于输送第三气体(GAS3)的第三气体源352c。在例如上面结合图1和2讨论的ALD的上下文中,第一气体源352a可提供第一前体A的供应,第二气体源352b可提供第二前体B的供应,第三气体源352c可提供吹扫气体的供应。单独的气体源352a-c的每个都分别设置有单独的气体供应管线356a-c。这些单独的供应管线356a-c连接至主要气体供应管线356。在所示出的实施例中,单独的供应管线356a-c的每个都分别设置有可有选择地被控制的二次阀354a-c。这些二次阀可用于控制从单独的气体源352进入主气体供应管线356的气体的流速,因此调节供给气体供应管线356的气体的成分和流速。
保持器100的气体分配器130可以多种方式连接至气体供应系统350。在图15的示意性图示中,平台326中的气体装置(fitting)360可松开地连接至保持器100的气体入口140。所述装置360通过供应管线356连接至气体源350其余部分。
通过供应管线356到保持器100的气体分配器130的气体流可至少部分由处于控制器370的控制之下的主阀362控制。控制器370可采用多种形式中的任何一种。在一个实施例中,控制器30包括计算机,所述计算机具有编程为控制系统300的操作以沉积材料在工件W上的可编程处理器。控制器370也可以可操作地连接至二次阀354a-c,以控制经由供应管线356输送给主阀362的气体成分。控制器370也可连接至真空340(如所示出的)或处理系统300的任何其它部件(例如加热器330)。
图16示意性地示出根据本发明的可选实施例的微特征工件处理系统302。所述处理系统302在许多方面类似于图15的处理系统300,且在图15和16中使用相同参考标号表示相同元件。处理系统300使用图4-8的微特征工件保持器100。图16的处理系统302中的工件W而是保持在图9的微特征工件保持器102中。如上所指出的,所述保持器102的气体分配器131具有一系列独立的气体输送导管134,每个气体输送导管都具有单独的气体入口140。
图16的气体源351在很多方面与图15的气体源350类似。在图15中,每个单独气体源管线356a-c均加入主管线356,以传送到单独气体装置360。然而,在图16中的气体源351中,每个单独气体源管线352a-c均分别独立地连接至单独气体装置360a-c。特别地,第一气体装置360a可松开地将第一气体源管线356a连接至第一进口140a,第二气体装置360b可松开地将第二气体源管线356a连接至第二气体进口140b,且第三气体装置360c可松开地将第三气体源管线356c连接至第三气体进口140c。来自每个气体源352a-c的气流可通过共用的控制器370由单独的阀354a-c独立控制。通过独立的、专用的气体传输导管134传送每种处理气体可消除在传输一种前体后在传输另一种前体之前对气体传输管道进行清洗的需求。这在CVD应用中是有利的,因为这样可以使得气体前体被分别导入处理室325,更高效地限制反应物沉积到工件W的附近。
图17示出了根据本发明的再一实施例的微特征工件处理系统400。这种处理系统400通常包括反应器410,反应器具有处理壳体420,承载有一个或多个工件W的工件保持器(例如图4-8的工件保持器)可被容纳在其中。处理壳体420通常通过外壁422和适于承载工件保持器100的平台426限定。这种处理壳体420还包括内衬424,用于将处理室425分成主室427和通过真空管道442连接至真空440的大体环状排气装置428。反应器还可包括加热器430。
处理系统400可包括气体供应系统450和第二气体供应系统460。第一气体供应系统450包括多个单独的气体源452a-c,每个单独的气体源可包括单独的处理气体。因此,第一气体源452a可包括气体前体A(GAS1),且第二气体源452b可提供第二气体前体B(GAS2)。可选地,第一气体供应系统450还可包括在第三气体源中的吹扫气体源(GAS3)。每种这样的单独气体源452a-c可连接至共用气体源管线456。单个的阀454a、454b、或者454c可通过控制器470操作,以分别控制来自单独的气体源452a、452b、以及452c的气流。
第一气体供应系统450的气体源管线456可与一个或多个气源喷嘴458流体连通。气体源喷嘴458可被采用以将处理气体传送到处理室425的处理空间S的外部的主处理室427。这种气体可基本纵向流过主室427,接着通过环状出口428流出处理室425。这种气体供应系统450在某些方面与图3示出的气源30及气体喷嘴32类似。
微特征工件处理系统400还包括第二气体供应系统460。这种气体供应系统460可被采用以将一种或多种处理气体通过工件保持器100的气体分配器130传送到处理室425。气体将在与来自喷嘴458的纵向导出的气流垂直的方向退出气体分配器130的出口138。在图17示出的特定实施例中,第二气体供应系统460包括包含吹扫气体(GAS3)的单个单独气体源462。该单个气体源462在平台426中通过可操作地连接至控制器470的阀464连接至气体装置468。这种气体装置468适于可松开地连接至气体分配器130的入口140。尽管图16示出的第二气体供应系统460仅仅提供了一种吹扫气体的供应,但是这种气体供应系统可包括两种或更多种单个气体源462,以提供多种不同的处理气体混合物到气体分配器130。
D.在微特征工件上沉积材料的方法
如上所述,本发明的其它实施例提供了处理微特征工件的方法。在以下的讨论中,将参考图15-17示出的特定微特征工件处理系统300、302、和400。尽管,应当理解对这些特定系统和此处使用的工件保持器的参考仅出于说明目的,下述的方法并不限于附图示出的或上面详细讨论的特定工件保持器或处理系统。另外,随后的讨论将首先集中到ALD并教导可能的CVD引用。应当理解,下面描述的工艺并不限于这些特定的处理工艺。当然,下面描述的方法的一些方面在可采用不同于材料沉积(例如,选择蚀刻)的处理中的应用中具有实用性。
根据一个实施例,处理微特征的工件的方法可包括将微特征工件保持器100定位在处理室325(使用处理系统300作为实例)中。工件保持器100可以定距离间隔(spaced apart)的关系支撑多个工件W,以在每对相邻的工件W限定处理空间S。可通过将保持器100放置到平台326中来将微特征工件保持器100定位在处理室中。在一个实施例中,气体装置360同时可被连接至气体分配器130的气体入口140。一旦保持器100处于平台326上,平台326可朝着壁322移动,直到密封件324大体密封壳体320,以限定处理室325。
这种实施例可包括至少传输第一处理气体和第二处理气体到处理室325。在一个实施例中,第一处理气体(例如,第一前体A)可通过打开第一调节阀354a和主阀362传输到处理室325。这将允许第一气体流进气体分配器130,并经由出口向外流进处理室325。如上所述,这些出口138将处理气体流横向传输进工件W之间的处理空间S。
在传输第一处理气体(例如,用于CVD)的同时或在不同的时间,将第二处理气体传输到处理室325。如果图15的处理系统300被用来通过ALD沉积材料,例如,可通过关闭阀354a终止来自第一源352a的第一气体前体A流。通过打开相关的调节阀154c,可将来自第三气体源352c的吹扫气体流传送到处理空间S中。调节阀154c可被关闭,接着可通过喷嘴138引导来自第二气体源352b的第二气体前体B流。通过对阀354和362以及真空340的适当控制,控制器370可被用来通过上面结合图1和2讨论的ALD工艺来沉积反应产品。
经由出口138将处理气体横向传输进工件W之间的处理空间S可以平滑地迅速地改变呈现在处理空间S中呈现的气体。在图3的传统系统中,多种用于在工件W之间的空间中气体交换的主要机制之一是扩散。根据本发明的实施例传输气体横向流可以传输更均匀的将在工件W的表面上吸附或者反应的前体应用。通过出口138传送吹扫气体还提供了处理空间S中更有效的气体清扫,非常快地帮助清洁任何多余气体前体的处理空间S。这将实质上加速图2示出的处理的吹扫阶段。
图15的处理系统300通过单个的入口140和工件保持器100的气体分配器130导入处理气体。图16中的处理系统302使得每种处理气体(gas1-3)通过单独的气体传输管道134a、134b、或者134c导入处理室325。这对于ALD和CVD应用特别有用。通过将用于传输反应前体的通道分成单独的导管134,可以较少关注在通过同样的气体传输系统传导第二前体之前清扫第一前体的气体传输系统。在CVD的环境中,气体可被分别传导并可在处理空间S中混合,并紧邻工件W反应。这可便于更有活性的前体的使用,如果通过公共气体输送路径一起导入前体,则可能是有问题的。
在另一具体实施例中,可将工艺气体的其中之一通过工件保持器100的气体分配器130导入,但是第二工艺气体可通过独立于气体分配器130的输送导管输送。在图17的微特征工件处理系统400的上下文中,例如,气体前体可从单独的各个气体源452a和452b通过气体输送喷嘴458导入。这将以类似于图3的ALD反应器10的方式输送前体给工件W之间的处理空间S。在图2中所示的吹扫阶段中,然而,除了或代替通过喷嘴458导入吹扫气体外,吹扫气体(gas3)可通过气体分配器130导入。通过工件保持器的出口138输送吹扫气体将有助于积极地(positively)清扫(scrub)处理空间S,相当快地扫除任何多余前体。
除非上下文明确地另外要求,否则在说明书和权利要求书的通篇中,词“包括”、“包含”和类似词应被理解为包括的意思,而非排它的或穷举的意思,也就是说,应被理解为“包括但不限于”的意思。使用单数或复数的词也相应地包括复数或单数。如果权利要求书在引用两个或更多个项的列表时使用词“或”,则该词覆盖该词的以下全部理解:列表中的任何项;列表中的全部项;及列表中的项的任何组合。
本发明的实施例的上述详细描述目的不是穷举的,也不是为了限制本发明于上面披露的精确形式。尽管上面为了例示目的描述了本发明的具体实施例和实例,但是如本领域的技术人员将认识到的,各种等同修改也在本发明的范围内。例如,尽管以给定顺序呈现步骤,但是可选实施例可以不同顺序执行步骤。本文中描述的各个实施例可被组合起来提供另外的实施例。
概言之,所附权利要求书中使用的术语不应被理解为限制本发明于说明书中披露的具体实施例,除非上面的详细描述明确地定义了这些术语。尽管下面以一些权利要求形式呈现本发明的某些方面,但本发明的发明人可想到本发明的各方面具有任何数量的权利要求形式。因此,本发明的发明人保留在提交申请后增加另外的权利要求的权利,以对于本发明的其它方面寻求所述另外的权利要求形式。

Claims (52)

1.一种适于保持用于化学处理的多个微特征工件的微特征工件保持器,包括:
纵向延伸件,具有沿所述纵向延伸件的长度纵向隔开的多个工件支撑件,所述工件支撑件适于支撑用于处理的呈定距离间隔的关系的所述多个微特征工件;以及
纵向延伸气体输送导管,由所述纵向延伸件承载,并且具有入口、第一出口、和与所述第一出口纵向隔开的第二出口,所述第一出口设置为在第一对工件支撑件中间指引工艺气体流,所述第二出口设置为在第二对工件支撑件中间指引工艺气体流。
2.根据权利要求1所述的微特征工件,其中所述工件支撑件的每个都包括在所述纵向延伸件中的槽,所述槽适于接收所述微特征工件的其中之一的边缘部分。
3.根据权利要求1所述的微特征工件,其中所述纵向延伸件是第一纵向延伸件,进一步包括第二纵向延伸件,所述第二纵向延伸件包括多个工件支撑件,所述第二纵向延伸件的工件支撑件的每个关于所述第一纵向延伸件的工件支撑件定位,以协作地支撑所述微特征工件的一个。
4.根据权利要求1所述的微特征工件,其中所述纵向延伸件是第一纵向延伸件,且所述气体输送导管是第一气体输送导管,进一步包括第二纵向延伸件和由所述第二纵向延伸件承载的第二气体输送导管。
5.根据权利要求1所述的微特征工件,其中所述纵向延伸件是第一纵向延伸件,且所述气体输送导管是适于输送第一工艺气体流的第一气体输送导管,进一步包括第二纵向延伸件和由所述第二纵向延伸件承载的第二气体输送导管,所述第二气体输送导管适于输送独立于所述第一工艺气体流的第二工艺气体流。
6.根据权利要求1所述的微特征工件,其中所述气体输送导管包括所述纵向件的内腔。
7.根据权利要求1所述的微特征工件,其中所述纵向延伸件是第一纵向延伸件,且所述气体输送导管是包括所述纵向件的内腔的第一气体输送导管,进一步包括具有限定第二气体输送导管的内腔的第二纵向延伸件。
8.根据权利要求1所述的微特征工件,其中所述气体输送导管与所述纵向件分离形成,且所述气体输送导管的长度与所述纵向件的长度并排延伸。
9.根据权利要求1所述的微特征工件,进一步包括可松开地连接至所述气体输送导管的入口的工艺气体供应导管。
10.根据权利要求1所述的微特征工件,其中所述气体输送导管的第一和第二出口的每个都设置为在所述微特征工件装载在所述微特征工件保持器中时向着所述多个微特征工件的其中之一的中心向内指引工艺气体流。
11.一种适于保持多个微特征工件的微特征工件保持器,包括:
多个工件支撑件,适于支撑呈以定距离间隔的关系的多个微特征工件,以在相邻微特征工件对之间限定处理空间;以及
气体分配器,关于所述工件支撑件固定,所述气体分配器包括入口和多个出口,其中所述出口关于所述工件支撑件定位,以指引工艺气体流到所述处理空间内。
12.根据权利要求11所述的微特征工件,其中所述工件支撑件的每个都包括槽,所述槽适于接收所述微特征工件的其中之一的边缘部分。
13.根据权利要求11所述的微特征工件,其中所述工件支撑件由纵向延伸件承载,所述气体分配器的至少部分由所述纵向延伸件承载。
14.根据权利要求11所述的微特征工件,其中所述工件支撑件包括第一工件支撑件组和第二工件支撑件组,所述第一工件支撑件组由第一部件承载,所述第二工件支撑件组由第二部件承载。
15.根据权利要求11所述的微特征工件,其中所述工件支撑件包括多个第一工件支撑件和多个第二工件支撑件,所述多个第一工件支撑件由第一部件支撑,所述多个第二工件支撑件由第二部件支撑,所述第二工件支撑件的每个都关于所述第一工件支撑件的其中之一定位,以协作地支撑所述微特征工件的一个。
16.根据权利要求11所述的微特征工件,其中所述气体分配器包括第一气体输送导管和第二气体输送导管,其中所述入口是与所述第一气体输送导管流体连通的第一入口,进一步包括与所述第二气体输送导管流体连通的第二入口。
17.根据权利要求16所述的微特征工件,其中所述第一气体输送导管适于输送第一工艺气体流,所述第二气体输送导管适于输送独立于所述第一工艺气体流的第二工艺气体流。
18.根据权利要求11所述的微特征工件,其中所述工件支撑件由纵向延伸件承载,且所述气体分配器的至少部分与所述纵向延伸件一体形成。
19.根据权利要求11所述的微特征工件,其中所述工件支撑件由纵向延伸件承载,且所述气体分配器包括气体输送导管,所述气体输送导管具有与所述纵向件的长度并排延伸的长度。
20.根据权利要求11所述的微特征工件,进一步包括可松开地连接至所述气体输送导管的入口的工艺气体供应导管。
21.根据权利要求11所述的微特征工件,其中所述出口的每个都设置为在所述微特征工件装载在所述微特征工件保持器中时向着所述多个微特征工件的其中之一的中心向内指引工艺气体流。
22.一种微特征工件保持器,适于保持多个微特征工件,包括:
第一部件,具有沿所述第一部件的长度隔开的多个第一工件支撑件、沿所述第一部件的长度隔开的多个出口、和将入口连接至所述出口的每个的内腔,其中所述出口的每个都设置在两个相邻的工件支撑件之间;
第二部件,具有沿所述第二部件的长度隔开的多个第二工件支撑件,所述第二工件支撑件的每个都关于所述第一工件支撑件的其中之一定位,以协作地支撑工件;
以及至少一个十字部件,连接至所述第一和第二部件。
23.根据权利要求22所述的微特征工件保持器,其中所述第一和第二工件支撑件的每个都包括适于接收所述微特征工件的其中之一的边缘部分的槽。
24.根据权利要求22所述的微特征工件保持器,其中所述第二部件包括沿所述第二部件的长度隔开的多个第二部件出口及将第二部件入口连接至所述第二部件出口的每个的内腔。
25.根据权利要求22所述的微特征工件保持器,进一步包括可松开地连接至所述入口的工艺气体供给导管。
26.根据权利要求22所述的微特征工件,其中所述出口的每个都设置为在所述微特征工件装载在所述微特征工件保持器中时向着所述多个微特征工件的其中之一的中心向内指引工艺气体流。
27.一种适于保持多个微特征工件的微特征工件保持器,包括:
工件支撑装置,用于支撑呈以定距离间隔的关系的多个微特征工件,以在每对相邻的微特征工件之间限定处理空间;以及
气体分配器装置,靠近所述工件支撑装置,所述气体分配器装置包括多个出口,所述出口的每个都设置为指引工艺气体流到所述处理空间的其中之一内。
28.一种微特征工件处理系统,包括:
限定处理室的外壳;
可去除微特征工件保持器,设置在所述处理室内,
所述微特征工件保持器包括:
多个工件支撑件,适于支撑呈以定距离间隔的关系的多个微特征工件,以靠近每个微特征工件的表面限定处理空间;以及
气体分配器,包括入口和多个出口,所述出口的每个都设置为指引工艺气体流到所述处理空间的其中之一内;以及
工艺气体供给导管,连接至所述微特征工件保持器的气体分配器的入口。
29.根据权利要求28所述的微特征工件处理系统,其中所述气体分配器包括第一导管和与所述第一导管隔开的第二导管,所述第一和第二导管的每个都靠近所述处理空间纵向延伸。
30.根据权利要求28所述的微特征工件处理系统,其中所述入口包括第一入口,所述气体分配器包括第一导管和与所述第一导管隔开的第二导管,且其中所述微特征工件保持器进一步包括第二入口,所述第一导管与所述第一入口流体连通,所述第二导管与所述第二入口流体连通。
31.根据权利要求28所述的微特征工件处理系统,其中所述工艺气体供给导管是第一工艺气体供给导管,进一步包括连接至第二气体输送出口的第二气体供给导管,所述第二气体输送出口适于在与气体分配器出口的气体流的方向横向的方向上输送气体流。
32.根据权利要求28所述的微特征工件处理系统,其中所述工件保持器包括承载所述工件支撑件的至少一些的纵向延伸件,所述气体分配器包括所述纵向延伸件的内腔。
33.根据权利要求28所述的微特征工件处理系统,其中所述工件支撑件的每个都包括适于接收所述微特征工件的其中之一的边缘部分的槽。
34.根据权利要求28所述的微特征工件处理系统,其中所述工件支撑件由纵向延伸件承载,所述气体分配器的至少部分由所述纵向延伸件承载。
35.根据权利要求28所述的微特征工件处理系统,其中所述工件支撑件由纵向延伸件承载,所述气体分配器的至少部分是所述纵向延伸件的内腔。
36.根据权利要求28所述的微特征工件处理系统,其中所述工件支撑件由纵向延伸件承载,所述气体分配器包括气体输送导管,所述气体输送导管具有与所述纵向件的长度并排延伸的长度。
37.根据权利要求28所述的微特征工件处理系统,其中所述出口的每个都设置为在所述微特征工件装载在所述微特征工件保持器中时向着所述多个微特征工件的其中之一的中心向内指引工艺气体流。
38.一种微特征工件处理系统,包括:
限定处理室的外壳;
可去除微特征工件保持器,设置在所述处理室内,
所述微特征工件保持器包括:
纵向延伸件,具有沿所述纵向延伸件的长度纵向隔开的多个工件支撑件,所述晶片支撑件适于支撑用于处理的呈定距离间隔的关系的所述多个微特征工件;以及
纵向延伸气体输送导管,由所述纵向延伸件承载,并且具有入口、第一出口、和与所述第一出口纵向隔开的第二出口,所述第一出口设置为在第一对晶片支撑件中间指引工艺气体流,所述第二出口设置为在第二对晶片支撑件中间指引工艺气体流;以及
工艺气体供给导管,连接至所述微特征工件保持器的气体分配器的入口。
39.根据权利要求38所述的微特征工件处理系统,其中所述工件支撑件的每个都包括在所述纵向延伸件中的槽,所述槽适于接收所述微特征工件的其中之一的边缘部分。
40.根据权利要求38所述的微特征工件处理系统,其中所述纵向延伸件是第一纵向延伸件,且所述气体输送导管是第一气体输送导管,其中所述微特征工件保持器进一步包括第二纵向延伸件和由所述第二纵向延伸件承载的第二气体输送导管。
41.根据权利要求38所述的微特征工件处理系统,其中所述气体输送导管包括所述纵向件的内腔。
42.根据权利要求38所述的微特征工件处理系统,其中所述气体输送导管与所述纵向件分离形成,且所述气体输送导管的长度与所述纵向件的长度并排延伸。
43.根据权利要求38所述的微特征工件处理系统,其中所述气体输送导管的第一和第二出口的每个都设置为在所述微特征工件装载在所述微特征工件保持器中时向着所述多个微特征工件的其中之一的中心向内指引工艺气体流。
44.一种处理微特征工件的方法,包括:
将微特征工件定位在处理室内,所述微特征工件保持器支撑呈定距离间隔的关系的所述多个微特征工件,以在所述第一和第二微特征工件之间限定第一处理空间,在所述第二和第三微特征工件之间限定第二处理空间;
输送第一工艺气体给所述微特征工件保持器,所述微特征工件保持器承载气体分配器,所述气体分配器横向输送所述第一工艺气体的第一流到所述第一处理空间中,横向输送所述第一处理气体的第二流到所述第二处理空间中;
输送第二工艺气体给所述处理室;以及
从所述处理室去除所述微特征工件保持器和所述微特征工件。
45.根据权利要求44所述的方法,其中将所述微特征工件保持器定位在所述处理室中包括将所述微特征工件保持器放在外壳中,并且大体密封所述外壳,以限定所述处理室。
46.根据权利要求44所述的方法,其中输送第二工艺气体给所述处理室包括通过独立于所述气体分配器的输送到管直接输送所述第二工艺气体给所述处理室。
47.根据权利要求44所述的方法,其中输送第二工艺气体给所述处理室包括输送所述第二工艺气体给所述微特征工件保持器,并且经由所述气体分配器横向输送所述第二工艺气体的第一流到所述第一处理空间中,并且经由所述气体分配器横向输送所述第二工艺气体的第二流到所述第二处理空间中。
48.根据权利要求44所述的方法,其中所述气体分配器包括第一气体输送导管和独立的第二气体输送导管,其中输送所述第一工艺气体给所述微特征工件保持器包括输送所述第一工艺气体给所述第一导管,并且输送所述第二工艺气体给所述处理室包括输送所述第二工艺气体给所述第二气体输送导管。
49.根据权利要求48所述的方法,其中所述第二气体输送导管横向输送所述第二工艺气体的第一流到所述第一处理空间中,横向输送所述第二处理气体的第二流到所述第二处理空间中。
50.根据权利要求44所述的方法,其中所述气体分配器包括气体输送导管,其中所述第一工艺气体的第一流通过所述气体输送导管输送给指引所述第一工艺气体到所述第一处理空间中的所述第一出口,且所述第一工艺气体的第二流通过所述气体输送导管输送给指引所述第一工艺气体到所述第二处理空间中的所述第二出口。
51.根据权利要求50所述的方法,其中所述气体分配器包括第一气体输送导管和第二气体输送导管,其中:
所述第一工艺气体的第一流通过所述气体输送导管输送给指引所述第一工艺气体到所述第一处理空间中的第一出口;
所述第一工艺气体的第二流通过所述气体输送导管输送给指引所述第一工艺气体到所述第二处理空间中的第二出口;以及
输送所述第二工艺气体给所述处理室包括:
通过所述第二气体输送导管输送所述第二处理气体的第一流给横向指引所述第二工艺气体到所述第一处理空间中的第三出口;以及
通过所述第二气体输送导管输送所述第二处理气体的第二流给横向指引所述第二工艺气体到所述第二处理空间中的第四出口。
52.一种沉积材料在微特征工件上的方法,包括:
将微特征工件定位在处理室内,所述微特征工件保持器支撑呈定距离间隔的关系的所述第一、第二和第三微特征工件,以在所述第一和第二微特征工件之间限定第一处理空间,在所述第二和第三微特征工件之间限定第二处理空间;
输送第一气体前体给所述处理室,以沉积大量第一气体前体在所述第一、第二、和第三微特征工件的每个的表面上;
输送吹扫气体给所述微特征工件保持器,所述微特征工件保持器承载气体分配器,所述气体分配器横向输送所述吹扫气体的第一流到所述第一处理空间中,并且横向输送所述吹扫气体的第二流到所述第二处理空间中;
输送第二气体前体给所述处理室,所述第二气体前体与所述大量第一气体前体反应,以在所述第一、第二、和第三微特征工件的表面上形成材料层;以及
从所述处理室去除所述微特征工件保持器和微特征工件。
CNB2004800289815A 2003-08-21 2004-08-18 微特征工件处理装置和用于在微特征工件上批量沉积材料的方法 Expired - Fee Related CN100537843C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/646,607 US7235138B2 (en) 2003-08-21 2003-08-21 Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US10/646,607 2003-08-21

Publications (2)

Publication Number Publication Date
CN1863939A true CN1863939A (zh) 2006-11-15
CN100537843C CN100537843C (zh) 2009-09-09

Family

ID=34194571

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2004800289815A Expired - Fee Related CN100537843C (zh) 2003-08-21 2004-08-18 微特征工件处理装置和用于在微特征工件上批量沉积材料的方法

Country Status (9)

Country Link
US (2) US7235138B2 (zh)
EP (1) EP1660698B1 (zh)
JP (1) JP2007503126A (zh)
KR (1) KR100943163B1 (zh)
CN (1) CN100537843C (zh)
AT (1) ATE397679T1 (zh)
DE (1) DE602004014284D1 (zh)
TW (1) TWI404821B (zh)
WO (1) WO2005021831A1 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102242344A (zh) * 2010-05-13 2011-11-16 鸿富锦精密工业(深圳)有限公司 溅镀装置
CN103668125A (zh) * 2013-12-31 2014-03-26 武汉工程大学 一种适用于管状等离子体薄膜沉积装置中的基片台
CN107870197A (zh) * 2016-09-22 2018-04-03 格罗方德半导体公司 气流处理控制系统及使用晶体微天平的方法
CN110970344A (zh) * 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6670071B2 (en) * 2002-01-15 2003-12-30 Quallion Llc Electric storage battery construction and method of manufacture
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US6955725B2 (en) * 2002-08-15 2005-10-18 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US7335396B2 (en) * 2003-04-24 2008-02-26 Micron Technology, Inc. Methods for controlling mass flow rates and pressures in passageways coupled to reaction chambers and systems for depositing material onto microfeature workpieces in reaction chambers
US7235138B2 (en) * 2003-08-21 2007-06-26 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US7344755B2 (en) * 2003-08-21 2008-03-18 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers
US7422635B2 (en) * 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US7056806B2 (en) * 2003-09-17 2006-06-06 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
US7323231B2 (en) * 2003-10-09 2008-01-29 Micron Technology, Inc. Apparatus and methods for plasma vapor deposition processes
US7581511B2 (en) * 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US7647886B2 (en) * 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US7258892B2 (en) * 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US20050133158A1 (en) * 2003-12-19 2005-06-23 Applied Materials, Inc. Mask handler apparatus
KR101025323B1 (ko) * 2004-01-13 2011-03-29 가부시키가이샤 아루박 에칭 장치 및 에칭 방법
US7906393B2 (en) 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US20050249873A1 (en) * 2004-05-05 2005-11-10 Demetrius Sarigiannis Apparatuses and methods for producing chemically reactive vapors used in manufacturing microelectronic devices
US8133554B2 (en) * 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US7699932B2 (en) * 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US20060165873A1 (en) * 2005-01-25 2006-07-27 Micron Technology, Inc. Plasma detection and associated systems and methods for controlling microfeature workpiece deposition processes
US8211235B2 (en) * 2005-03-04 2012-07-03 Picosun Oy Apparatuses and methods for deposition of material on surfaces
US20060237138A1 (en) * 2005-04-26 2006-10-26 Micron Technology, Inc. Apparatuses and methods for supporting microelectronic devices during plasma-based fabrication processes
US7407892B2 (en) * 2005-05-11 2008-08-05 Micron Technology, Inc. Deposition methods
FR2922681A1 (fr) 2007-10-23 2009-04-24 Soitec Silicon On Insulator Procede de detachement d'un substrat.
US10041169B2 (en) 2008-05-27 2018-08-07 Picosun Oy System and method for loading a substrate holder carrying a batch of vertically placed substrates into an atomic layer deposition reactor
US8282334B2 (en) 2008-08-01 2012-10-09 Picosun Oy Atomic layer deposition apparatus and loading methods
KR101071937B1 (ko) * 2009-08-10 2011-10-11 이승룡 질소가스 분사장치
TW201139712A (en) * 2010-05-12 2011-11-16 Hon Hai Prec Ind Co Ltd Sputtering device
KR101223489B1 (ko) * 2010-06-30 2013-01-17 삼성디스플레이 주식회사 기판 가공 장치
TWI520177B (zh) 2010-10-26 2016-02-01 Hitachi Int Electric Inc 基板處理裝置、半導體裝置之製造方法及電腦可讀取的記錄媒體
KR101971613B1 (ko) * 2011-09-27 2019-04-24 엘지이노텍 주식회사 증착 장치
US9493874B2 (en) * 2012-11-15 2016-11-15 Cypress Semiconductor Corporation Distribution of gas over a semiconductor wafer in batch processing
KR101682473B1 (ko) * 2013-10-18 2016-12-05 삼성전자주식회사 사이드 스토리지 및 이를 구비하는 반도체 소자 제조 설비
CN104046960B (zh) * 2014-06-24 2016-08-17 北京七星华创电子股份有限公司 一种应用于薄膜沉积技术的气体分配器
KR20160026572A (ko) 2014-09-01 2016-03-09 삼성전자주식회사 기판 처리 장치
JP6475135B2 (ja) * 2015-09-29 2019-02-27 株式会社Kokusai Electric 半導体装置の製造方法、ガス供給方法及び基板処理装置並びに基板保持具
KR101688620B1 (ko) * 2015-12-24 2016-12-21 피코앤테라(주) 웨이퍼 수납용기
WO2018022137A1 (en) * 2016-07-28 2018-02-01 Applied Materials, Inc. Gas purge system and method for outgassing control
JP6773880B2 (ja) 2017-02-23 2020-10-21 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法、コンピュータプログラムおよび処理容器
DE102018120580A1 (de) * 2018-08-23 2020-02-27 Infineon Technologies Ag Vorrichtung und verfahren zum abscheiden einer schicht bei atmosphärendruck
KR20200062625A (ko) * 2018-11-27 2020-06-04 삼성전자주식회사 반도체 처리 장치 및 반도체 처리 시스템

Family Cites Families (202)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US579269A (en) 1897-03-23 Roller-bearing
FR1500185A (fr) 1966-08-08 1967-11-03 Ct De Rech S Du Fer Blanc Procédé d'étamage électrolytique d'un feuillard d'acier
GB1260300A (en) 1968-04-24 1972-01-12 Plessey Co Ltd IMPROVEMENTS IN OR RELATING TO THE PRODUCTION OF VAPOUR-DEPOSITED Nb3Sn CONDUCTOR MATERIAL
US3618919A (en) 1969-11-03 1971-11-09 Btu Eng Corp Adjustable heat and gas barrier
US3630881A (en) 1970-01-22 1971-12-28 Ibm Cathode-target assembly for rf sputtering apparatus
US3634212A (en) 1970-05-06 1972-01-11 M & T Chemicals Inc Electrodeposition of bright acid tin and electrolytes therefor
US4018949A (en) 1976-01-12 1977-04-19 Ford Motor Company Selective tin deposition onto aluminum piston skirt areas
US4289061A (en) 1977-10-03 1981-09-15 Hooker Chemicals & Plastics Corp. Device and assembly for mounting parts
US4242182A (en) 1978-07-21 1980-12-30 Francine Popescu Bright tin electroplating bath
NL184695C (nl) 1978-12-04 1989-10-02 Philips Nv Bad voor het stroomloos neerslaan van tin op substraten.
US4313783A (en) 1980-05-19 1982-02-02 Branson International Plasma Corporation Computer controlled system for processing semiconductor wafers
JPS5747706A (en) 1980-09-04 1982-03-18 Toshio Hirai Lump of silicon nitride containing ti and its manufacture
US4545136A (en) 1981-03-16 1985-10-08 Sovonics Solar Systems Isolation valve
DD206687A3 (de) * 1981-07-28 1984-02-01 Mikroelektronik Zt Forsch Tech Verfahren und vorrichtung zur gasfuehrung fuer lp cvd prozesse in einem rohrreaktor
US4826579A (en) 1982-06-25 1989-05-02 Cel Systems Corporation Electrolytic preparation of tin and other metals
US4438724A (en) 1982-08-13 1984-03-27 Energy Conversion Devices, Inc. Grooved gas gate
US4397753A (en) 1982-09-20 1983-08-09 Circuit Chemistry Corporation Solder stripping solution
US4593644A (en) 1983-10-26 1986-06-10 Rca Corporation Continuous in-line deposition system
US4590042A (en) 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
US5769950A (en) 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
US4681777A (en) 1986-05-05 1987-07-21 Engelken Robert D Method for electroless and vapor deposition of thin films of three tin sulfide phases on conductive and nonconductive substrates
US4966646A (en) 1986-09-24 1990-10-30 Board Of Trustees Of Leland Stanford University Method of making an integrated, microminiature electric-to-fluidic valve
JPH01108378A (ja) * 1987-10-21 1989-04-25 Mitsubishi Electric Corp スパツタ装置
JPH0668962B2 (ja) 1987-12-21 1994-08-31 株式会社東芝 真空装置及びそれを用いてプロセスを行う方法
DE3830249A1 (de) 1988-09-06 1990-03-15 Schott Glaswerke Plasmaverfahren zum beschichten ebener substrate
US4949669A (en) 1988-12-20 1990-08-21 Texas Instruments Incorporated Gas flow systems in CCVD reactors
US5076205A (en) 1989-01-06 1991-12-31 General Signal Corporation Modular vapor processor system
US4911638A (en) * 1989-05-18 1990-03-27 Direction Incorporated Controlled diffusion environment capsule and system
JP2888253B2 (ja) 1989-07-20 1999-05-10 富士通株式会社 化学気相成長法およびその実施のための装置
US5090985A (en) * 1989-10-17 1992-02-25 Libbey-Owens-Ford Co. Method for preparing vaporized reactants for chemical vapor deposition
JP2703813B2 (ja) 1989-11-13 1998-01-26 昭和電工株式会社 流動層型気相重合装置のガス分散板
US5020476A (en) * 1990-04-17 1991-06-04 Ds Research, Inc. Distributed source assembly
US4977106A (en) 1990-05-01 1990-12-11 Texas Instruments Incorporated Tin chemical vapor deposition using TiCl4 and SiH4
US5136975A (en) 1990-06-21 1992-08-11 Watkins-Johnson Company Injector and method for delivering gaseous chemicals to a surface
US5131752A (en) 1990-06-28 1992-07-21 Tamarack Scientific Co., Inc. Method for film thickness endpoint control
US5223113A (en) 1990-07-20 1993-06-29 Tokyo Electron Limited Apparatus for forming reduced pressure and for processing object
US5325020A (en) 1990-09-28 1994-06-28 Abtox, Inc. Circular waveguide plasma microwave sterilizer apparatus
EP0493119B1 (en) 1990-12-28 1994-08-17 Hokkai Can Co., Ltd. Welded cans
US5286296A (en) * 1991-01-10 1994-02-15 Sony Corporation Multi-chamber wafer process equipment having plural, physically communicating transfer means
JP2787142B2 (ja) 1991-03-01 1998-08-13 上村工業 株式会社 無電解錫、鉛又はそれらの合金めっき方法
US5232749A (en) 1991-04-30 1993-08-03 Micron Technology, Inc. Formation of self-limiting films by photoemission induced vapor deposition
JP3039583B2 (ja) 1991-05-30 2000-05-08 株式会社日立製作所 バルブ及びそれを用いた半導体製造装置
JPH081923B2 (ja) 1991-06-24 1996-01-10 ティーディーケイ株式会社 クリーン搬送方法及び装置
JP3238432B2 (ja) 1991-08-27 2001-12-17 東芝機械株式会社 マルチチャンバ型枚葉処理装置
US5200023A (en) 1991-08-30 1993-04-06 International Business Machines Corp. Infrared thermographic method and apparatus for etch process monitoring and control
US5172849A (en) 1991-09-25 1992-12-22 General Motors Corporation Method and apparatus for convection brazing of aluminum heat exchangers
JP2989063B2 (ja) 1991-12-12 1999-12-13 キヤノン株式会社 薄膜形成装置および薄膜形成方法
US5480818A (en) 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
US5932286A (en) 1993-03-16 1999-08-03 Applied Materials, Inc. Deposition of silicon nitride thin films
US5377429A (en) 1993-04-19 1995-01-03 Micron Semiconductor, Inc. Method and appartus for subliming precursors
US5592581A (en) 1993-07-19 1997-01-07 Tokyo Electron Kabushiki Kaisha Heat treatment apparatus
US5427666A (en) 1993-09-09 1995-06-27 Applied Materials, Inc. Method for in-situ cleaning a Ti target in a Ti + TiN coating process
US5626936A (en) 1993-09-09 1997-05-06 Energy Pillow, Inc. Phase change insulation system
JP3394293B2 (ja) 1993-09-20 2003-04-07 株式会社日立製作所 試料の搬送方法および半導体装置の製造方法
US5433835B1 (en) 1993-11-24 1997-05-20 Applied Materials Inc Sputtering device and target with cover to hold cooling fluid
JPH07176490A (ja) * 1993-12-21 1995-07-14 Seiko Epson Corp Cvd装置
KR950020993A (ko) 1993-12-22 1995-07-26 김광호 반도체 제조장치
FI95421C (fi) * 1993-12-23 1996-01-25 Heikki Ihantola Puolijohteen, kuten piikiekon, prosessoinnissa käytettävä laitteisto ja menetelmä
US5589002A (en) 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
US5522934A (en) 1994-04-26 1996-06-04 Tokyo Electron Limited Plasma processing apparatus using vertical gas inlets one on top of another
KR960002534A (ko) 1994-06-07 1996-01-26 이노우에 아키라 감압·상압 처리장치
US5418180A (en) 1994-06-14 1995-05-23 Micron Semiconductor, Inc. Process for fabricating storage capacitor structures using CVD tin on hemispherical grain silicon
JPH088194A (ja) 1994-06-16 1996-01-12 Kishimoto Sangyo Kk 気相成長機構および熱処理機構における加熱装置
ATE205550T1 (de) 1994-06-24 2001-09-15 Nisshin Steel Co Ltd Dichtungsvorrichtung eines wärmebehandlungsofens der unter wasserstoffenthaltender atmosphäre arbeitet
JP3468859B2 (ja) 1994-08-16 2003-11-17 富士通株式会社 気相処理装置及び気相処理方法
US5643394A (en) 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
JP3473715B2 (ja) * 1994-09-30 2003-12-08 信越半導体株式会社 石英ガラス製ウェーハボート
JP3417751B2 (ja) 1995-02-13 2003-06-16 株式会社東芝 半導体装置の製造方法
JP3360098B2 (ja) 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
JP3246708B2 (ja) 1995-05-02 2002-01-15 東京エレクトロン株式会社 トラップ装置及びこれを用いた未反応処理ガス排気機構
US5885425A (en) * 1995-06-06 1999-03-23 International Business Machines Corporation Method for selective material deposition on one side of raised or recessed features
US5654589A (en) 1995-06-06 1997-08-05 Advanced Micro Devices, Incorporated Landing pad technology doubled up as local interconnect and borderless contact for deep sub-half micrometer IC application
US5640751A (en) 1995-07-17 1997-06-24 Thermionics Laboratories, Inc. Vacuum flange
US6194628B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Method and apparatus for cleaning a vacuum line in a CVD system
US6193802B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Parallel plate apparatus for in-situ vacuum line cleaning for substrate processing equipment
US5997588A (en) 1995-10-13 1999-12-07 Advanced Semiconductor Materials America, Inc. Semiconductor processing system with gas curtain
US5801104A (en) 1995-10-24 1998-09-01 Micron Technology, Inc. Uniform dielectric film deposition on textured surfaces
US5536317A (en) 1995-10-27 1996-07-16 Specialty Coating Systems, Inc. Parylene deposition apparatus including a quartz crystal thickness/rate controller
US5792269A (en) 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
JP3768575B2 (ja) 1995-11-28 2006-04-19 アプライド マテリアルズ インコーポレイテッド Cvd装置及びチャンバ内のクリーニングの方法
US5956613A (en) 1995-12-27 1999-09-21 Lsi Logic Corporation Method for improvement of TiN CVD film quality
US6663713B1 (en) * 1996-01-08 2003-12-16 Applied Materials Inc. Method and apparatus for forming a thin polymer layer on an integrated circuit structure
US5908947A (en) 1996-02-09 1999-06-01 Micron Technology, Inc. Difunctional amino precursors for the deposition of films comprising metals
US5820641A (en) 1996-02-09 1998-10-13 Mks Instruments, Inc. Fluid cooled trap
US5895530A (en) 1996-02-26 1999-04-20 Applied Materials, Inc. Method and apparatus for directing fluid through a semiconductor processing chamber
JP3386651B2 (ja) * 1996-04-03 2003-03-17 株式会社東芝 半導体装置の製造方法および半導体製造装置
US6070551A (en) 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US5792700A (en) 1996-05-31 1998-08-11 Micron Technology, Inc. Semiconductor processing method for providing large grain polysilicon films
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5746434A (en) 1996-07-09 1998-05-05 Lam Research Corporation Chamber interfacing O-rings and method for implementing same
US5868159A (en) * 1996-07-12 1999-02-09 Mks Instruments, Inc. Pressure-based mass flow controller
JP3310171B2 (ja) 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
US5866986A (en) 1996-08-05 1999-02-02 Integrated Electronic Innovations, Inc. Microwave gas phase plasma source
US5788778A (en) 1996-09-16 1998-08-04 Applied Komatsu Technology, Inc. Deposition chamber cleaning technique using a high power remote excitation source
US5865417A (en) 1996-09-27 1999-02-02 Redwood Microsystems, Inc. Integrated electrically operable normally closed valve
US5729896A (en) 1996-10-31 1998-03-24 International Business Machines Corporation Method for attaching a flip chip on flexible circuit carrier using chip with metallic cap on solder
US5968587A (en) 1996-11-13 1999-10-19 Applied Materials, Inc. Systems and methods for controlling the temperature of a vapor deposition apparatus
EP0854210B1 (en) 1996-12-19 2002-03-27 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus for forming thin film
US5833888A (en) 1996-12-31 1998-11-10 Atmi Ecosys Corporation Weeping weir gas/liquid interface structure
US5846275A (en) 1996-12-31 1998-12-08 Atmi Ecosys Corporation Clog-resistant entry structure for introducing a particulate solids-containing and/or solids-forming gas stream to a gas processing system
US5827370A (en) 1997-01-13 1998-10-27 Mks Instruments, Inc. Method and apparatus for reducing build-up of material on inner surface of tube downstream from a reaction furnace
US6062256A (en) 1997-02-11 2000-05-16 Engineering Measurements Company Micro mass flow control apparatus and method
US6328803B2 (en) * 1997-02-21 2001-12-11 Micron Technology, Inc. Method and apparatus for controlling rate of pressure change in a vacuum process chamber
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US5879459A (en) 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US5994181A (en) 1997-05-19 1999-11-30 United Microelectronics Corp. Method for forming a DRAM cell electrode
US5851849A (en) 1997-05-22 1998-12-22 Lucent Technologies Inc. Process for passivating semiconductor laser structures with severe steps in surface topography
US6706334B1 (en) * 1997-06-04 2004-03-16 Tokyo Electron Limited Processing method and apparatus for removing oxide film
US5846330A (en) 1997-06-26 1998-12-08 Celestech, Inc. Gas injection disc assembly for CVD applications
US6079426A (en) 1997-07-02 2000-06-27 Applied Materials, Inc. Method and apparatus for determining the endpoint in a plasma cleaning process
US6113698A (en) * 1997-07-10 2000-09-05 Applied Materials, Inc. Degassing method and apparatus
US6045620A (en) 1997-07-11 2000-04-04 Applied Materials, Inc. Two-piece slit valve insert for vacuum processing system
US6534007B1 (en) * 1997-08-01 2003-03-18 Applied Komatsu Technology, Inc. Method and apparatus for detecting the endpoint of a chamber cleaning
US20030049372A1 (en) * 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
US6080446A (en) 1997-08-21 2000-06-27 Anelva Corporation Method of depositing titanium nitride thin film and CVD deposition apparatus
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6099904A (en) * 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
KR20010032824A (ko) 1997-12-05 2001-04-25 테갈 코퍼레이션 증착 실드를 갖는 플라즈마 리액터
US6099649A (en) * 1997-12-23 2000-08-08 Applied Materials, Inc. Chemical vapor deposition hot-trap for unreacted precursor conversion and effluent removal
US6841203B2 (en) * 1997-12-24 2005-01-11 Tokyo Electron Limited Method of forming titanium film by CVD
JP3567070B2 (ja) * 1997-12-27 2004-09-15 東京エレクトロン株式会社 熱処理装置及び熱処理方法
KR100269328B1 (ko) * 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
KR100524204B1 (ko) * 1998-01-07 2006-01-27 동경 엘렉트론 주식회사 가스 처리장치
US6032923A (en) 1998-01-08 2000-03-07 Xerox Corporation Fluid valves having cantilevered blocking films
JP3643474B2 (ja) * 1998-01-30 2005-04-27 株式会社東芝 半導体処理システム及び半導体処理システムの使用方法
US6022483A (en) 1998-03-10 2000-02-08 Intergrated Systems, Inc. System and method for controlling pressure
KR20010071235A (ko) * 1998-05-11 2001-07-28 세미툴 인코포레이티드 열반응기용 온도 제어 시스템
JP3813741B2 (ja) * 1998-06-04 2006-08-23 尚久 後藤 プラズマ処理装置
US6086677A (en) 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6192827B1 (en) * 1998-07-03 2001-02-27 Applied Materials, Inc. Double slit-valve doors for plasma processing
US6358323B1 (en) * 1998-07-21 2002-03-19 Applied Materials, Inc. Method and apparatus for improved control of process and purge material in a substrate processing system
JP3830670B2 (ja) * 1998-09-03 2006-10-04 三菱電機株式会社 半導体製造装置
US6143078A (en) * 1998-11-13 2000-11-07 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
JP3619032B2 (ja) * 1998-11-13 2005-02-09 シーケーディ株式会社 真空圧力制御弁
US6383300B1 (en) * 1998-11-27 2002-05-07 Tokyo Electron Ltd. Heat treatment apparatus and cleaning method of the same
KR100331544B1 (ko) * 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
US6347918B1 (en) * 1999-01-27 2002-02-19 Applied Materials, Inc. Inflatable slit/gate valve
US6197119B1 (en) * 1999-02-18 2001-03-06 Mks Instruments, Inc. Method and apparatus for controlling polymerized teos build-up in vacuum pump lines
JP2000256856A (ja) * 1999-03-11 2000-09-19 Tokyo Electron Ltd 処理装置及び処理装置用真空排気システム及び減圧cvd装置及び減圧cvd装置用真空排気システム及びトラップ装置
US6173673B1 (en) * 1999-03-31 2001-01-16 Tokyo Electron Limited Method and apparatus for insulating a high power RF electrode through which plasma discharge gases are injected into a processing chamber
KR100347379B1 (ko) 1999-05-01 2002-08-07 주식회사 피케이엘 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치
GB2371250A (en) * 1999-06-11 2002-07-24 Larami Ltd Bladder water gun with shaped stream discharge orifices
US6415736B1 (en) * 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6200415B1 (en) * 1999-06-30 2001-03-13 Lam Research Corporation Load controlled rapid assembly clamp ring
US6294394B1 (en) * 1999-07-01 2001-09-25 Voyan Technology Ramp rate limiter to control stress during ramping
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6178660B1 (en) * 1999-08-03 2001-01-30 International Business Machines Corporation Pass-through semiconductor wafer processing tool and process for gas treating a moving semiconductor wafer
JP2001077088A (ja) * 1999-09-02 2001-03-23 Tokyo Electron Ltd プラズマ処理装置
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
RU2158324C1 (ru) * 1999-11-02 2000-10-27 Закрытое акционерное общество "Панджшер-Холдинг" Способ изготовления исходного поликристаллического кремния в виде пластин с большой площадью поверхности и камера для осаждения кремния
US6705345B1 (en) * 1999-11-08 2004-03-16 The Trustees Of Boston University Micro valve arrays for fluid flow control
US6503330B1 (en) * 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6191399B1 (en) * 2000-02-01 2001-02-20 Asm America, Inc. System of controlling the temperature of a processing chamber
US6277937B1 (en) * 2000-02-17 2001-08-21 Dupont Dow Elastomers, L.L.C. Process for producing fluorelastomers
AU2001245388A1 (en) * 2000-03-07 2001-09-17 Asm America, Inc. Graded thin films
KR100360401B1 (ko) * 2000-03-17 2002-11-13 삼성전자 주식회사 슬릿형 공정가스 인입부와 다공구조의 폐가스 배출부를포함하는 공정튜브 및 반도체 소자 제조장치
JP2001274107A (ja) * 2000-03-28 2001-10-05 Nec Kyushu Ltd 拡散炉
US7253076B1 (en) * 2000-06-08 2007-08-07 Micron Technologies, Inc. Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers
JP4371543B2 (ja) * 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US6506254B1 (en) * 2000-06-30 2003-01-14 Lam Research Corporation Semiconductor processing equipment having improved particle performance
JP4357715B2 (ja) * 2000-07-24 2009-11-04 東京エレクトロン株式会社 熱処理装置の温度校正方法
US6602346B1 (en) * 2000-08-22 2003-08-05 Novellus Systems, Inc. Gas-purged vacuum valve
AU2001292302A1 (en) * 2000-09-29 2002-04-08 Tokyo Electron Limited Heat-treating apparatus and heat-treating method
US6355561B1 (en) * 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
US6689220B1 (en) * 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
US6770145B2 (en) * 2000-12-11 2004-08-03 Tanaka Kikinzoku Kogyo K.K. Low-pressure CVD apparatus and method of manufacturing a thin film
US6346477B1 (en) * 2001-01-09 2002-02-12 Research Foundation Of Suny - New York Method of interlayer mediated epitaxy of cobalt silicide from low temperature chemical vapor deposition of cobalt
US6514870B2 (en) * 2001-01-26 2003-02-04 Applied Materials, Inc. In situ wafer heat for reduced backside contamination
US6613656B2 (en) * 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
KR100384558B1 (ko) * 2001-02-22 2003-05-22 삼성전자주식회사 반도체 장치의 유전체층 형성방법 및 이를 이용한캐패시터 형성방법
CN1302152C (zh) * 2001-03-19 2007-02-28 株式会社Ips 化学气相沉积设备
JP2002353208A (ja) * 2001-05-28 2002-12-06 Fujitsu Ltd 半導体装置の製造方法及び製造装置
US20030027428A1 (en) * 2001-07-18 2003-02-06 Applied Materials, Inc. Bypass set up for integration of remote optical endpoint for CVD chamber
US7085616B2 (en) * 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
US6435865B1 (en) * 2001-07-30 2002-08-20 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for positioning gas injectors in a vertical furnace
JP2003045864A (ja) * 2001-08-02 2003-02-14 Hitachi Kokusai Electric Inc 基板処理装置
KR100431657B1 (ko) * 2001-09-25 2004-05-17 삼성전자주식회사 웨이퍼의 처리 방법 및 처리 장치, 그리고 웨이퍼의 식각방법 및 식각 장치
US6686594B2 (en) * 2001-10-29 2004-02-03 Air Products And Chemicals, Inc. On-line UV-Visible light halogen gas analyzer for semiconductor processing effluent monitoring
US20050039880A1 (en) * 2001-12-26 2005-02-24 Scott Alexander Robin Walter Computer cooling apparatus
KR100829327B1 (ko) * 2002-04-05 2008-05-13 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반응 용기
US6845734B2 (en) * 2002-04-11 2005-01-25 Micron Technology, Inc. Deposition apparatuses configured for utilizing phased microwave radiation
US6858264B2 (en) * 2002-04-24 2005-02-22 Micron Technology, Inc. Chemical vapor deposition methods
US6814813B2 (en) * 2002-04-24 2004-11-09 Micron Technology, Inc. Chemical vapor deposition apparatus
US6861094B2 (en) * 2002-04-25 2005-03-01 Micron Technology, Inc. Methods for forming thin layers of materials on micro-device workpieces
US6838114B2 (en) * 2002-05-24 2005-01-04 Micron Technology, Inc. Methods for controlling gas pulsing in processes for depositing materials onto micro-device workpieces
US7118783B2 (en) * 2002-06-26 2006-10-10 Micron Technology, Inc. Methods and apparatus for vapor processing of micro-device workpieces
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US6955725B2 (en) * 2002-08-15 2005-10-18 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US20040040502A1 (en) * 2002-08-29 2004-03-04 Micron Technology, Inc. Micromachines for delivering precursors and gases for film deposition
US20040040503A1 (en) * 2002-08-29 2004-03-04 Micron Technology, Inc. Micromachines for delivering precursors and gases for film deposition
US6849131B2 (en) * 2002-10-05 2005-02-01 Taiwan Semiconductor Manufacturing Co., Ltd Truncated dummy plate for process furnace
US6926775B2 (en) * 2003-02-11 2005-08-09 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US6818249B2 (en) * 2003-03-03 2004-11-16 Micron Technology, Inc. Reactors, systems with reaction chambers, and methods for depositing materials onto micro-device workpieces
US20050016956A1 (en) * 2003-03-14 2005-01-27 Xinye Liu Methods and apparatus for cycle time improvements for atomic layer deposition
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US20050020476A1 (en) * 2003-06-12 2005-01-27 The Procter & Gamble Company Softening-through-the-wash composition and process of manufacture
US20050017404A1 (en) * 2003-07-21 2005-01-27 Youngs John D. Method of molding a vehicle trim component
US7235138B2 (en) * 2003-08-21 2007-06-26 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US20050048742A1 (en) * 2003-08-26 2005-03-03 Tokyo Electron Limited Multiple grow-etch cyclic surface treatment for substrate preparation
US7422635B2 (en) * 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US7056806B2 (en) * 2003-09-17 2006-06-06 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
US7282239B2 (en) * 2003-09-18 2007-10-16 Micron Technology, Inc. Systems and methods for depositing material onto microfeature workpieces in reaction chambers

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102242344A (zh) * 2010-05-13 2011-11-16 鸿富锦精密工业(深圳)有限公司 溅镀装置
CN103668125A (zh) * 2013-12-31 2014-03-26 武汉工程大学 一种适用于管状等离子体薄膜沉积装置中的基片台
CN107870197A (zh) * 2016-09-22 2018-04-03 格罗方德半导体公司 气流处理控制系统及使用晶体微天平的方法
CN110970344A (zh) * 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法

Also Published As

Publication number Publication date
US20050039686A1 (en) 2005-02-24
US20060198955A1 (en) 2006-09-07
JP2007503126A (ja) 2007-02-15
EP1660698B1 (en) 2008-06-04
EP1660698A1 (en) 2006-05-31
TWI404821B (zh) 2013-08-11
ATE397679T1 (de) 2008-06-15
US7235138B2 (en) 2007-06-26
DE602004014284D1 (de) 2008-07-17
TW200523393A (en) 2005-07-16
KR20060121814A (ko) 2006-11-29
WO2005021831A1 (en) 2005-03-10
KR100943163B1 (ko) 2010-02-22
CN100537843C (zh) 2009-09-09

Similar Documents

Publication Publication Date Title
CN1863939A (zh) 微特征工件处理装置和用于在微特征工件上批量沉积材料的方法
US7422635B2 (en) Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US6902624B2 (en) Massively parallel atomic layer deposition/chemical vapor deposition system
CN1774525B (zh) 用于原子层淀积的气体输送装置
CN101772833B (zh) 气体供给装置
CN101689500B (zh) 成膜装置和成膜方法
CN102132381A (zh) 用于半导体工艺腔室的工艺气体输送
TWI385715B (zh) 具有隔離設置之反應裝置的整合工具用之改良混合系統
TW200924100A (en) Method and apparatus for batch processing in a vertical reactor
CN102762767A (zh) 具有多重注射道的原子层沉积腔室
CN102365389A (zh) 半导体处理反应器及其部件
EP1800329A2 (en) Multi-single wafer processing apparatus
EP1947681A2 (en) Single chamber, multiple tube high efficiency vertical furnace system
CN101010447A (zh) 基板处理装置及半导体装置的制造方法
US20230124246A1 (en) Manifold for equal splitting and common divert architecture
TW201120238A (en) CVD Reactor and method for depositing a coating
DE60132110D1 (de) Durchsatzverbesserung für einzel-wafer-reaktor
TWM413210U (en) MOCVD processing system to realize automatic substrate transmission
CN218842322U (zh) 一种mocvd长晶设备
US20240047185A1 (en) Shared rps clean and bypass delivery architecture
CN102159495A (zh) 流体分布装置和用于形成该流体分布装置的方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090909

Termination date: 20160818

CF01 Termination of patent right due to non-payment of annual fee