CN1879201A - 半导体器件中的透明非晶碳结构 - Google Patents

半导体器件中的透明非晶碳结构 Download PDF

Info

Publication number
CN1879201A
CN1879201A CNA2004800329672A CN200480032967A CN1879201A CN 1879201 A CN1879201 A CN 1879201A CN A2004800329672 A CNA2004800329672 A CN A2004800329672A CN 200480032967 A CN200480032967 A CN 200480032967A CN 1879201 A CN1879201 A CN 1879201A
Authority
CN
China
Prior art keywords
amorphous carbon
carbon layer
layer
forms
mask arrangement
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2004800329672A
Other languages
English (en)
Other versions
CN100530561C (zh
Inventor
Z·殷
W·李
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Publication of CN1879201A publication Critical patent/CN1879201A/zh
Application granted granted Critical
Publication of CN100530561C publication Critical patent/CN100530561C/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02422Non-crystalline insulating materials, e.g. glass, polymers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/02505Layer structure consisting of more than two layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3146Carbon layers, e.g. diamond-like layers
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02527Carbon, e.g. diamond-like carbon

Abstract

形成透明非晶碳层。该透明非晶碳层具有低吸收系数以致非晶碳在可见光中是透明的。该透明非晶碳层可以使用于半导体器件中用于不同的目的。该透明非晶碳层可以包括在半导体器件的最终结构中。该透明非晶碳层还可在半导体器件的制造期间的蚀刻处理中用作掩模。

Description

半导体器件中的透明非晶碳结构
相关申请
本申请涉及以下名为“具有包括非晶碳层的多层的掩模结构”的共同未决和共同转让的申请,其代理机构卷号为303869US1、申请号为10/661100,通过引用将其结合于本文。
技术领域
本发明通常涉及半导体器件,更具体地说,涉及半导体器件中的掩模结构。
背景技术
半导体器件(如存储器件)存在于许多计算机和电子产品中来存储数据。典型的半导体器件具有在半导体晶片上形成的不同材料的许多层。
在制造期间,上述层经过许多处理。例如,形成图案的处理在层上放置图案。一些形成图案的处理使用掩模将图案从掩模转移到掩模下面的层。
一些常规的掩模是由非晶碳组成。但是,一定厚度的非晶碳掩模对于光线具有高吸收性,导致非晶碳掩模不适用于某些处理。
发明内容
本发明提供具有掩模结构的器件和用于形成掩模结构的技术。掩模结构包括具有低吸收特性的非晶碳层。非晶碳层在电磁辐射的可见光范围内是透明的。
附图说明
图1A是示出根据本发明实施例形成非晶碳层的方法的流程图。
图1B是示出根据本发明实施例的透明非晶碳层的在示例波长的吸收系数(k)对沉积温度的图形。
图1C是示出根据本发明实施例的透明非晶碳的在示例温度的吸收系数(k)对波长范围的图形。
图1D是示出根据本发明实施例的在示例温度和示例厚度的几个透明非晶碳层的穿透百分比对波长范围的图形。
图1E是示出根据本发明实施例的形成透明非晶碳层的方法的示例淀积速率对温度范围的图形。
图2-10示出根据本发明实施例在不同处理阶段中器件的截面图。
图11-19示出根据本发明实施例在不同处理阶段中存储器件的截面图。
图20示出根据本发明实施例的系统。
具体实施方式
以下描述和附图充分阐明了本发明的特定实施例以使本领域技术人员能实践本发明。其它实施例可结合结构、逻辑、电气、处理和其它变化。在附图中,图中相似的标记描述基本相似的组件。示例仅仅代表可能的变化。某些实施例的部分和特征可以包括在或用于替代其它实施例的那些部分和特征。本发明的范围涵盖权利要求书的全部范围和所有可得到的等价物。
图1A是示出根据本发明实施例形成非晶碳层的方法的流程图。方法100形成具有低吸收系数的非晶碳层,使得非晶碳层在可见光范围内是透明的。
可见光范围是具有人眼可见的光(电磁辐射)的电磁光谱范围(光学范围)。可见光范围包括具有约400nm(纳米)到约700nm(纳米)之间波长的任何光。非可见光范围是整个电磁光谱减去可见光范围后的范围。非可见光范围的一些示例包括具有约700nm到一毫米之间波长(红外光)、10nm到400nm之间波长(紫外光)以及.01nm到10nm(X射线)之间波长的电磁辐射。
在本说明书中,非晶碳层在可见光范围内是透明的,这意味着非晶碳层具有充分低的吸收系数(k),其中k在633nm波长具有约0.15到约0.001的范围。在一些实施例中,在可见光范围的非晶碳层是在从约200℃到约500℃的温度形成的非晶碳层,使得非晶碳层在633nm波长具有约0.15到约0.001之间的吸收系数(k)。
在图1A中方法100的框102,在室中放置晶片。在一些实施例中,该室是化学气相沉积室并且晶片是半导体晶片。在图1A表示的实施例中,该室是等离子体增强化学气相沉积(PECVD)室。
在框104,为根据本发明形成非晶碳层的处理设置参数。参数包括温度、混合气体、气体流速、功率和压强。室内温度设置成选择的温度。所选择的温度是从约200℃到约500℃的任何温度。在一些实施例中,温度设置在约200℃到300℃以下之间。在其它实施例中,温度设置在约225℃到约375℃之间。
在形成非晶碳层的处理中,以某流速将包括丙稀(C3H6)的处理气体引入到室内。在一些实施例中,丙稀的流速设置在约500标准立方厘米每分钟(sccm)到约3000sccm之间。还可以某流速将包括氦的附加气体引入到室内。在一些实施例中,氦的流速设置在约250sccm到约1000sccm之间。而且,实施例存在使用其它烃气的至少一种作为处理气体的情况。其它烃气包括CH4、C2H2、C2H4、C2H6和C3H8。氦也可以与这些烃气的至少一种一起使用。因此,在框104中,将混合气体引入到室内。
在本说明书中,混合气体可以仅仅是一种气体或者是至少两种气体的组合。例如,混合气体可以仅仅是丙稀(C3H6)或者是丙稀和氦的组合。作为另一示例,混合气体可以是丙稀、CH4、C2H2、C2H4、C2H6和C3H8以及氦中的至少一种。
在方法100中形成非晶碳层的处理期间,室受到射频(RF)功率和压强的控制。在一些实施例中,射频功率设置在约450瓦到约1000瓦之间,以及压强设置在约4托到约6.5托之间。
在框106中,在晶片上将非晶碳层形成为沉积层。非晶碳层在可见光范围内是透明的。在一些实施例中,通过方法100形成的非晶碳层在633nm波长具有约0.15到约0.001之间的吸收系数(k)。
因为通过方法100形成的非晶碳层在可见光范围内是透明的,所以通过方法100形成的非晶碳层也称作透明非晶碳层。因此,透明非晶碳层是指根据其中温度设置成从约200℃到约500℃的方法100形成的非晶碳层。
通过方法100形成的非晶碳层的透明度在某种程度上取决于在处理期间的温度设置。在方法100中,在较低温度形成特定厚度的非晶碳层的透明度比在较高温度形成上述特定厚度的非晶碳层更透明。例如,在方法100中,在200℃形成某厚度的非晶碳层比在500℃形成相同厚度的非晶碳层更透明。
通过方法100形成的透明非晶碳层可用于例如存储器件和微处理器的半导体器件中。例如,通过方法100形成的透明非晶碳层可以作为绝缘层或抗反射层包括在半导体器件的结构中。在另一示例中,通过方法100形成的透明非晶碳层还可在半导体器件的制造期间的蚀刻处理中用作掩模。
图1B是示出根据本发明实施例的透明非晶碳层的在示例波长的吸收系数(k)对沉积温度的图形。在一些实施例中,图1B的图形示出了根据图1A中描述的方法形成的透明非晶碳层的吸收系数。
在图1B中,曲线150示出了在633nm波长具有从约0.15到约0.001范围的吸收系数k的透明非晶碳层,其中透明非晶碳层在从约200℃到约500℃的温度形成(或沉积)。在图1B中,曲线150具有示例形状。在一些实施例中,曲线150可具有与图1B示出的形状不同的形状。
图1C是示出根据本发明实施例的透明非晶碳的在示例温度的吸收系数(k)对波长范围的图形。在一些实施例中,图1C的图形示出根据图1A中描述的方法形成的透明非晶碳层的吸收系数。
在图1C中,曲线161示出在375℃的示例温度形成的透明非晶碳的吸收系数(k)对波长范围的曲线。曲线162示出在225℃的示例温度形成的另一透明非晶碳的吸收系数对波长范围的曲线。
图1D是示出根据本发明实施例的在示例温度和示例厚度的几个透明非晶碳层的穿透百分比对波长范围的图形。在一些实施例中,图1D的图形示出根据图1A中描述的方法形成的透明非晶碳层的示例穿透百分比。
在图1D中,曲线171、172和173示出了在不同温度形成的不同厚度的三个不同非晶碳层的穿透百分比对波长范围的曲线。曲线171示出了在225℃的温度形成的3000埃厚度的透明非晶碳层的穿透百分比对波长范围的曲线。曲线172示出了在375℃的温度形成的3000埃厚度的透明非晶碳层的穿透百分比对波长范围的曲线。曲线173示出了在375℃的温度形成的7000埃厚度的透明非晶碳层的穿透百分比对波长范围的曲线。图1D示出当厚度或温度或者二者都减小时穿透增加。
图1E是示出根据本发明实施例形成透明非晶碳层的方法的示例淀积速率对温度范围的图形。在一些实施例中,图1E的图形示出了根据图1A中描述的方法形成的透明非晶碳层的示例沉积速率。图1E示出沉积速率与温度成反比。例如,在250℃的温度,沉积速率约为2800埃每分钟。在另一实例中,在400℃的温度,沉积速率约为2100埃每分钟。
图2-10示出了根据本发明的实施例在不同处理阶段中的器件200。
图2示出了包括衬底210的器件200的截面图。衬底210可以表示一部分晶片或晶片自身。晶片可以是半导体晶片比如硅晶片。衬底210还可以是在晶片上形成的结构或层。衬底210可以包括非传导材料、传导材料和半传导材料中的至少一种。非传导材料的实例包括氧化物(如SiO2、Al2O3)、氮化物(如Si3N4)和玻璃(硼磷硅酸盐玻璃-BPSG)。传导材料的实例包括铝、钨、其它金属以及金属的化合物。半传导材料的实例包括硅和具有其它材料(如硼、磷和砷)掺杂的硅。在图2所示的实施例中,衬底210包括半导体材料。
衬底210具有其中形成对准标记214的表面212。对准标记214用作衬底(晶片)210的参考点或坐标。在对准处理期间,对准标记214用来对衬底210进行对准或定位,使得衬底210上的结构和层能彼此或与衬底210精确地对准。
图3示出具有在衬底210上形成的器件结构320的器件200。器件结构320包括多层322、324和326。这些多层中的每一个可包括非传导材料、半传导材料和传导材料中的至少一个。例如,层322可以是氧化层;层324可以是金属层或具有金属和硅的化合物的层;以及层326可以是氮化层。在一些实施例中,多层322、324和326按照不同于图3所示顺序的顺序排列。多层322、324和326通过生长或沉积或通过其它已知的处理来形成。在一些实施例中,层322、324和326中的一个或多个从器件结构320中省略。在其它实施例中,与层322、324和326类似的一个或多个附加层被增加到器件结构320中。器件结构320具有厚度T3。在一些实施例中,T3至少40000埃。
图4A示出了具有在器件结构320上形成的掩模(层)430的器件200。掩模430由碳制成。在图4A所示的实施例中,该碳是非晶碳。因此,在图4A中,掩模430还被称为非晶碳层430。非晶碳层430可以通过与图1A中描述的方法100类似的方法来形成。
非晶碳层430具有厚度T4。T4可为任何厚度。在一些实施例中,T4至少4000埃。非晶碳层430具有低吸收系数,使得非晶碳层430在可见光范围内是透明的。在一些实施例中,非晶碳层430在633nm波长具有约0.15到约0.001之间的吸收系数(k)。
因为非晶碳层430在可见光范围内是透明的,所以非晶碳层430在可见光范围内基本上不吸收或反射光。因此,非晶碳层430的在可见光范围特性中的透明度在衬底210的对准期间改善了衬底210上的对准标记214(图2)的读出。而且,因为非晶碳层430在可见光范围内是透明的,所以可以不限制非晶碳层430的厚度。因此,非晶碳层430可以形成具有足够的厚度以便彻底地蚀刻器件结构320,同时允许对准标记(如对准标记214)的精确读出。
与具有比非晶碳层430更高吸收系数(或更低透明度)的常规非晶碳层来比较非晶碳层430,常规非晶碳层对于一些处理会有厚度限制。例如,一些处理会要求具有特定厚度的掩模,因为常规非晶碳层的高吸收特性,使用具有特定厚度的常规非晶碳层会致使读出对准标记困难或可导致不精确的读出。因此,因为低吸收特性,非晶碳层430在要求具有特定厚度掩模的处理中是有用的,在该处理中常规非晶碳掩模是不适用的。
器件200的非晶碳层430形成具有足够的厚度以便彻底地蚀刻器件结构(如器件结构320)。例如,非晶碳层430形成具有大于等于约4000埃的厚度T4,以便蚀刻具有大于等于40000埃的厚度T3的器件结构320。
图4B示出了具有在非晶碳层430上形成的加盖层540的器件200。在一些实施例中,加盖层540包括氧化物材料。在其它实施例中,加盖层540包括非氧化材料。在图4B中,加盖层540包括氧氮化硅(SixOyNz)或富硅氧化物(SixOy)(silicon-rich oxide),其中x、y和z是实数。在一些实施例中,加盖层540包括氢化的氧氮化硅(SixOyNz:H)或氢化的富硅氧化物(SixOy:H)。
加盖层540能通过沉积处理(如CVD和PECVD处理)形成。在一些实施例中,加盖层540与非晶碳层430在相同处理(相同的处理步骤)中一起形成,使得加盖层540在非晶碳层430上原处沉积。
图5示出了具有在加盖层540和非晶碳层430上形成的光刻胶层550的器件200。使用已知的技术形成光刻胶层550。在一些实施例中,加盖层540用作抗反射层,用于在光刻胶层550的形成图案期间降低从非晶碳层430下面的层到光刻胶层550的反射。降低反射允许光刻胶层550的更精确的形成图案。在其它实施例中,加盖层540用作对非晶碳层430形成图案的掩模。在一些其它的实施例中,加盖层540用作抗反射层和掩模。
非晶碳层430、加盖层540和光刻胶层550的组合形成掩模结构560。在一些实施例中,加盖层540从掩模结构560中省略。在其它实施例中,除了非晶碳层430、加盖层540和光刻胶层550以外,掩模结构还包括在光刻胶层550和加盖层540之间形成的附加层。附加层用作抗反射层以便进一步增强图处理性能。
图6示出了光刻胶层550形成图案之后的器件200。能使用已知的技术对光刻胶层550执行形成图案。在图6中,经图案化的光刻胶层550具有开口652。经图案化的光刻胶层550用作对加盖层540和非晶碳层430形成图案的掩模。
图7示出了掩模结构560形成图案之后的器件200。能通过一个或多个蚀刻步骤执行对掩模结构560形成图案。在一些实施例中,加盖层540和非晶碳层430在一个蚀刻步骤中一起被蚀刻。在其它实施例中,加盖层540和非晶碳层430在不同的蚀刻步骤中分别被蚀刻。如图7所示,经图案化的加盖层540和经图案化的非晶碳层430中的每一个具有与光刻胶层550的开口652连续且对准的开口。在一些实施例中,在非晶碳层430形成图案之后,掩模结构560的层430、540和550的组合可以保留并用作蚀刻器件结构320的层的掩模。在其它实施例中,在非晶碳层430形成图案之后,移除光刻胶层550或光刻胶层550和加盖层540的组合。掩模结构560的剩余的(未移除)层用作蚀刻器件结构320和衬底210中的一个或两个的掩模。
图8示出了移除光刻胶层550和加盖层540之后的器件200。在该实例中,剩余的非晶碳层430用作蚀刻一部分器件结构320或整个器件结构320的掩模。在一些实施例中,还使用非晶碳层430作为掩模来蚀刻衬底210的至少一部分。
图9示出了蚀刻器件结构320之后的器件200。蚀刻处理的结果形成了槽901。在图9的实施例中,在器件结构320的至少一部分中形成槽901。在一些实施例中,在整个器件结构320和在衬底210的至少一部分中形成槽901。
将层322蚀刻到层面(level)902。层面902是衬底210的表面212以上的任何层面。在图9的实施例中,对器件结构320蚀刻,使得蚀刻处理穿透层326和324并部分地进入层322并停止在层面902。在一些实施例中,对器件结构320蚀刻,使得层面902能在器件结构320中的任何地方。在其它实施例中,蚀刻处理穿透所有的层322、324和326并停止在衬底210的表面212或表面212以下。蚀刻处理蚀刻进入器件结构320的哪一层面取决于在对器件结构320蚀刻之后形成什么。例如,如果要形成传导互联,则器件结构320被蚀刻到某一个层面,并且如果要形成组件(如电容器),则器件结构320被蚀刻到另一个层面。
图10示出了移除非晶碳层430之后的器件100。在一些实施例中,使用具有氧等离子体的灰烬处理来移除非晶碳层430。在其它实施例中,使用具有氧等离子体和CF4的组合的灰烬处理来移除非晶碳层430。
在上面图4A到图10的描述中,在可见光范围透明的非晶碳层430包括在掩模结构560中,用作蚀刻器件结构320的掩模。在一些实施例中,非晶碳层(如非晶碳层430)也包括在器件结构320中。比如,器件结构320的层322、324和326中的一个可以是非晶碳层(如非晶碳层430)。在另一实例中,器件结构320可包括除了层322、324和326之外的附加层,其中附加层是非晶碳层(如非晶碳层430)。
在器件结构320内存在非晶碳层的实施例中,器件结构320内的非晶碳层可用于绝缘目的、抗反射目的或用于其它目的。因此,在器件结构320包括类似于非晶碳层430的非晶碳层的实施例中,在从器件200移除掩模结构560的非晶碳层430之后,器件结构320的非晶碳层仍保留在器件200中。
如图10所示在移除非晶碳层430之后,能对器件200执行其它的处理以形成组件,比如晶体管、电容器、存储单元或比如存储器件、处理器、专用集成电路或其它类型的集成电路的集成电路。
图11-19示出了根据本发明的实施例在不同处理阶段中存储器件1100的截面图。在图11中,存储器件1100包括具有在衬底1102的表面1107上形成的对准标记1104的衬底1102。在衬底1102上形成若干表面结构(栅极结构)1105(1105.1-1105.4)。在衬底1102内,形成若干扩散区1106(1106.1-1106.3)和隔离结构1107.1和1107.2。为清晰起见,图11示出在对准标记1104上没有部件形成的对准标记1104。然而,在对准标记1104上可以形成如图11所示的层的部件。
存储器件1100还包括绝缘层1130和若干贯穿绝缘层1130的触点1140(1140.1-1140.3)。每个触点1140连接一个扩散区1106。阻挡层1145把表面结构1105从绝缘层1130和触点1140分开。触点1140由传导材料制成用以提供扩散区1106的电气连接。阻挡层1145可以是氧化物或氮化物或其它非传导材料,用以避免在表面结构1105和绝缘层1130之间的材料的交叉扩散。在一些实施例中,省略了阻挡层1145。绝缘层1130提供了触点1140之间的绝缘。绝缘层1130可以是用一种或多种掺杂物(如硼和磷)掺杂的硅酸盐玻璃或其它类型的掺杂玻璃的层。例如,绝缘层1130可以是硼磷酸盐玻璃(BSG)或磷硅酸盐玻璃(PSG)。在图11的实施例中,绝缘层1130包括硼磷硅酸盐玻璃(BPSG)且具有厚度T11。在一些实施例中,T11的范围为3000埃到5000埃。
在图11的实施例中,衬底1102包括用比如硼掺杂物掺杂的硅以便使其为P型材料。扩散区用比如磷掺杂物掺杂以便使它们为N型材料。在一些实施例中,衬底1102可以是N型材料而扩散区1106可以是P型材料。
每个栅极结构1105包括若干单元:栅极绝缘层(栅氧化层)1109、掺杂多晶硅层1112、硅化物层1114、加盖介质层1116和介质衬垫1118。硅化物层1114可包括金属和硅的化合物。比如硅化钛、硅化砷及其它。在栅极结构1105中的所有介质可包括比如氧化硅的材料。每个栅极结构1105还被称作字线。使用已知的技术能形成图11的结构。
图12示出了形成绝缘层1210之后的存储器件1100。类似于绝缘层1130,绝缘层1210可以包括BSG、PSG或BPSG。图12中的绝缘层1210和其它结构形成器件结构1220。器件结构1220具有厚度T12。在一些实施例中,T12至少为40000埃。
图13示出了在器件结构1220之上形成非晶碳层之后的存储器件1100。非晶碳层1330具有低吸收系数,使得非晶碳层1330在可见光范围内是透明的。在一些实施例中,非晶碳层1330在633nm波长具有从约0.15到约0.001之间的吸收系数(k)。通过与图1A中描述的方法100类似的方法形成非晶碳层1330。
因为非晶碳层430在可见光范围内是透明的,所以可以在选择的厚度形成非晶碳层1330以便彻底地蚀刻器件结构1220,而在器件1100的对准期间基本上不影响对准标记1104的读出。非晶碳层1330具有厚度T13,能选择适当的厚度值来彻底地蚀刻器件结构1220。T13可以是任何厚度。在一些实施例中,T13至少为4000埃。
图14示出了在非晶碳层1330上形成加盖层1440和光刻胶层1450之后的器件1100。在一些实施例中,加盖层1440包括氧化物材料。在其它实施例中,加盖层1440包括非氧化材料。在图14中,加盖层1440包括氧氮化硅(SixOyNz)或富硅氧化物(SixOy),其中x、y和z是实数。在一些实施例中,加盖层1440包括氢化的氧氮化硅(SixOyNz:H)或氢化的富硅氧化物(SixOy:H)。使用已知的技术形成层1440和1450。非晶碳层1330、加盖层1440和光刻胶层1450形成掩模结构1460。在一些实施例中,加盖层1440从掩模结构1460省略。在其它实施例中,掩模结构1460还包括在光刻胶层1450和加盖层1440之间形成的附加层。该附加层用作抗反射层以便进一步增强图处理性能。
图15示出了在光刻胶层1450形成图案之后的器件1100。能使用已知的技术对光刻胶层1450形成图案。经图案化的光刻胶层1450包括开口1552。
图16示出了掩模结构1460形成图案之后的器件1100。能通过一个或多个蚀刻步骤对掩模结构1460执行形成图案。在一些实施例中,加盖层1440和非晶碳层1330在一个蚀刻步骤中一起被蚀刻。在其它实施例中,加盖层1440和非晶碳层1330在不同蚀刻步骤中分别被蚀刻。如图16所示,在形成图案之后,经图案化的加盖层1440和经图案化的非晶碳层1330的每一个包括与光刻胶层1450的开口1552连续切对准的开口。
在一些实施例中,在非晶碳层1330形成图案之后,掩模结构1460的层1330、1440和1450的组合可以保留并用作蚀刻器件结构1220的层的掩模。在其它实施例中,在非晶碳层1330形成图案之后,移除光刻胶层1450或者光刻胶层1450和加盖层1440的组合。掩模结构1220的剩余的(未移除)层用作蚀刻器件结构1220的掩模。
图17示出了在蚀刻器件结构1220之后的器件1100。在图16的实施例中,在蚀刻器件结构1220之前移除光刻胶层1450和加盖层1440。非晶碳层1330用作蚀刻器件结构1220的层的掩模。已蚀刻的器件结构1220具有开口1701。
图18示出了移除非晶碳层1330之后的器件1100。在一些实施例中,使用具有氧等离子体的灰烬处理来移除非晶碳层1330。在其它实施例中,使用具有氧等离子体和CF4的组合的灰烬处理来移除非晶碳层1330。
图19示出了使用已知技术形成其它层之后的器件1100。在每个开口1552中形成第一传导层1902(1902.1和1902.2)、第二传导层1904(1904.1和1904.2)和介质层1906(1906.1和1906.2)。传导层1902、1904,介质层1906和其它部件形成存储电容器C1和C2。例如,在存储电容器C1中,传导层1902.1、触点1140.1和扩散区1106.1形成第一电容器板极(底板极);传导层1902.2形成第二电容器板极(顶板极);以及介质层1906.1是电容器介质。在一些实施例中,传导层1904连接到存储器件1100的公共单元板极。为简单起见图19省略了公共单元板极。
存储器件1110包括存取晶体管T1和T2。栅极结构1105.2和扩散区1106.1-1106.2形成存取晶体管T1。栅极结构1105.3和扩散区1106.2-1106.3形成存取晶体管T2。存取晶体管T1和存储电容器C1形成存储CELL1。存取晶体管T2和存储电容器C2形成存储CELL2。
存储单元CELL1和CELL2在存储电容器C1和C2中以电荷的形式存储数据。电荷通过触点1140.2传送到电容器C1和C2的掺杂区1106.1和1106.3或者从该掺杂区传递出。在一些实施例中,触点1140.2是埋置的位线触点,其连接到存储器件1100的位线上。
在其它实施例中,可以在开口1701(图17)中形成具有不同于层1902、1904和1906的结构的其它部件。例如,可以在开口1552中形成互联而不是电容器板极,以便把扩散区1106连接到存储器件1100的其它部分。
存储器件1100可以是动态随机存取存储器(DRAM)器件。DRAM器件的实例包括一般称为SDRAM的同步DRAM、SDRAM II、SGRAM(同步图形随机存取存储器)、DDR SDRAM(双倍数据速率SDRAM)、DDR II SDRAM、DDR III SDRAM、GDDR IIISDRAM(图形双倍数据速率)和Rambus DRAM。存储器件1100包括其它部件,为清晰起见其未示出。
图20示出了根据本发明实施例的系统。系统2000包括室2010和置于室中的晶片2020。在一些实施例中,室2010是PECVD室以及晶片2020是半导体晶片。室2010的实例包括从Applied Materials公司(座落在Santa Clara,California)得到的Producer Processor的室。室2010和晶片2020能用于图1A描述的方法100,以根据方法100形成透明非晶碳层。
晶片2020包括若干对准标记2014和若干管芯2030。在一些实施例中,对准标记2014表示对准标记214(图2)和对准标记1104(图11)。
管芯2030中的至少一个包括根据上文图2-19描述的实施例的部件。例如,管芯2030中的至少一个包括比如器件200和1100(图2-19)的衬底、器件结构和掩模结构。因此,管芯2030的至少一个包括非晶碳层、比如根据在图2-19中描述的处理形成的非晶碳层430(图4A)和非晶碳层1330(图13)。
比如管芯2030其中之一的管芯是半导体晶片(如晶片2020)上的图案。管芯含有执行特定功能的线路。例如,管芯2030中的至少一个含有用于器件的线路,上述器件例如为处理器或如存储器件1100(图11-19)的存储器件。
结论
本发明的各种实施例提供形成透明非晶碳层的技术。透明非晶碳层能用作掩模来蚀刻器件的某种结构。非晶碳层还可为用于其它目的的器件的结构的一部分。尽管在此描述了特定的实施例,但本领域技术人员认识到其它的实施例可以替代示出的特定实施例来取得相同目的。本申请涵盖了本发明的任何修改或变化。因此,本发明仅仅受限于权利要求书和所有可得到的等价物。

Claims (112)

1.一种在处理中的器件,所述器件包含:
衬底;
在所述衬底上形成的器件结构;以及
在所述器件结构上形成的掩模结构,所述掩模结构包括非晶碳层,其中所述非晶碳层在可见光范围内是透明的。
2.如权利要求1所述的器件,其中所述非晶碳层在633纳米波长具有约0.15到约0.001之间的吸收系数。
3.如权利要求1所述的器件,其中所述可见光范围包括具有400纳米到700纳米之间波长的电磁辐射。
4.如权利要求1所述的器件,其中所述非晶碳层具有大于4000埃的厚度。
5.如权利要求4所述的器件,其中所述器件结构具有大于40000埃的厚度。
6.如权利要求1所述的器件,其中所述掩模结构还包括在所述非晶碳层上形成的氧氮化硅层。
7.如权利要求1所述的器件,其中所述掩模结构还包括光刻胶层。
8.如权利要求7所述的器件,其中所述掩模结构还包括抗反射层。
9.如权利要求7所述的器件,其中所述光刻胶层包括至少一个开口。
10.如权利要求9所述的器件,其中所述非晶碳层包括与所述光刻胶层的至少一个开口连续的至少一个开口。
11.如权利要求1所述的器件,其中所述器件结构包括从由传导材料、非传导材料和半传导材料组成的组中的材料选择的层。
12.如权利要求11所述的器件,其中所述器件结构还包括非晶碳层,其中所述器件结构的所述非晶碳层在可见光范围内是透明的。
13.一种用于器件的掩模结构,所述掩模结构包含:
非晶碳层,其中所述非晶碳层对于具有400纳米到700纳米之间波长的辐射是透明的。
14.如权利要求13所述的掩模结构,其中所述非晶碳层在633纳米波长具有约0.15到约0.001之间的吸收系数。
15.如权利要求13所述的掩模结构,其中所述非晶碳层具有至少4000埃的厚度。
16.如权利要求13所述的掩模结构,还包含光刻胶层。
17.如权利要求16所述的掩模结构,还包含在所述非晶碳层上形成的加盖层。
18.如权利要求17所述的掩模结构,其中所述加盖层包括氧氮化硅。
19.如权利要求16所述的掩模结构,其中所述光刻胶层包括至少一个开口。
20.如权利要求19所述的掩模结构,其中所述非晶碳层包括与所述光刻胶层的至少一个开口连续的至少一个开口。
21.一种在处理中的存储器件,所述存储器件包含:
具有多个掺杂区的衬底;
在所述衬底上形成的器件结构,所述器件结构包括多个栅极结构、多个触点,每个所述触点位于两个栅极结构之间并接触一个掺杂区,并且在所述栅极结构和所述触点上形成绝缘层;以及
在所述器件结构上形成的掩模结构,所述掩模结构包括非晶碳层,其中所述非晶碳层在可见光范围内是透明的。
22.如权利要求21所述的存储器件,其中所述非晶碳层具有至少4000埃的厚度。
23.如权利要求22所述的存储器件,其中所述存储器件结构具有至少40000埃的厚度。
24.如权利要求21所述的存储器件,其中所述掩模结构还包括在所述非晶碳层上形成的氧氮化硅层。
25.如权利要求21所述的存储器件,其中所述掩模结构还包括光刻胶层。
26.如权利要求25所述的存储器件,其中所述掩模结构还包括抗反射层。
27.如权利要求25所述的存储器件,其中所述光刻胶层包括所述光刻胶层的至少一个开口。
28.如权利要求27所述的存储器件,其中所述非晶碳层包括与所述光刻胶层的至少一个开口连续的至少一个开口。
29.如权利要求28所述的存储器件,其中所述绝缘层包括与所述非晶碳层的至少一个开口和所述光刻胶层的至少一个开口都连续的至少一个开口。
30.如权利要求21所述的存储器件,其中所述器件结构还包括位于所述栅极结构和所述触点之间的阻挡层。
31.如权利要求21所述的存储器件,其中所述非晶碳层在633纳米波长具有约0.15到约0.001之间的吸收系数。
32.一种系统,包含:
具有约200℃到约500℃之间温度的室;以及
置于所述室中的晶片,所述晶片包括管芯,所述管芯包括衬底、在所述衬底上形成的器件结构和在所述器件结构上形成的掩模结构,所述掩模结构包括非晶碳层,其中所述非晶碳层在可见光范围内是透明的。
33.如权利要求32所述的系统,其中所述非晶碳层具有大于4000埃的厚度。
34.如权利要求33所述的系统,其中所述器件结构具有大于40000埃的厚度。
35.如权利要求34所述的系统,其中所述掩模结构还包括在所述非晶碳层上形成的氧氮化硅层。
36.如权利要求32所述的系统,其中所述掩模结构还包括光刻胶层。
37.如权利要求36所述的系统,其中所述掩模结构还包括抗反射层。
38.如权利要求36所述的系统,其中所述光刻胶层包括至少一个开口。
39.如权利要求38所述的系统,其中所述非晶碳层包括与所述光刻胶层的至少一个开口连续的至少一个开口。
40.如权利要求32所述的系统,其中所述器件结构包括传导层。
41.如权利要求40所述的系统,其中所述器件结构还包括绝缘层。
42.如权利要求41所述的系统,其中所述器件结构还包括抗反射层。
43.如权利要求42所述的系统,其中所述器件结构还包括非晶碳层。
44.如权利要求43所述的系统,其中所述掩模结构还包括光刻胶层。
45.如权利要求44所述的系统,其中所述掩模结构还包括抗反射层。
46.如权利要求32所述的系统,其中所述至少一个管芯包括用于存储器件的线路。
47.如权利要求32所述的系统,其中所述至少一个管芯包括用于处理器的线路。
48.如权利要求32所述的系统,其中所述室是等离子体增强气相化学沉积室。
49.一种方法,包含:
在衬底上形成器件结构;以及
在所述衬底上形成掩模结构包括形成非晶碳层,其中所述非晶碳层在可见光范围内是透明的。
50.如权利要求49所述的方法,其中形成非晶碳层包括形成具有至少4000埃厚度的所述非晶碳层。
51.如权利要求50所述的方法,其中形成所述器件结构包括形成具有至少40000埃厚度的所述器件结构。
52.如权利要求49所述的方法,其中形成所述掩模结构还包括在所述非晶碳层上形成氧氮化硅层。
53.如权利要求52所述的方法,其中所述氧氮化硅层与所述非晶碳层一起在原处沉积。
54.如权利要求49所述的方法,其中形成非晶碳层包括对所述非晶碳层形成图案以形成经图案化的非晶碳层。
55.如权利要求54所述的方法,其中形成器件结构包括使用所述经图案化的非晶碳层作为掩模对所述器件结构形成图案。
56.如权利要求49所述的方法,其中形成掩模结构还包括形成经图案化的光刻胶层。
57.如权利要求56所述的方法,其中形成掩模结构还包括使用所述经图案化的光刻胶层作为掩模对所述非晶碳层形成图案。
58.如权利要求56所述的方法,其中形成器件结构包括使用所述经图案化的非晶碳层作为掩模对所述器件结构形成图案。
59.如权利要求49所述的方法,其中所述非晶碳层在633纳米波长具有约0.15到约0.001之间的吸收系数。
60.如权利要求59所述的方法,其中所述非晶碳在约200℃到约500℃的温度范围形成。
61.如权利要求49所述的方法,其中所述可见光范围包括具有400纳米到700纳米之间波长的电磁辐射。
62.一种方法,包含:
在衬底上形成器件结构;以及
在所述器件结构上形成掩模结构包括在约200℃到约500℃的温度范围形成非晶碳层。
63.如权利要求62所述的方法,其中形成所述掩模结构还包括在所述非晶碳层上形成氧氮化硅层。
64.如权利要求63所述的方法,其中所述氧氮化硅层同所述非晶碳层一起在原处沉积。
65.如权利要求64所述的方法,其中所述非晶碳层在633纳米波长具有约0.15到约0.001之间的吸收系数。
66.如权利要求62所述的方法,其中形成非晶碳层包括在约200℃到约300℃以下的温度形成所述非晶碳层。
67.如权利要求62所述的方法,其中形成非晶碳层包括形成具有大于4000埃厚度的所述非晶碳层。
68.如权利要求67所述的方法,其中形成所述器件结构包括形成具有大于40000埃厚度的所述器件结构。
69.如权利要求62所述的方法,其中形成非晶碳层在经受约4托到约6.5托的压强范围、约450瓦到约1000瓦的射频功率范围并且包括丙稀的混合气体的室中执行。
70.如权利要求69所述的方法,其中所述混合气体还包括氦。
71.如权利要求70所述的方法,其中所述丙稀以500标准立方厘米每分钟(sccm)到4000sccm之间的流速引入到所述室中。
72.如权利要求71所述的方法,其中所述氦以250sccm到1000sccm之间的流速引入到所述室中。
73.一种方法,包含:
在衬底上形成器件结构;
在所述器件结构上形成掩模结构包括形成非晶碳层,其中所述非晶碳层在可见光范围内是透明的;以及
使用所述非晶碳层作为掩模蚀刻所述器件结构。
74.如权利要求73所述的方法,其中形成非晶碳层在具有约200℃到约500℃的温度范围、约4托到约6.5托的压强范围、约450瓦到约1000瓦的射频功率范围并且包括丙稀的混合气体的室中执行。
75.如权利要求74所述的方法,其中所述丙稀以500标准立方厘米每分钟(sccm)到4000sccm之间的流速引入到所述室中。
76.如权利要求75所述的方法,其中所述氦以250sccm到1000sccm之间的流速引入到所述室中。
77.如权利要求73所述的方法,其中形成非晶碳层通过化学气相沉积处理执行。
78.如权利要求73所述的方法,其中形成所述掩模结构还包括在所述非晶碳层上形成氧氮化硅层。
79.如权利要求78所述的方法,其中所述氧氮化硅层同所述非晶碳层一起在原处沉积。
80.如权利要求79所述的方法,其中所述非晶碳层在633纳米波长具有约0.15到约0.001之间的吸收系数。
81.一种方法,包含:
形成非晶碳层,其中所述非晶碳层在可见光范围内是透明的,其中形成非晶碳层在具有200℃以上到500℃以下的温度、约4托到约6.5托的压强范围、约450瓦到约1000瓦的射频功率范围并且包括丙稀的混合气体的室中执行。
82.如权利要求81所述的方法,其中形成非晶碳层包括形成具有大于4000埃厚度的所述非晶碳层。
83.如权利要求81所述的方法,其中所述混合气体还包括氦。
84.如权利要求83所述的方法,其中所述丙稀以500标准立方厘米每分钟(sccm)到4000sccm之间的流速引入到所述室中。
85.如权利要求84所述的方法,其中所述氦以250sccm到1000sccm之间的流速引入到所述室中。
86.一种方法,包含:
在衬底上形成具有栅极结构的器件结构;
在所述器件结构上形成非晶碳层,其中所述非晶碳层在可见光范围内是透明的;
对所述非晶碳层形成图案以形成经图案化的非晶碳层;
使用所述经图案化的非晶碳层作为掩模蚀刻所述器件结构,以形成存储单元的电容器的结构;以及
移除所述经图案化的非晶碳层。
87.如权利要求86所述的方法,其中对所述非晶碳层形成图案包括:
在所述非晶碳层上形成经图案化的光刻胶层;以及
使用所述经图案化的光刻胶层作为掩模蚀刻所述非晶碳层。
88.如权利要求87所述的方法,还包含:
在形成所述经图案化的光刻胶层之前在所述非晶碳层上形成氧氮化硅层。
89.如权利要求88所述的方法,其中所述氧氮化硅层同所述非晶碳层一起在原处沉积。
90.如权利要求86所述的方法,其中移除所述经图案化的非晶碳使用氧等离子体处理来执行。
91.如权利要求86所述的方法,其中移除所述经图案化的非晶碳使用具有CF4和H2之一的氧等离子体处理来执行。
92.一种方法,包含:
在室中放置晶片,所述晶片包括具有衬底的至少一个管芯和在所述衬底上形成的器件结构;
在所述室中设置温度在约200℃到约500℃之间;以及
在所述器件结构上形成掩模结构包括形成非晶碳层。
93.如权利要求92所述的方法,其中形成所述掩模结构还包括在所述非晶碳层上形成氧氮化硅层。
94.如权利要求93所述的方法,其中所述氧氮化硅层同所述非晶碳层一起在原处沉积。
95.如权利要求94所述的方法,其中所述非晶碳层在633纳米波长具有约0.15到约0.001之间的吸收系数。
96.如权利要求92所述的方法,其中执行形成非晶碳层直到所述非晶碳层具有至少4000埃的厚度。
97.如权利要求92所述的方法,还包含:
把丙稀引入到所述室中;
在所述室中设置压强在约4托到约6.5托之间;以及
使所述晶片经受约450瓦到约1000瓦的功率。
98.如权利要求92所述的方法,还包含:
把氦引入到所述室中。
99.如权利要求98所述的方法,其中所述丙稀以500标准立方厘米每分钟(sccm)到4000sccm之间的流速引入到所述室中。
100.如权利要求99所述的方法,其中所述氦以250sccm到1000sccm之间的流速引入到所述室中。
101.如权利要求92所述的方法,其中所述室是等离子体增强气相化学沉积室。
102.一种方法,包含:
形成若干存储单元包括形成非晶碳层,其中所述非晶碳层在可见光范围内是透明的。
103.如权利要求102所述的方法,其中形成若干存储单元还包括在所述非晶碳层上形成氧氮化硅层。
104.如权利要求103所述的方法,其中所述氧氮化硅层同所述非晶碳层一起在原处沉积。
105.如权利要求104所述的方法,其中所述非晶碳层在633纳米波长具有约0.15到约0.001之间的吸收系数。
106.如权利要求102所述的方法,其中非晶碳层有至少4000埃的厚度。
107.如权利要求102所述的方法,其中形成若干存储单元包括:
形成若干晶体管;以及
形成具有电容器板极的若干电容器。
108.如权利要求107所述的方法,其中所述电容器板极在使用所述非晶碳层蚀刻所述晶体管的栅极结构上的绝缘层之后形成。
109.如权利要求108所述的方法,其中所述层在具有约200℃到500℃的温度范围、约4托到约6.5托的压强范围、约450瓦到约1000瓦的射频功率范围并且包括丙稀的混合气体的室中完成。
110.如权利要求109所述的方法,其中所述混合气体还包括氦。
111.如权利要求110所述的方法,其中所述丙稀以500标准立方厘米每分钟(sccm)到4000sccm之间的流速引入到所述室中。
112.如权利要求112所述的方法,其中所述氦以250sccm到1000sccm之间的流速引入到所述室中。
CNB2004800329672A 2003-09-12 2004-09-08 半导体器件中的透明非晶碳结构 Active CN100530561C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/661,379 2003-09-12
US10/661,379 US7132201B2 (en) 2003-09-12 2003-09-12 Transparent amorphous carbon structure in semiconductor devices

Publications (2)

Publication Number Publication Date
CN1879201A true CN1879201A (zh) 2006-12-13
CN100530561C CN100530561C (zh) 2009-08-19

Family

ID=34273863

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2004800329672A Active CN100530561C (zh) 2003-09-12 2004-09-08 半导体器件中的透明非晶碳结构

Country Status (7)

Country Link
US (6) US7132201B2 (zh)
EP (1) EP1668684A1 (zh)
JP (1) JP2007505497A (zh)
KR (1) KR100766755B1 (zh)
CN (1) CN100530561C (zh)
TW (1) TWI262551B (zh)
WO (1) WO2005034229A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7910443B2 (en) 2006-12-27 2011-03-22 Hynix Semiconductor Inc. Method involving trimming a hard mask in the peripheral region of a semiconductor device
CN103210480A (zh) * 2010-10-05 2013-07-17 应用材料公司 超高选择性的掺杂非晶碳可剥除硬掩模的开发与集成
US8921189B2 (en) 2006-12-27 2014-12-30 Hynix Semiconductor Inc. Method for fabricating semiconductor device

Families Citing this family (347)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US7105431B2 (en) * 2003-08-22 2006-09-12 Micron Technology, Inc. Masking methods
US7129180B2 (en) * 2003-09-12 2006-10-31 Micron Technology, Inc. Masking structure having multiple layers including an amorphous carbon layer
US7132201B2 (en) * 2003-09-12 2006-11-07 Micron Technology, Inc. Transparent amorphous carbon structure in semiconductor devices
US7354631B2 (en) * 2003-11-06 2008-04-08 Micron Technology, Inc. Chemical vapor deposition apparatus and methods
US7115524B2 (en) * 2004-05-17 2006-10-03 Micron Technology, Inc. Methods of processing a semiconductor substrate
US7341906B2 (en) * 2005-05-19 2008-03-11 Micron Technology, Inc. Method of manufacturing sidewall spacers on a memory device, and device comprising same
KR100724568B1 (ko) * 2005-10-12 2007-06-04 삼성전자주식회사 반도체 메모리 소자 및 그 제조방법
US7696101B2 (en) * 2005-11-01 2010-04-13 Micron Technology, Inc. Process for increasing feature density during the manufacture of a semiconductor device
KR100801308B1 (ko) * 2005-11-12 2008-02-11 주식회사 하이닉스반도체 고선택비 하드마스크를 이용한 트렌치 형성 방법 및 그를이용한 반도체소자의 소자분리 방법
US20070123050A1 (en) * 2005-11-14 2007-05-31 Micron Technology, Inc. Etch process used during the manufacture of a semiconductor device and systems including the semiconductor device
US7684039B2 (en) * 2005-11-18 2010-03-23 Kla-Tencor Technologies Corporation Overlay metrology using the near infra-red spectral range
US7563688B2 (en) * 2006-02-24 2009-07-21 Hynix Semiconductor Inc. Method for fabricating capacitor in semiconductor device
US20080153311A1 (en) * 2006-06-28 2008-06-26 Deenesh Padhi Method for depositing an amorphous carbon film with improved density and step coverage
US7867578B2 (en) * 2006-06-28 2011-01-11 Applied Materials, Inc. Method for depositing an amorphous carbon film with improved density and step coverage
US7476588B2 (en) * 2007-01-12 2009-01-13 Micron Technology, Inc. Methods of forming NAND cell units with string gates of various widths
US7553770B2 (en) * 2007-06-06 2009-06-30 Micron Technology, Inc. Reverse masking profile improvements in high aspect ratio etch
US7935618B2 (en) * 2007-09-26 2011-05-03 Micron Technology, Inc. Sputtering-less ultra-low energy ion implantation
US8102117B2 (en) * 2007-11-30 2012-01-24 World Properties, Inc. Isolation mask for fine line display
US8252653B2 (en) * 2008-10-21 2012-08-28 Applied Materials, Inc. Method of forming a non-volatile memory having a silicon nitride charge trap layer
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20100258526A1 (en) * 2009-04-08 2010-10-14 Jaihyung Won Methods of forming an amorphous carbon layer and methods of forming a pattern using the same
US8198671B2 (en) * 2009-04-22 2012-06-12 Applied Materials, Inc. Modification of charge trap silicon nitride with oxygen plasma
US7842622B1 (en) * 2009-05-15 2010-11-30 Asm Japan K.K. Method of forming highly conformal amorphous carbon layer
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8361906B2 (en) 2010-05-20 2013-01-29 Applied Materials, Inc. Ultra high selectivity ashable hard mask film
US8513129B2 (en) * 2010-05-28 2013-08-20 Applied Materials, Inc. Planarizing etch hardmask to increase pattern density and aspect ratio
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9171749B2 (en) 2013-11-13 2015-10-27 Globalfoundries U.S.2 Llc Handler wafer removal facilitated by the addition of an amorphous carbon layer on the handler wafer
KR20150055473A (ko) * 2013-11-13 2015-05-21 삼성전자주식회사 탄소 함유 박막의 형성 방법 및 이를 이용한 반도체 소자의 제조 방법
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529880A (ja) 2018-06-27 2021-11-04 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102029127B1 (ko) * 2019-02-08 2019-10-07 영창케미칼 주식회사 반도체 제조 공정에 있어서 실리콘 또는 실리콘 화합물 패턴을 형성하기 위한 신규 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (98)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US570316A (en) 1896-10-27 le blois
JPS58204534A (ja) 1982-05-24 1983-11-29 Hitachi Ltd X線リソグラフイ用マスク
USH566H (en) * 1985-12-04 1989-01-03 The United States Of America As Represented By The United States Department Of Energy Apparatus and process for deposition of hard carbon films
US5514885A (en) * 1986-10-09 1996-05-07 Myrick; James J. SOI methods and apparatus
GB2198505B (en) * 1986-12-12 1990-01-10 Pilkington Perkin Elmer Ltd Improvements in or relating to weapon aiming systems
JPS63155145A (ja) * 1986-12-19 1988-06-28 Seiko Instr & Electronics Ltd マスクの白点欠陥修正方法
US6224952B1 (en) * 1988-03-07 2001-05-01 Semiconductor Energy Laboratory Co., Ltd. Electrostatic-erasing abrasion-proof coating and method for forming the same
US4975144A (en) * 1988-03-22 1990-12-04 Semiconductor Energy Laboratory Co., Ltd. Method of plasma etching amorphous carbon films
US4971853A (en) 1988-05-04 1990-11-20 Syracuse University Laser directed chemical vapor deposition of transparent metal films
IL88837A (en) * 1988-12-30 1993-08-18 Technion Res & Dev Foundation Method for the preparation of mask for x-ray lithography
KR950011563B1 (ko) * 1990-11-27 1995-10-06 가부시끼가이샤 도시바 반도체장치의 제조방법
US5198263A (en) * 1991-03-15 1993-03-30 The United States Of America As Represented By The United States Department Of Energy High rate chemical vapor deposition of carbon films using fluorinated gases
EP0531232A3 (en) 1991-08-26 1993-04-21 Eastman Kodak Company High durability mask for use in selective area, epitaxial regrowth of gaas
US5324365A (en) * 1991-09-24 1994-06-28 Canon Kabushiki Kaisha Solar cell
US5369040A (en) * 1992-05-18 1994-11-29 Westinghouse Electric Corporation Method of making transparent polysilicon gate for imaging arrays
JP2530990B2 (ja) * 1992-10-15 1996-09-04 富士通株式会社 薄膜トランジスタ・マトリクスの製造方法
US5470661A (en) * 1993-01-07 1995-11-28 International Business Machines Corporation Diamond-like carbon films from a hydrocarbon helium plasma
US5358880A (en) * 1993-04-12 1994-10-25 Motorola, Inc. Method of manufacturing closed cavity LED
US5346729A (en) * 1993-05-17 1994-09-13 Midwest Research Institute Solar-induced chemical vapor deposition of diamond-type carbon films
JPH0773909A (ja) * 1993-08-23 1995-03-17 Ebara Res Co Ltd 光電気化学装置
US5431800A (en) * 1993-11-05 1995-07-11 The University Of Toledo Layered electrodes with inorganic thin films and method for producing the same
US6420095B1 (en) * 1994-03-18 2002-07-16 Fujitsu Limited Manufacture of semiconductor device using A-C anti-reflection coating
JP3441011B2 (ja) * 1994-03-18 2003-08-25 富士通株式会社 アモルファスカーボンを用いた半導体装置製造方法
DE69519765T2 (de) * 1994-05-26 2001-05-23 Dainippon Printing Co Ltd Druckplatte und Verfahren zu deren Herstellung
TW366367B (en) * 1995-01-26 1999-08-11 Ibm Sputter deposition of hydrogenated amorphous carbon film
US6128700A (en) * 1995-05-17 2000-10-03 Monolithic System Technology, Inc. System utilizing a DRAM array as a next level cache memory and method for operating same
US5669644A (en) * 1995-11-13 1997-09-23 Kokusai Electric Co., Ltd. Wafer transfer plate
US5946594A (en) * 1996-01-02 1999-08-31 Micron Technology, Inc. Chemical vapor deposition of titanium from titanium tetrachloride and hydrocarbon reactants
EP0897577B1 (en) * 1996-05-11 2002-01-02 The Victoria University Of Manchester Photorefractive composite
US5759746A (en) * 1996-05-24 1998-06-02 Kabushiki Kaisha Toshiba Fabrication process using a thin resist
US5711851A (en) * 1996-07-12 1998-01-27 Micron Technology, Inc. Process for improving the performance of a temperature-sensitive etch process
JP3408074B2 (ja) * 1996-09-06 2003-05-19 キヤノン株式会社 屋根材一体型太陽電池及びその施工方法
US5800878A (en) * 1996-10-24 1998-09-01 Applied Materials, Inc. Reducing hydrogen concentration in pecvd amorphous silicon carbide films
JP3327811B2 (ja) * 1997-05-13 2002-09-24 キヤノン株式会社 酸化亜鉛薄膜の製造方法、それを用いた光起電力素子及び半導体素子基板の製造方法
JPH1146006A (ja) * 1997-07-25 1999-02-16 Canon Inc 光起電力素子およびその製造方法
MY132894A (en) * 1997-08-25 2007-10-31 Ibm Layered resist system using tunable amorphous carbon film as a bottom layer and methods of fabrication thereof
US6035803A (en) * 1997-09-29 2000-03-14 Applied Materials, Inc. Method and apparatus for controlling the deposition of a fluorinated carbon film
US6624064B1 (en) * 1997-10-10 2003-09-23 Applied Materials, Inc. Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application
US6323119B1 (en) * 1997-10-10 2001-11-27 Applied Materials, Inc. CVD deposition method to improve adhesion of F-containing dielectric metal lines for VLSI application
US6211065B1 (en) * 1997-10-10 2001-04-03 Applied Materials, Inc. Method of depositing and amorphous fluorocarbon film using HDP-CVD
EP0915523A3 (en) * 1997-10-29 2005-11-02 Canon Kabushiki Kaisha A photovoltaic element having a back side transparent and electrically conductive layer with a light incident side surface region having a specific cross section and a module comprising said photovoltaic element
TW505984B (en) * 1997-12-12 2002-10-11 Applied Materials Inc Method of etching patterned layers useful as masking during subsequent etching or for damascene structures
US6291334B1 (en) * 1997-12-19 2001-09-18 Applied Materials, Inc. Etch stop layer for dual damascene process
US6627532B1 (en) * 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6132552A (en) * 1998-02-19 2000-10-17 Micron Technology, Inc. Method and apparatus for controlling the temperature of a gas distribution plate in a process reactor
US6833280B1 (en) * 1998-03-13 2004-12-21 Micron Technology, Inc. Process for fabricating films of uniform properties on semiconductor devices
US6262450B1 (en) * 1998-04-22 2001-07-17 International Business Machines Corporation DRAM stack capacitor with vias and conductive connection extending from above conductive lines to the substrate
JP3763667B2 (ja) * 1998-04-23 2006-04-05 株式会社東芝 半導体発光素子
JPH11307782A (ja) * 1998-04-24 1999-11-05 Semiconductor Energy Lab Co Ltd 半導体装置およびその作製方法
JP3884564B2 (ja) * 1998-05-20 2007-02-21 出光興産株式会社 有機el発光素子およびそれを用いた発光装置
ATA119098A (de) * 1998-07-09 1999-05-15 Ims Ionen Mikrofab Syst Verfahren zur erzeugung eines kohlenstoffilmes auf einem substrat
US6281100B1 (en) * 1998-09-03 2001-08-28 Micron Technology, Inc. Semiconductor processing methods
JP4024940B2 (ja) * 1998-09-04 2007-12-19 株式会社ルネサステクノロジ 半導体装置の製造方法
US6140652A (en) * 1998-09-09 2000-10-31 Intersil Corporation Device containing sample preparation sites for transmission electron microscopic analysis and processes of formation and use
US6566757B1 (en) * 1998-11-30 2003-05-20 Intel Corporation Stabilization of low dielectric constant film with in situ capping layer
JP3259704B2 (ja) * 1998-12-30 2002-02-25 日本電気株式会社 半導体装置の製造方法
US6166427A (en) * 1999-01-15 2000-12-26 Advanced Micro Devices, Inc. Integration of low-K SiOF as inter-layer dielectric for AL-gapfill application
US6394109B1 (en) * 1999-04-13 2002-05-28 Applied Materials, Inc. Method and apparatus for removing carbon contamination in a sub-atmospheric charged particle beam lithography system
US6447891B1 (en) * 1999-05-03 2002-09-10 Guardian Industries Corp. Low-E coating system including protective DLC
US6821571B2 (en) * 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US6423384B1 (en) * 1999-06-25 2002-07-23 Applied Materials, Inc. HDP-CVD deposition of low dielectric constant amorphous carbon film
US6508911B1 (en) * 1999-08-16 2003-01-21 Applied Materials Inc. Diamond coated parts in a plasma reactor
US6313896B1 (en) * 1999-08-31 2001-11-06 International Business Machines Corporation Method for forming a multi-domain alignment layer for a liquid crystal display device
US6300631B1 (en) * 1999-10-07 2001-10-09 Lucent Technologies Inc. Method of thinning an electron transparent thin film membrane on a TEM grid using a focused ion beam
US6875687B1 (en) * 1999-10-18 2005-04-05 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
JP4467692B2 (ja) * 1999-12-22 2010-05-26 株式会社半導体エネルギー研究所 太陽電池及びその作製方法
KR20010059284A (ko) * 1999-12-30 2001-07-06 박종섭 반도체 소자의 캐패시터 형성방법
JP2001223384A (ja) * 2000-02-08 2001-08-17 Toshiba Corp 半導体発光素子
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US20020086547A1 (en) * 2000-02-17 2002-07-04 Applied Materials, Inc. Etch pattern definition using a CVD organic layer as an anti-reflection coating and hardmask
JP5121090B2 (ja) * 2000-02-17 2013-01-16 アプライド マテリアルズ インコーポレイテッド アモルファスカーボン層の堆積方法
US6795636B1 (en) * 2000-03-05 2004-09-21 3M Innovative Properties Company Radiation-transmissive films on glass articles
JP3415551B2 (ja) * 2000-03-27 2003-06-09 日本電気株式会社 半導体装置の製造方法
JP2002194547A (ja) * 2000-06-08 2002-07-10 Applied Materials Inc アモルファスカーボン層の堆積方法
US20020003239A1 (en) * 2000-06-28 2002-01-10 Motorola, Inc. Semiconductor structure and device including a carbon film and method of forming the same
US6710389B2 (en) * 2001-02-09 2004-03-23 Matsushita Electric Industrial Co., Ltd. Semiconductor memory device with trench-type stacked cell capacitors and method for manufacturing the same
US6551941B2 (en) * 2001-02-22 2003-04-22 Applied Materials, Inc. Method of forming a notched silicon-containing gate structure
DE10153310A1 (de) 2001-10-29 2003-05-22 Infineon Technologies Ag Photolithographisches Strukturierungsverfahren mit einer durch ein plasmaunterstützes Abscheideeverfahren hergestellten Kohlenstoff-Hartmaskenschicht diamantartiger Härte
US6741341B2 (en) * 2002-02-04 2004-05-25 Bae Systems Information And Electronic Systems Integration Inc Reentry vehicle interceptor with IR and variable FOV laser radar
US6541397B1 (en) * 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US20030198814A1 (en) * 2002-04-23 2003-10-23 3M Innovative Properties Company Retroreflective sheeting comprising thin continuous hardcoat
US6951709B2 (en) * 2002-05-03 2005-10-04 Micron Technology, Inc. Method of fabricating a semiconductor multilevel interconnect structure
JP4316188B2 (ja) * 2002-05-29 2009-08-19 富士通マイクロエレクトロニクス株式会社 半導体装置及びその製造方法
US6780753B2 (en) * 2002-05-31 2004-08-24 Applied Materials Inc. Airgap for semiconductor devices
US20040011730A1 (en) * 2002-07-18 2004-01-22 Powell James R. AVS slurry feed mechanism
US6653735B1 (en) * 2002-07-30 2003-11-25 Advanced Micro Devices, Inc. CVD silicon carbide layer as a BARC and hard mask for gate patterning
US6764949B2 (en) 2002-07-31 2004-07-20 Advanced Micro Devices, Inc. Method for reducing pattern deformation and photoresist poisoning in semiconductor device fabrication
US6864556B1 (en) * 2002-07-31 2005-03-08 Advanced Micro Devices, Inc. CVD organic polymer film for advanced gate patterning
US6884733B1 (en) * 2002-08-08 2005-04-26 Advanced Micro Devices, Inc. Use of amorphous carbon hard mask for gate patterning to eliminate requirement of poly re-oxidation
US6875664B1 (en) * 2002-08-29 2005-04-05 Advanced Micro Devices, Inc. Formation of amorphous carbon ARC stack having graded transition between amorphous carbon and ARC material
US6803313B2 (en) * 2002-09-27 2004-10-12 Advanced Micro Devices, Inc. Method for forming a hardmask employing multiple independently formed layers of a pecvd material to reduce pinholes
US6649469B1 (en) * 2002-10-11 2003-11-18 Micron Technology, Inc. Methods of forming capacitors
US6787452B2 (en) * 2002-11-08 2004-09-07 Chartered Semiconductor Manufacturing Ltd. Use of amorphous carbon as a removable ARC material for dual damascene fabrication
US6825114B1 (en) * 2003-04-28 2004-11-30 Advanced Micro Devices, Inc. Selective stress-inducing implant and resulting pattern distortion in amorphous carbon patterning
US6713802B1 (en) * 2003-06-20 2004-03-30 Infineon Technologies Ag Magnetic tunnel junction patterning using SiC or SiN
US7129180B2 (en) * 2003-09-12 2006-10-31 Micron Technology, Inc. Masking structure having multiple layers including an amorphous carbon layer
US7132201B2 (en) 2003-09-12 2006-11-07 Micron Technology, Inc. Transparent amorphous carbon structure in semiconductor devices
US6980358B2 (en) * 2003-09-29 2005-12-27 Coherent, Inc. Turning prism for ultraviolet radiation

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7910443B2 (en) 2006-12-27 2011-03-22 Hynix Semiconductor Inc. Method involving trimming a hard mask in the peripheral region of a semiconductor device
US8921189B2 (en) 2006-12-27 2014-12-30 Hynix Semiconductor Inc. Method for fabricating semiconductor device
CN103210480A (zh) * 2010-10-05 2013-07-17 应用材料公司 超高选择性的掺杂非晶碳可剥除硬掩模的开发与集成

Also Published As

Publication number Publication date
US7132201B2 (en) 2006-11-07
TWI262551B (en) 2006-09-21
TW200518209A (en) 2005-06-01
JP2007505497A (ja) 2007-03-08
US7220683B2 (en) 2007-05-22
KR20060057010A (ko) 2006-05-25
US20050056835A1 (en) 2005-03-17
US20060003237A1 (en) 2006-01-05
US20060244086A1 (en) 2006-11-02
US20060008741A1 (en) 2006-01-12
KR100766755B1 (ko) 2007-10-15
US20060022247A1 (en) 2006-02-02
WO2005034229A1 (en) 2005-04-14
US20050059262A1 (en) 2005-03-17
EP1668684A1 (en) 2006-06-14
US7298024B2 (en) 2007-11-20
CN100530561C (zh) 2009-08-19

Similar Documents

Publication Publication Date Title
CN1879201A (zh) 半导体器件中的透明非晶碳结构
CN1879196A (zh) 包括无定形碳层的掩模结构
US7312158B2 (en) Method of forming pattern
US8916472B2 (en) Interconnect formation using a sidewall mask layer
CN1230878C (zh) 半导体装置及其制备方法
CN1384539A (zh) 半导体元件的电容器及其制造方法
US9978634B2 (en) Method for fabricating shallow trench isolation and semiconductor structure using the same
CN1835208A (zh) 制造半导体器件的方法
JP2002524849A (ja) ナノ細孔シリカの機械的強度を最適化する方法
CN1959955A (zh) 形成与半导体晶片上的布线层相关联的电隔离的方法
CN104377189A (zh) 具有侧壁层和超厚金属层的集成电路及其制造方法
CN1447390A (zh) 半导体器件及其制造方法
US6124218A (en) Method for cleaning wafer surface and a method for forming thin oxide layers
CN1725452A (zh) 半导体装置及其制造方法
CN112151511A (zh) 一种半导体结构及其制备方法
US6291329B1 (en) Protective oxide buffer layer for ARC removal
KR0154195B1 (ko) 반도체 소자의 전하저장전극 형성방법
CN1941294A (zh) 开口的形成方法以及接触窗的形成方法
CN101064245A (zh) 硬掩模层与半导体元件的制造方法
CN1909212A (zh) 不需要poly2的用于掩埋条形窗形成的凹陷环状蚀刻
TW202310043A (zh) 用於閘極保護的犧牲閘極帽蓋層
JP2006190874A (ja) 半導体装置の製造方法
CN1536647A (zh) 一种增加沟槽电容器的电容的方法
KR20030092536A (ko) 시스템 온 칩 소자의 제조방법
KR20050052874A (ko) 반도체 소자의 캐패시터 형성방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant