CN1918551A - 用于存储器模块的动态命令和/或地址镜像系统和方法 - Google Patents

用于存储器模块的动态命令和/或地址镜像系统和方法 Download PDF

Info

Publication number
CN1918551A
CN1918551A CNA200580004078XA CN200580004078A CN1918551A CN 1918551 A CN1918551 A CN 1918551A CN A200580004078X A CNA200580004078X A CN A200580004078XA CN 200580004078 A CN200580004078 A CN 200580004078A CN 1918551 A CN1918551 A CN 1918551A
Authority
CN
China
Prior art keywords
memory
terminals
address
configuration
storage arrangement
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA200580004078XA
Other languages
English (en)
Other versions
CN100474267C (zh
Inventor
保罗·A·拉伯奇
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Publication of CN1918551A publication Critical patent/CN1918551A/zh
Application granted granted Critical
Publication of CN100474267C publication Critical patent/CN100474267C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C5/00Details of stores covered by group G11C11/00
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/401Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming cells needing refreshing or charge regeneration, i.e. dynamic cells
    • G11C11/4063Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing or timing
    • G11C11/407Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing or timing for memory cells of the field-effect type
    • G11C11/408Address circuits
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/02Addressing or allocation; Relocation
    • G06F12/06Addressing a physical block of locations, e.g. base addressing, module addressing, memory dedication
    • G06F12/0646Configuration or reconfiguration
    • G06F12/0653Configuration or reconfiguration with centralised address assignment
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/14Handling requests for interconnection or transfer
    • G06F13/16Handling requests for interconnection or transfer for access to memory bus
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C5/00Details of stores covered by group G11C11/00
    • G11C5/02Disposition of storage elements, e.g. in the form of a matrix array
    • G11C5/04Supports for storage elements, e.g. memory modules; Mounting or fixing of storage elements on such supports
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C8/00Arrangements for selecting an address in a digital store
    • G11C8/18Address timing or clocking circuits; Address control signal generation or management, e.g. for row address strobe [RAS] or column address strobe [CAS] signals

Abstract

一种存储器模块包括存储器集线器,该存储器集线器将信号传递给安装在存储器模块基片相对的第一和第二表面上的存储器装置。这些存储器装置以镜像配置安装,其中相对表面上存储器装置的镜像端子互连。安装在每一模块上的存储器集线器根据正在访问的是所述基片第一表面上的存储器装置还是所述基片第二表面上的存储器装置,改变传递给所述存储器装置的地址和/或命令信号的所述配置。连接到镜像的存储器装置的地址和/或命令信号的配置也可以用安装在连接到所述存储器装置的基片上的寄存器改变,或者用直接连接到一个或多个存储器模块上的存储器装置的存储器控制器改变。

Description

用于存储器模块的动态命令和/或地址镜像系统和方法
相关申请的交叉引用
本申请要求2004年2月5日提交的,标题是DYNAMICCOMMAND AND/OR ADDRESS MIRRORING SYSTEM ANDMETHOD FOR MEMORY MODULES的第10/773,518号美国专利申请的优先权,在这里将其结合进来作为参考。
技术领域
本发明涉及基片相对表面上安装有存储器装置的存储器模块,具体而言,涉及基片相对表面上有存储器装置的存储器模块。
背景技术
半导体装置,例如存储器装置,一般是安装在密封封装里的半导体基片或者芯片形式的。芯片上制造的集成电路与能够从封装外面接触到的端子连接。能够从外面接触到的这些端子可以采取多种形式,例如沿着集成电路封装的相对侧面向外然后向下伸出的针,以及在集成电路封装底部排列成一组的连接盘,人们将它叫做球栅阵列,或者“BGA”结构。
集成电路封装能够从外部接触到的每个端子一般都与一种特定功能相联系。例如,在集成存储器装置中,能够从外部接触到的第一组端子是用于相应的存储器地址位A0~AN的输入端子,能够从外部接触到的第二组端子是用于相应命令或者状态信号C0~CN的输入端子,例如RAS*、CAS*和时钟信号。能够从外面接触到的第三组端子是用于相应数据位D0~DN的输入/输出端子。最后,能够从外面接触到的第四组端子是预留给电源和地的。
虽然在许多应用中集成电路常常单独使用,但是其它类型的集成电路却大多是成组使用。例如,一般的存储器装置,特别是动态随机存取存储器(DRAM)装置,通常是作为存储器模块的一部分成组使用的。存储器模块一般是绝缘基片形式的,比如印刷电路板,有几个存储器装置安装在基片的一个表面或者两个表面上。导体将存储器装置与一般是用沿着基片边缘延伸的端子形成的连接器连接起来。一种常见存储器模块是单列直插存储器模块,叫做SIMM,它包括沿着基片一个表面或者两个表面延伸的单独一行存储器装置。另一种常见存储器模块是双列直插存储器模块,叫做DIMM,它包括沿着基片一个表面或两个表面延伸的两行存储器装置。
不管是SIMM、DIMM还是一些其它种类,与存储器模块相联系的一种常见现象是对不断增大的存储容量的需求。由于这一原因,安装在基片上的存储器装置的容量,以及对存储器装置寻址所需要的外部能够接触到的端子的数量在不断增加。对增大的存储器容量的需求还增加了对具有更大数量存储器装置的存储器模块的需求。结果,现在的存储器装置通常是安装在存储器模块基片的两个侧面,并且存储器装置之间的间隔不断减小。存储器装置之间减小了的间隔以及增加了的端子数量,使得将导体路由到存储器装置能够从外部接触到的端子变得更加困难。
成功地采用的,将导体路由到紧密布置的存储器装置的大量端子的一种技术,是采用具有上面形成导体的许多层的基片。但是,提供具有许多层的基片价格相对昂贵,紧密布置的许多层会导致不同层上导体之间过分的串扰,以及过大的导体电容。
使得将导体路由到存储器装置端子比较容易的另一种技术是镜像,其中安装在基片一个表面上的每个存储器装置的端子,处于直接与安装在该基片相对表面上的存储器装置的对应端子相对的位置上。这种镜像可以是水平的,其中对应端子在镜像封装相对侧面上的相同位置上;或者是垂直的,其中对应端子在以跨过并且二等分镜像封装方式延伸的一条线上、下的相同位置上。在这两种情况下,镜像都具有如下优点:允许导体延伸到基片上的单个相应位置,然后连接到这个位置处基片每个表面上的相应端子。值得注意的是,没有必要将连接到基片一个表面上集成电路一个端子的导体,路由到用于连接这个基片另一表面上集成电路的对应端子的不同位置。
虽然存储器装置镜像具有允许将导体更加紧凑地路由到存储器装置的优点,但是它不是没有缺点。为了实现存储器镜像,必须开发两种不同的集成电路封装,以至这两种封装的对应端子互成镜像。理论上讲,这两种不同封装可以使用同样的集成电路芯片,但是实际上,这样做并不总是可行的。特别是,基片一个表面上的集成电路与这个基片相对表面上的集成电路,用同样的方式对信号做出响应是重要的。为了让这些电路用同样的方式做出响应,两个电路对应信号路径的长度相同是重要的。不仅是有时很难将信号线从一个电路节点路由到两个不同端子之一,这样做还会给端子和电路节点之间的信号路径带来不需要的短线连接(stub connection)。这种短线连接会产生信号反射,这种反射会降低集成电路的性能。因为这一原因,可能有必要制造互成镜像的两种不同的集成电路芯片,放置在相应的镜像封装中。对开发和库存两种不同集成电路封装的需要,即使可以将同样的芯片用于两种封装,也会显著地增加镜像集成电路的成本。
为了缓解上述镜像集成电路问题,开发了可编程集成电路。参考图1,集成电路存储器装置10包括大量端子,虽然图中只示出了RAS和CAS信号的端子12、14。RAS和CAS信号是水平镜像的,就像前面说明的一样。端子12、14分别连接到两个多路复用器16、18的相应输入端。多路复用器16的输出连接到RAS信号节点20,多路复用器18的输出连接到CAS信号节点22。多路复用器16、18由连接到预定位置处的外部端子26的一条信号线控制。端子12连接到多路复用器16的第一输入和多路复用器18的第二输入。端子14连接到多路复用器16的第二输入和多路复用器18的第一输入。结果,施加在端子26上的低电平引起端子12连接到RAS信号节点20,端子14连接到CAS信号节点22。施加在端子26上的高电平引起端子12连接到CAS信号节点22,端子14连接到RAS信号节点20。
在工作的时候,集成电路存储器装置中的两个10a、b安装在基片的相对表面上,分别如图2A和2B所示。结果,RAS信号被传递到存储器装置10a的端子12上和存储器装置10b的端子14上。CAS信号被传递到存储器装置10a的端子14上和存储器装置10b的端子12上。但是,存储器装置10a的端子26连接到地电势上,存储器装置10b的端子26连接到电源电压上。因此,多路复用器16(图1)将RAS信号传递到两个存储器装置10a、b的RAS信号节点20,多路复用器18将CAS信号传递到存储器装置10a、b的CAS信号节点22。
参考图1和2A、B所描述的技术具有以下优点:允许利用安装在基片相对侧面的单个集成电路形成镜像,避免上面提到的使用两种不同集成电路的许多缺点。但是,使用内部路由选择电路的镜像,例如图1所示的多路复用器16、18,具有以下缺点:要求每个端子的路由选择电路都制造在半导体基片上,从而使用可以用于集成电路本身的区域。结果,路由选择电路的使用会显著地增加存储器装置的成本,特别是考虑到存储器装置中存在的需要路由选择电路的大量端子,以及许多系统中包括的大量存储器装置。路由选择电路还会给传递RAS和CAS信号到它们的相应节点20、22带来不需要的延迟。
当存储器模块包括存储器集线器或者寄存器,信号通过它们来往于存储器装置的时候,将导体路由到存储器模块中的存储器装置会出现另一个问题。如图3所示,存储器模块30包括安装在基片34上的存储器集线器32。这个存储器模块30还包括安装在基片34上的多个存储器装置,图3示出了其中的两个38、40。在图3所示的存储器模块30里,存储器集线器32发送和收到的每个信号都在连接到基片34左边的存储器装置38的第一组端子,和连接到基片34的右边的存储器装置40的第二组端子上发送和接收。存储器集线器发送的信号之一,即A0地址位,在图3中示出,这个地址位被连接到存储器装置38、40相应位置上的端子。但是,由于A0端子位于两个存储器装置38、40的左边,到左边存储器装置38的A0端子的路径比到右边存储器装置40的A0端子的路径长。结果,两个存储器装置38、40的性能可能不对称。在存储器和有寄存器的存储器模块的一个寄存器(没有示出)之间传递信号的时候,存在类似的问题。
在传递信号到象存储器装置这种集成电路以及从象存储器装置这种集成电路将信号传递出来的时候碰到的上述困难,产生了对镜像技术的需要,这种镜像技术允许将单个集成电路安装在基片的相对表面,位置对应的端子连接在一起,并且在每个存储器装置中这样做都不需要内部路由选择电路。
发明内容
一方面,本发明的存储器模块包括绝缘基片;在绝缘基片上,多个相同的存储器装置安装在绝缘基片第一和第二相对表面上。存储器装置以镜像配置安装在基片上。结果,安装在第一表面上的每一个存储器装置的多个端子,与安装在第二表面上的相应存储器装置的各自对应位置上的端子互连。将地址和命令信号传递给这些互连的端子。如果正在访问安装在基片第一表面上的存储器装置,就将地址信号、命令信号或者地址和命令信号传递给第一配置中的多个存储器装置的互连端子。相反,如果正在访问基片第二表面上安装的存储器装置,就将这些信号传递给第二配置中的多个存储器装置的多个互连端子。可以将一个或多个存储器模块中的存储器装置连接到按照上述方式改变信号配置的存储器控制器。也可以由每个存储器模块上安装的存储器集线器或寄存器来改变信号的配置。
附图说明
图1是能够以镜像方式用于存储器模块的传统集成存储器装置的框图;
图2A和2B是说明安装在存储器模块基片相对表面上的时候,图1所示存储器装置的一些信号的走向的端子图;
图3是包括存储器集线器的传统存储器模块的一个平面图,该存储器集线器与该存储器集线器相对侧面上的存储器装置连接;
图4是包括本发明一个实施例中几个存储器模块的计算机系统的一个框图;
图5是可以用于图4所示计算机系统的存储器控制器的一个实施例框图;
图6是本发明中计算机系统的一个实施例框图;
图7是本发明中计算机系统的另一个实施例的框图。
具体实施方式
在图4中示出本发明一个实施例中的计算机系统50。计算机系统50包括处理器54,用于完成各种计算功能,例如执行专用软件来完成特殊计算或者任务。处理器54包括处理器总线56,处理器总线56正常情况下包括地址总线、控制总线和数据总线。典型情况下处理器总线56与高速缓冲存储器58连接,这种高速缓冲存储器58典型情况下是静态随机存取存储器(SRAM)装置。最后,处理器总线56与系统控制器60连接,有时也将系统控制器60叫做总线桥。
系统控制器60包括与处理器54连接的存储器集线器控制器62。存储器集线器控制器62还与几个存储器模块64a~n连接,存储器模块64a~n通过下游总线66和上游总线68互相连接,这些总线将数据、地址和/或控制信号传递给存储器集线器控制器62或者从存储器集线器控制器62传递出来。存储器模块64a~n中的每一个都包括安装在基片78上的存储器集线器76。存储器集线器76通过第一组命令、地址和数据总线82与存储器模块64一个侧面上的几个存储器装置80a、b连接,通过第二组命令、地址和数据总线88与存储器模块64另一个侧面上的几个存储器装置86a、b连接。存储器装置80a、b和86a、b互相相同。存储器集线器76在存储器集线器控制器62和存储器装置80、86之间有效地路由存储器请求和响应。采用这种体系结构的计算机系统能够有更高的带宽,因为处理器54可以访问一个存储器模块64a~n,而另一个存储器模块64a~n则在对先前的存储器访问做出响应。例如,处理器54可以输出写数据给系统中存储器模块64a~n之一,而系统中的另一个存储器模块64a~n则在准备提供读数据给处理器54。采用存储器集线器体系结构的计算机系统的工作效率能够使它更适合于大幅度地提高存储器系统的数据带宽。存储器集线器体系结构还能在计算机系统中提供大幅度提高的存储器容量。
系统控制器60还为各种其它组件充当到处理器54的通信路径。更加具体地说,系统控制器60包括通常与图形控制器90连接的图形端口,而这个图形控制器90则与视频终端92连接。系统控制器60还与一个或多个输入装置94连接,例如键盘或鼠标,以便允许操作员与计算机系统50对接。典型情况下,计算机系统50还包括通过系统控制器60与处理器54连接的一个或多个输出装置96,例如打印机。一个或多个数据存储装置98通常还通过系统控制器60与处理器54连接,以便允许处理器54将数据存入内部或者外部存储介质(未示出)或者从内部或外部存储介质提取出来。典型存储装置98的实例包括硬盘、软盘、盒式磁带以及光盘只读存储器(CD-ROM)。
进一步参考图4,存储器装置80a和86a安装在基片78的第一表面100a上,存储器装置80b和86b安装在基片78的第二表面100b上。存储器装置80a、86a优选安装成与存储器装置80b、86b分别直接相对,它们的相邻端子互相连接,并且分别与总线82、88的信号线连接。结果,例如,位于存储器装置80a之一左上角的端子与位于相对的存储器装置80b右上角的一个对应位置的端子连接。
存储器装置80、86的端子优选这样布局,使得存储器装置80a、86a的数据总线端子分别与基片78相对表面上存储器装置80b、86b的数据总线端子连接。因此,将施加在存储器装置互联端子上的数据信号,作为与写入安装在第二表面100b上的存储器装置80b、86b的数据位不同的数据位,写入安装在第一表面100a上的存储器装置80a、80b。例如,可以将数据位D1的数据信号作为D1位写入存储器装置80a,作为D15位写入存储器装置80b。但是,从存储器装置80a和80b读取同样的数据信号时,这个数据信号将被传递到D1数据位的信号线,尽管这个数据信号是从存储器装置80b的D15位读取出来的。因此,假设数据位连接的所有数据总线端子都与同样的数据选通信号相联系,只要存储器装置80a、86a的数据总线端子分别与存储器装置80b、86b的数据总线端子连接,数据就会被正确地写入存储器装置80、86,并且从中读出。
与存储器装置80、86的数据总线端子不同,存储器装置80、86的地址和控制总线端子是不可交换的。因此,传递到存储器装置80a的一个端子的地址信号不能简单地传递到相对的存储器装置80b相对位置处的端子。取而代之,每个地址和控制信号必须传递到每个存储器装置80、86的一个特殊端子上,而不管存储器装置的位置。不是采用传统方法利用具有不同端子配置的不同存储器装置,或者将信号传递到合适的信号节点,而是根据正在访问哪些存储器装置80、86,通过存储器集线器76将不同的信号传递给总线82、88中同样的那些线,将地址和控制信号传递到存储器装置80、86的合适端子。例如,如果存储器装置80a的A0地址位被连接到相对存储器装置80b的A10地址位,那么,如果存储器80a被寻址,存储器集线器76会将位A0的地址信号传递到总线82的一条专用信号线;如果存储器80b被寻址,则可以将位A10的地址信号传递到总线82的同一条信号线。相反,如果存储器80a被寻址,存储器装置会将位A0的地址信号传递到总线82的一条信号线;如果存储器装置80b被寻址,则会将位A0的的地址信号传递到总线82的另一条信号线。根据是访问存储器装置86a还是86b,还将不同的地址和控制信号传递到总线88的同样的线路。
存储器装置80、86可以是每一种都工作于两种模式之一的两种存储器装置之一。此外,根据存储器装置80、86所采用的工作模式,存储器装置80、86具有不同的端子分配。例如,如同本领域里的技术人员所了解的一样,工作在叫做“DDR2”模式的一种双数据率(DDR)模式的DRAM存储器装置,采用一组端子分配,工作在叫做“DDR3”模式的另一种DDR模式的DRAM存储器装置,采用另一组端子分配。例如,A5地址位连接到工作于DDR2模式的存储器装置的同一个端子会接收工作在DDR3模式的存储器装置的A12地址位。在每一种情况下,存储器集线器76会将适当的信号传递到每一条总线82、88的每一条信号线,根据以下情况而变:(1)存储器装置80、86是工作在DDR2模式的那种类型还是工作在DDR3模式的那种类型;(2)存储器装置80、86是在存储器集线器76的左边并且通过总线82连接到集线器76,还是在存储器集线器76的右边并且通过总线88连接到集线器76;以及(3)存储器装置80、86是安装在基片78的第一表面100a还是安装在基片78的第二表面100b。
在本发明的一个实施例中,存储器集线器76会根据下面的表1将信号传递到存储器装置80、86,在这个表中,A0~A16是行、列地址,BA0~BA3是组地址,CASZ是有源下栏地址选通信号,CSZ0和CSZ1是有源下芯片选择信号,分别用来选择第一表面100a和第二表面100b上的存储器装置80、86,ODT0和ODT1是一些信号,RASZ是有源下行地址选通信号,并且WEZ是有源下写允许信号。
                           表1
  集线器左边端子位置   集线器右边端子位置   82a,86aDDR2CSo   82b,86bDDR2CS1   82a,86aDDR3CSo   82b,86bDDR3CS1
  C5   C27   A0   A10   A3   A0
  C11   B21   A1   A2   A6   A5
  C6   C26   A2   A1   BA3   BA2
  C12   B20   A3   A4   A2   A1
  B5   B27   A4   A3   A1   A2
  B11   A21   A5   A6   A12   A13
  B6   B26   A6   A5   A5   A6
  B12   C19   A7   A8   A7   A8
  A5   B29   A8   A7   A8   A7
  A13   A19   A9   A11   A9   A11
  D12   C21   A10   A0   BA2   BA3
  A4   A29   A11   A9   A11   A9
  A12   A20   A12   A13   A4   A14
  A6   A27   A13   A12   A13   A12
  A11   A22   A14   A15   A15   A15
  A7   A28   A15   A14   A14   A4
  A10   A23   A16   A16   A16   A16
  D7   D27   BA0   BA0   BA1   BA0
  E13   D20   BA1   CASZ   A10   WEZ
  E7   E25   BA2   BA2   CSZ1   CSZ1
  F12   F22   BA3   BA3   ODT1   ODT1
  D8   D26   CASZ   BA1   CASZ   RASZ
  D6   D28   CSZ0   CSZ0   WEZ   A10
  D11   D21   CSZ1   CSZ1   BA0   BA1
  C9   E28   ODT0   ODT0   ODT0   ODT0
  D13   C20   ODT1   ODT1   A0   A3
  F9   E22   RASZ   WEZ   CSZ0   CSZ0
  E10   D22   WEZ   RASZ   RASZ   CASZ
在一个实施例中,存储器集线器76具有可以外部访问的端子,排列成栅格,每个端子的位置都由指定端子的行的一个字母以及指定端子的列的一个数字指定。例如,A1是位于存储器集线器76左上角的一个端子,F29是位于存储器集线器76右下角的一个端子。通过总线82连接到存储器装置80的这些端子的位置列在表1的第一栏中,通过总线88连接到存储器装置86的这些端子的位置列在表1的第二栏中。访问基片78第一表面100a上的存储器装置82a、86a,并且装置82a、86a属于工作在DDR2模式中的类型的时候,施加在存储器装置76的这些端子上的信号列在表1的第三栏中。正在访问第二表面100b上的存储器装置82b、86b,并且装置82b、86b属于工作在DDR2模式的类型的时候,施加在存储器集线器76的端子上的信号列在表1的第四栏中。存储器装置82a、86a属于工作在DDR3模式的类型并且正被访问的时候,施加在存储器集线器76的端子上的信号列在表1的第五栏中。最后,存储器装置82b、86b属于工作在DDR3模式的类型并且正被访问的时候,施加在存储器集线器76的端子上的信号列在表1的第六栏中。
例如,正在访问存储器装置80a,并且它们属于工作在DDR2模式的类型的时候,存储器集线器76连接到总线82一条信号线的C5端子,接收A0地址位;正在访问存储器装置80a,并且它们属于工作在DDR3模式的类型的时候,它接收A3地址位。如果正在访问存储器装置86a,那么正在访问存储器装置86a并且它们属于工作在DDR2模式的类型的时候,存储器集线器76连接到总线88一条信号线的C27端子接收A0地址位;正在访问存储器装置80a并且它们属于工作在DDR3模式的类型的时候,接收A3地址位。如果正在访问存储器装置80b,那么存储器装置80b属于工作在DDR2模式的类型的时候,存储器集线器76连接到总线82一条信号线的C5端子,接收A10地址位;正在访问存储器装置80b,并且它们属于工作在DDR3模式的类型的时候,接收A0地址位。如果正在访问存储器装置86b,那么存储器装置80b属于工作在DDR2模式的类型的时候,存储器集线器76连接到总线88一条信号线的C27端子,接收A10地址位;正在访问存储器装置80b,并且它们属于工作在DDR3模式的类型的时候,接收A0地址位。
根据存储器装置80、86是属于工作在DDR2还是DDR3模式的类型,将信号传递到存储器装置80、86的端子是静态的,因为在存储器装置80、86的工作过程中它不改变。但是,从存储器集线器76左边一组端子A1~F13到存储器装置80,或者从存储器集线器76右边一组端子A19~29到存储器装置86的信号的传递则是动态的,因此访问存储器装置80、86的时候快速改变。
虽然地址和多数控制信号必须动态镜像,但是在一个实施例中,可以将一些控制信号施加到存储器装置80、86多个动态放置的端子,从而获得动态镜像。例如,将第一时钟允许CKE信号施加到存储器装置80的一个端子,将第二时钟允许CKE信号施加到存储器装置86的一个端子,而不管存储器装置80、86是属于工作在DDR2还是DDR3模式的类型的。不管正在对存储器装置80、86中的哪一个寻址,被寻址的存储器装置会在适当的端子上收到CKE信号。一个实施例中,不需要动态镜像的信号,以及将那些信号施加到上面的存储器集线器76的端子的位置,列在下面的表2中。
                           表2
  集线器左边端子位置   集线器右边端子位置   82a、86aDDR2CS0   82b、86bDDR2CS1   82a、86aDDR3CS0   82b、86bDDR3CS1
  D9   E19   CKE0   CKE0   CKE0   CKE0
  F6   E29   CKE1   CKE1   CKE1   CKE1
  F8   F26   CLK0   CLK0   CLK0   CLK0
  G11   G24   CLK1   CLK1   CLK1   CLK1
  F7   F25   CLKZ0   CLKZ0   CLKZ0   CLKZ0
  G12   G23   CLKZ1   CLKZ1   CLKZ1   CLKZ1
  F13   复位   复位
图4所示存储器模块64a~n中使用的存储器集线器76包括存储器控制器,图5示出了能够使用的存储器控制器200的一个实施例。简而言之,存储器控制器200接收高级宏命令,例如ACTIVATE ROW(激活行)、COLUMN(列)和PRECHARGE(预充电),将这些命令转换成DRAM命令,协调这些DRAM命令在适当的时候输出,并且将协调后的DRAM命令传递到存储器集线器76的正确端子,如同前面所描述的一样。参考图5,存储器控制器200包括从存储器集线器控制器62(图4)接收高级宏命令的命令队列204。命令队列204将收到的宏命令翻译成DRAM命令信号,例如RASZ、CASZ、WEZ等,按照收到其对应宏命令的顺序将这些命令信号放在一个队列中,然后按照适当的顺序输出这些命令。命令队列204还接收地址信号,这些地址信号按照收到它们的顺序放在一个队列里,随后按照适当的顺序输出它们。从命令队列204输出的命令和地址信号是表1和2中列出的命令和地址信号。
将来自命令队列204的DRAM命令信号和地址信号施加给命令协调器210,这个命令协调器210用适当的延迟将这些命令和地址信号互相分隔开。这个延迟是用时钟CLK信号的周期测量的,这个时钟CLK信号也被施加到命令协调器210。例如,命令协调器210可以将CASZ协调成在从命令协调器210输出RASZ信号3个时钟周期以后输出。从命令协调器210输出命令和地址信号的时候,将它们储存在微命令移位器214中,这个移位器214基本上是CLK信号驱动的移位寄存器。按照适当的顺序以适当的间隔将命令和地址信号储存在命令移位器214中,因为它们被按照这种方式从命令协调器210移到命令协调器214中。
响应CLK信号,将时序和顺序适当的命令和地址信号从命令移位器214移出的时候,将它们施加到多路复用器220的一个输入端。多路复用器220还在第二输入端直接从命令队列204接收DRAM命令和地址信号。在工作过程中,当存储器装置80、86空闲的时候,多路复用器220将命令和地址信号按照收到这些信号的原样,直接从命令移位器214传递到它的输出端。结果,避免了在通过命令协调器210和微命令移位器214传递的过程中会出现的延迟损失。将初始命令和地址信号传递到多路复用器220的输出端以后,多路复用器220选择微命令移位器214的输出用于传递到它的输出端。
将多路复用器220输出端的命令和地址信号施加到通过总线232传递到多路复用器230的CONFIG(配置)命令控制的信号交换多路复用器230。这个CONFIG命令表明存储器装置80、86是属于工作在DDR2还是DDR3模式的类型。多路复用器230还受CSZ0和CSZ1信号控制,这些信号表明正在访问的是第一表面100a上的存储器装置80a、86a还是第二表面100b上的存储器装置80b、86b。可以用按照对本领域技术人员而言显而易见的方式,排列成矩阵的大量单个多路复用器来实现信号交换复用器230。信号交换多路复用器230将命令和地址信号路由到表1和2所示的存储器集线器76的那些端子。
将适当路由和时序适当的命令和地址信号从信号交换多路复用器230传递到环形缓冲器240、242。环形缓冲器240通过总线82(图4)连接到存储器模块64左手边一侧上的存储器装置80,环形缓冲器242通过总线88连接到存储器模块64右手边一侧上的存储器装置86。环形缓冲器240、242中的每一个基本上都是施加在存储器装置80、86上的时钟信号CLK0、CLK1、CLKZ0或者CLKZ1之一驱动的先入先出缓冲器。因此,从环形缓冲器240、242将命令和地址信号移出,与存储器装置80、86的工作同步地施加到存储器集线器76的端子上。
虽然可以将存储器控制器200用于图4所示计算机体系结构中的存储器集线器76中,但是也可以将它用作图6所示计算机系统300中的独立存储器控制器。计算机系统300使用图4的计算机系统50中使用的许多同样的组件。因此,为了简单起见,给这些组件提供了同样的标号,并且不重复描述它们的工作过程。计算机系统300与计算机系统50之间的不同之处在于计算机系统300使用不包括存储器集线器的存储器模块310。每个存储器模块310中的存储器装置80、86也可以通过存储器总线320直接连接到系统控制器60中的存储器控制器200。根据正在访问的是存储器装置80a、86a、80b还是86b,以及存储器装置80、86是属于工作在DDR2模式还是DDR3模式的类型,存储器控制器200将表1和2所示的命令和地址信号传递给总线320的信号线,就象上面描述的以及表1所示的一样。
在图7中示出本发明计算机系统400的另一个实施例。计算机系统400还使用图4和6分别所示的计算机系统50和300中所使用的许多相同组件,并且不重复对这些组件工作过程的描述。计算机系统400不同于计算机系统300的是使用具有寄存器420将命令和地址信号传递到存储器装置80、86的存储器模块410。有寄存器的存储器模块在本领域里是众所周知的。根据正在访问的是存储器装置80a、86a、80b还是86b,以及存储器装置80、86是属于工作在DDR2模式还是DDR3模式的类型,计算机系统400使用系统控制器60中可以按照存储器控制器200的方式工作的存储器控制器430,将命令和地址信号施加给寄存器420。根据正在访问的是存储器装置80a、86a、80b还是86b,以及存储器装置80、86是属于工作在DDR2模式还是DDR3模式的类型,存储器控制器420也可以按照传统方式工作,并且可以将类似于图5所示信号交换多路复用器230的电路包括在每个寄存器420中,以便将命令和地址信号施加到存储器装置80、86。其它的变化对于本领域技术人员而言是显而易见的。
本发明的各个实施例具有以下优点:在存储器控制器级别上而不是在每个存储器装置级别上,将适当的命令和地址信号路由给存储器装置80、86。结果,实现这一功能所需要的电路数量明显地少于这些电路在每个存储器装置80、86中的情形。这样,各个实施例允许利用基片两个表面以及基片左右侧面的单个存储器装置进行镜像。由此可见,虽然已经为了进行说明描述了本发明的具体实施例,但是本领域里的技术人员会明白可以对它们进行各种修改而不会偏离本发明的精神和范围。例如,不是采用有寄存器的存储器模块,而是仅仅在每个存储器模块上使用象信号交换多路复用器230一样的电路。因此,本发明不受限制,除了后面的权利要求所限定的以外。

Claims (40)

1.一种访问多个存储器装置的方法,在所述多个存储器装置中,所述多个存储器装置的第一个的多个端子与所述多个存储器装置的第二个的对应的多个端子互连,其方式使得所述第一和第二存储器装置响应施加给所述互连端子的相应地址或控制信号实现不同的功能,该方法包括:
如果正在访问所述第一存储器装置,按照第一组端子分配施加控制或者地址信号给所述互连的多个端子;以及
如果正在访问所述第二存储器装置,按照第二组端子分配施加控制或者地址信号给所述互连的多个端子,这第二组端子分配至少部分地不同于所述第一组端子分配。
2.如权利要求1所述的方法,其中所述第一和第二端子分配的不同的部分包括地址端子分配。
3.如权利要求1所述的方法,其中所述第一和第二端子分配的不同的部分包括控制端子分配。
4.如权利要求1所述的方法,还包括施加多个数据信号给所述互连的多个端子,并且其中按照公共组端子分配将所述多个数据信号施加给所述互连的多个端子,而不考虑是在访问所述第一存储器装置还是所述第二存储器装置。
5.如权利要求1所述的方法,其中所述存储器装置包括动态随机存取存储器装置。
6.一种施加地址和控制信号给多个相同存储器装置的方法,其中所述多个存储器装置的第一个的多个端子按照镜像配置与所述多个存储器装置的第二个的对应多个端子互连,该方法包括:
如果正在访问所述第一存储器装置,施加一组控制信号或者一组地址信号给第一布局中所述互连的多个端子;以及
如果正在访问所述第二存储器装置,施加一组控制信号或者一组地址信号给第二布局中所述互连的多个端子,所述第二布局不同于所述第一布局。
7.如权利要求6所述的方法,其中施加一组控制信号或者一组地址信号给互不相同的第一和第二布局中的所述互连的多个端子的步骤包括,施加一组控制信号给互不相同的第一和第二布局中所述互连的多个端子。
8.如权利要求6所述的方法,其中施加一组控制信号或者一组地址信号给互不相同的第一和第二布局中的所述互连的多个端子的步骤包括,施加一组地址信号给互不相同的第一和第二布局中所述互连的多个端子。
9.如权利要求6所述的方法,其中施加一组控制信号或者一组地址信号给互不相同的第一和第二布局中的所述互连的多个端子的步骤包括,施加一组地址信号和控制信号给互不相同的第一和第二布局中所述互连的多个端子。
10.如权利要求6所述的方法,还包括施加多个数据信号给公共布局中的所述互连的多个端子,而不考虑是在访问所述第一存储器装置还是在访问所述第二存储器装置。
11.如权利要求6所述的方法,其中所述多个存储器装置包括动态随机存取存储器装置。
12.一种施加地址或控制信号给多个相同的存储器装置的方法,所述多个相同的存储器装置以镜像配置安装在存储器模块基片的第一和第二表面上,从而使所述第一表面上安装的多个存储器装置的每一个的多个端子与安装在所述第二表面上的多个存储器装置中相应一个的各自对应位置上的多个端子互连,该方法包括:
如果正在访问安装在所述基片第一表面上的存储器装置,就将地址或控制信号传递给第一配置中多个存储器装置的所述互连的多个端子;以及
如果正在访问安装在所述基片第二表面上的多个存储器装置,就将地址或控制信号传递给不同于所述第一配置的第二配置中多个存储器装置的所述互连的多个端子。
13.如权利要求12所述的方法,其中将地址或控制信号传递给所述第一或第二配置中所述互连的多个端子的步骤包括:
将地址或控制信号传递给所述存储器模块;以及
在所述存储器模块内,在将所述地址或控制信号传递给所述互连的多个端子之前,将传递给所述存储器模块的所述多个信号重新布局给所述第一配置或者所述第二配置。
14.如权利要求13所示的方法,其中将传递给所述存储器模块的所述多个信号重新布局给所述第一配置或者所述第二配置的步骤包括,将构造成独立地访问所述多个存储器装置的存储器集线器中的所述多个信号重新布局。
15.如权利要求12所述的方法,其中将地址或控制信号传递给第一或第二配置中多个存储器装置的所述互连的多个端子的步骤包括,将多个地址信号传递给第一或第二配置中所述互连的多个端子。
16.如权利要求12所述的方法,其中将地址或控制信号传递给第一或第二配置中多个存储器装置的所述互连的多个端子的步骤包括,将多个控制信号传递给第一或第二配置中所述互连的多个端子。
17.如权利要求12所述的方法,还包括将多个数据信号施加给公共配置中的所述互连的多个端子,而不管正在访问的存储器装置是安装在所述基片的所述第一表面还是所述基片的所述第二表面上。
18.如权利要求12所述的方法,其中所述存储器装置包括动态随机存取存储器装置。
19.一种存储器模块,包括:
绝缘基片;
安装在所述绝缘基片第一和第二相对表面上的多个相同存储器装置,所述多个存储器装置以镜像配置安装在所述基片上,从而使安装在所述第一表面上的所述多个存储器装置的每一个存储器装置的多个端子,与安装在所述第二表面上的所述多个存储器装置中相应一个的各自对应位置上的多个端子互连;以及
安装在所述基片上的存储器访问装置,该存储器访问装置具有多个端子,所述多个端子通过所述多个基片导体连接到所述互连的多个端子中相应的一些端子上,所述存储器访问装置用于接收存储器请求,并且作为响应,将地址和控制信号传递给多个所述存储器装置的所述互连的多个端子,如果正在访问安装在所述基片第一表面上的所述多个存储器装置,就将地址或控制信号传递给第一配置中所述互连的多个端子,如果正在访问安装在所述基片第二表面上的所述多个存储器装置,就将所述地址或控制信号传递给与所述第一配置不同的第二配置中所述互连的多个端子。
20.如权利要求19所述的存储器模块,其中所述存储器访问装置安装在所述绝缘基片中心位置,其中所述多个存储器装置位于所述存储器访问装置的两侧,所述存储器访问装置用于将所述第一或第二配置中的相应多组地址或控制信号传递给所述存储器访问装置每一侧上的所述多个存储器装置。
21.如权利要求19所述的存储器模块,其中所述存储器访问装置包括存储器集线器,该存储器集线器被构造成生成所述地址和控制信号,以响应所述存储器请求访问所述存储器装置。
22.如权利要求19所述的存储器模块,其中所述存储器集线器包括:
命令队列,用于接收所述存储器请求,所述存储器队列还用于将所述存储器请求转换成相应的多组命令和地址信号,并且按照收到相应存储器请求的顺序输出所述命令和地址信号;
命令协调器,与所述命令队列连接,以便从所述命令队列接收所述命令和地址信号,该命令协调器安排所述命令和地址信号的时序;
微命令移位器,连接成在已经安排好所述命令和地址信号的时序以后,从所述命令协调器接收所述多个命令和地址信号,该微命令移位器用于与所述存储器装置的工作过程同步地输出所述命令和地址信号;以及
多路复用器,连接到所述微命令移位器,以便从所述微命令移位器接收所述命令信号或所述地址信号,所述多路复用器用于根据正在访问的是所述第一表面上的所述存储器装置还是所述第二表面上的所述存储器装置,将所述命令或地址信号安排成所述第一配置或者所述第二配置,所述多路复用器用于将所述第一配置或所述第二配置中的所述命令或地址信号传递到所述互连的多个端子。
23.如权利要求22所述的存储器模块,还包括连接在所述多路复用器和所述多个存储器装置之间的环形缓冲器。
24.如权利要求19所述的存储器模块,其中所述存储器访问装置包括寄存器,该寄存器被构造成接收和储存形成每一个存储器请求的地址和控制信号,并且将所储存的地址和控制信号传递给所述存储器装置。
25.如权利要求19所述的存储器模块,其中所述存储器装置中的每一个都包括动态随机存取存储器装置。
26.一种基于处理器的系统,包括:
具有处理器总线的处理器;
连接到所述处理器总线的系统控制器,该系统控制器具有外设端口,该系统控制器还包括连接到系统存储器端口的控制器;
至少一个输入装置,连接到所述系统控制器的所述外设端口;
至少一个输出装置,连接到所述系统控制器的所述外设端口;
至少一个数据存储装置,连接到所述系统控制器的所述外设端口;以及
连接到所述系统控制器的所述系统存储器端口的存储器模块,该存储器模块包括:
绝缘基片;
安装在所述绝缘基片第一和第二相对表面上的多个相同存储器装置,所述存储器装置以镜像配置安装在所述基片上,从而使安装在所述第一表面上的所述多个存储器装置中的每一个存储器装置的多个端子与安装在所述第二表面上的所述多个存储器装置相应的一个的各自对应位置上的多个端子互连;以及
一种安装在所述基片上的存储器访问装置,该存储器访问装置具有多个端子,该多个端子通过所述基片导体连接到所述互连的多个端子的相应一些端子上,所述存储器访问装置连接到所述控制器上,以便从所述控制器接收存储器请求,并且作为响应,将地址和控制信号传递给多个所述存储器装置的所述互连的多个端子,如果正在访问安装在所述基片第一表面上的所述多个存储器装置,就将地址或控制信号传递给第一配置中的所述互连的多个端子,如果正在访问安装在所述基片第二表面上的所述多个存储器装置,就将所述地址或控制信号传递到与所述第一配置不同的第二配置中所述互连的多个端子。
27.如权利要求26所述基于处理器的系统,其中所述存储器访问装置位于所述绝缘基片的中心位置,并且其中所述存储器装置位于所述存储器访问装置两侧,所述存储器访问装置用于将所述第一或第二配置中相应多组地址或控制信号传递给所述存储器集线器每一侧上的存储器装置。
28.如权利要求26所述基于处理器的系统,其中所述存储器访问装置包括存储器集线器,该存储器集线器用于响应来自所述控制器的更高级存储器请求,生成所述地址和命令信号。
29.如权利要求28所述基于处理器的系统,其中所述存储器集线器包括:
命令队列,用于接收所述多个存储器请求,所述存储器队列还用于将所述多个存储器请求转换成相应的多组命令和地址信号,并且按照收到相应存储器请求的顺序输出这些命令和地址信号;
命令协调器,与所述命令队列连接,以便从所述命令队列接收所述命令和地址信号,该命令协调器安排所述命令和地址信号的时序;
微命令移位器,连接起来以便在已经安排好所述命令和地址信号的时序以后,从所述命令协调器接收所述命令和地址信号,该微命令移位器用于与所述多个存储器装置的工作过程同步输出命令和地址信号;以及
多路复用器,连接到所述微命令移位器,以便从所述微命令移位器接收所述多个命令信号或所述多个地址信号,所述多路复用器用于根据正在访问的是所述第一表面上的所述存储器装置还是所述第二表面上的所述存储器装置,将所述命令或地址信号安排成所述第一配置或者所述第二配置,所述多路复用器用于将所述第一配置或所述第二配置中的所述命令或地址信号传递到所述互连的多个端子。
30.如权利要求29所述基于处理器的系统,还包括连接在所述多路复用器和所述多个存储器装置之间的环形缓冲器。
31.如权利要求26所述基于处理器的系统,其中所述存储器装置的每一个都包括动态随机存取存储器装置。
32.如权利要求26所述基于处理器的系统,其中所述存储器访问装置包括寄存器,该寄存器用于储存从所述控制器收到的所述地址和命令信号,并且随后将储存的地址和命令信号传递给所述多个存储器装置。
33.一种基于处理器的系统,包括:
具有处理器总线的处理器;
连接到所述处理器总线的系统控制器,该系统控制器具有外设端口和系统存储器端口;
至少一个输入装置,连接到所述系统控制器的所述外设端口;
至少一个输出装置,连接到所述系统控制器的所述外设端口;
至少一个数据存储装置,连接到所述系统控制器的所述外设端口;
连接到所述系统控制器的所述系统存储器端口的至少一个存储器模块,该存储器模块包括:
绝缘基片;以及
安装在所述绝缘基片第一和第二相对表面上的多个相同存储器装置,所述存储器装置以镜像配置安装在所述基片上,从而使安装在所述第一表面上的所述存储器装置的每一个存储器装置的多个端子,与安装在所述第二表面上的所述存储器装置的相应一个的各自对应位置上的多个端子互连,所述至少一个存储器模块的所述互连的多个端子连接到所述系统控制器的所述系统存储器端口;以及
连接到所述系统控制器的所述系统存储器端口的存储器控制器,如果正在访问安装在所述至少一个存储器模块的所述基片的第一表面上的多个存储器装置,该存储器控制器用于将地址和控制信号传递到第一配置中所述至少一个存储器模块的所述互连的多个端子,如果正在访问安装在所述至少一个存储器模块的所述基片第二表面上的多个存储器装置,将所述地址或控制信号传递给不同于所述第一配置的第二配置中所述至少一个存储器模块的所述互连的多个端子。
34.如权利要求33所述基于处理器的系统,其中所述存储器控制器包括:
命令队列,用于接收所述存储器请求,所述存储器队列还用于将所述多个存储器请求转换成相应的多组命令和地址信号,并且按照收到相应存储器请求的顺序输出这些命令和地址信号;
命令协调器,与所述命令队列连接,以便从所述命令队列接收所述命令和地址信号,该命令协调器安排所述命令和地址信号的时序;
微命令移位器,连接成在已经安排好所述命令和地址信号的时序以后,从所述命令协调器接收命令和地址信号,该微命令移位器用于与所述存储器装置的工作过程同步地输出命令和地址信号;以及
多路复用器,连接到所述微命令移位器,以便从所述微命令移位器接收所述命令信号或所述地址信号,所述多路复用器用于根据正在访问的是所述第一表面上的所述存储器装置还是所述第二表面上的所述存储器装置,将所述命令或地址信号安排成所述第一配置或者所述第二配置,所述多路复用器用于将所述第一配置或所述第二配置中的所述命令或地址信号传递到所述至少一个存储器模块的所述互连的多个端子。
35.如权利要求34所述基于处理器的系统,还包括连接在所述多路复用器和所述存储器装置的互连的多个端子之间的环形缓冲器。
36.如权利要求33所述基于处理器的系统,其中所述存储器装置的每一个都包括动态随机存取存储器装置。
37.一种存储器集线器,具有一个输入端口和多个输出端子,该存储器集线器响应在所述输入端口收到的存储器请求,将地址和控制信号传递给所述多个输出端子,如果所述存储器请求是发送给第一存储器装置的,就将所述地址或控制信号传递给第一配置中的所述多个输出端子,如果所述存储器请求是发送给第二存储器装置的,就将地址或控制信号传递给第二配置中的所述多个输出端子,所述第二配置不同于所述第一配置。
38.如权利要求37所述的存储器集线器,其中所述存储器集线器包括:
命令队列,用于接收所述存储器请求,所述存储器队列还用于将所述存储器请求转换成相应的多组命令和地址信号,并且按照收到相应存储器请求的顺序输出这些命令和地址信号;
命令协调器,与所述命令队列连接,以便从所述命令队列接收所述命令和地址信号,该命令协调器安排所述命令和地址信号的时序;
微命令移位器,连接成在已经安排好所述命令和地址信号的时序以后,从所述命令协调器接收命令和地址信号,该微命令移位器用于与所述存储器装置的工作过程同步地输出命令和地址信号;以及
多路复用器,连接到所述微命令移位器,以便从所述微命令移位器接收所述命令信号或所述地址信号,所述多路复用器用于根据正在访问的是所述第一表面上的所述存储器装置还是所述第二表面上的所述存储器装置,将所述命令或地址信号安排成所述第一配置或者所述第二配置,所述多路复用器用于将所述第一配置或所述第二配置中的所述命令或地址信号传递到所述互连的多个端子。
39.如权利要求38所述的存储器集线器,还包括连接在所述多路复用器和所述多个存储器装置之间的环形缓冲器。
40.如权利要求37所述的存储器集线器,其中所述存储器集线器生成的所述命令和地址信号包括动态随机存储器命令和地址信号。
CNB200580004078XA 2004-02-05 2005-01-26 用于存储器模块的动态命令和/或地址镜像系统和方法 Expired - Fee Related CN100474267C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/773,518 US7181584B2 (en) 2004-02-05 2004-02-05 Dynamic command and/or address mirroring system and method for memory modules
US10/773,518 2004-02-05

Publications (2)

Publication Number Publication Date
CN1918551A true CN1918551A (zh) 2007-02-21
CN100474267C CN100474267C (zh) 2009-04-01

Family

ID=34826779

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB200580004078XA Expired - Fee Related CN100474267C (zh) 2004-02-05 2005-01-26 用于存储器模块的动态命令和/或地址镜像系统和方法

Country Status (9)

Country Link
US (2) US7181584B2 (zh)
EP (1) EP1723526B1 (zh)
JP (1) JP4586030B2 (zh)
KR (1) KR100936637B1 (zh)
CN (1) CN100474267C (zh)
AT (1) ATE403186T1 (zh)
DE (1) DE602005008560D1 (zh)
TW (1) TW200608197A (zh)
WO (1) WO2005076823A2 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102053930A (zh) * 2009-11-10 2011-05-11 苹果公司 用于外围组件的命令队列
CN107797945A (zh) * 2017-10-31 2018-03-13 郑州云海信息技术有限公司 一种存储系统及其数据存储方法、装置、系统及设备
CN108279998A (zh) * 2017-01-05 2018-07-13 爱思开海力士有限公司 能够动态镜像的存储器设备、存储器模块及半导体系统

Families Citing this family (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7149874B2 (en) * 2002-08-16 2006-12-12 Micron Technology, Inc. Memory hub bypass circuit and method
US7836252B2 (en) 2002-08-29 2010-11-16 Micron Technology, Inc. System and method for optimizing interconnections of memory devices in a multichip module
US7245145B2 (en) * 2003-06-11 2007-07-17 Micron Technology, Inc. Memory module and method having improved signal routing topology
US7133991B2 (en) * 2003-08-20 2006-11-07 Micron Technology, Inc. Method and system for capturing and bypassing memory transactions in a hub-based memory system
US7136958B2 (en) 2003-08-28 2006-11-14 Micron Technology, Inc. Multiple processor system and method including multiple memory hub modules
US7120743B2 (en) * 2003-10-20 2006-10-10 Micron Technology, Inc. Arbitration system and method for memory responses in a hub-based memory system
US7788451B2 (en) 2004-02-05 2010-08-31 Micron Technology, Inc. Apparatus and method for data bypass for a bi-directional data bus in a hub-based memory sub-system
US7412574B2 (en) * 2004-02-05 2008-08-12 Micron Technology, Inc. System and method for arbitration of memory responses in a hub-based memory system
US7366864B2 (en) * 2004-03-08 2008-04-29 Micron Technology, Inc. Memory hub architecture having programmable lane widths
US7257683B2 (en) * 2004-03-24 2007-08-14 Micron Technology, Inc. Memory arbitration system and method having an arbitration packet protocol
US7120723B2 (en) * 2004-03-25 2006-10-10 Micron Technology, Inc. System and method for memory hub-based expansion bus
US7447240B2 (en) * 2004-03-29 2008-11-04 Micron Technology, Inc. Method and system for synchronizing communications links in a hub-based memory system
US7590797B2 (en) 2004-04-08 2009-09-15 Micron Technology, Inc. System and method for optimizing interconnections of components in a multichip memory module
US7363419B2 (en) * 2004-05-28 2008-04-22 Micron Technology, Inc. Method and system for terminating write commands in a hub-based memory system
KR100607987B1 (ko) * 2004-06-24 2006-08-02 삼성전자주식회사 명령어 스케줄링을 수행하는 메모리 제어장치 및 방법
US20060036826A1 (en) * 2004-07-30 2006-02-16 International Business Machines Corporation System, method and storage medium for providing a bus speed multiplier
US7224595B2 (en) * 2004-07-30 2007-05-29 International Business Machines Corporation 276-Pin buffered memory module with enhanced fault tolerance
US7389375B2 (en) * 2004-07-30 2008-06-17 International Business Machines Corporation System, method and storage medium for a multi-mode memory buffer device
US7296129B2 (en) 2004-07-30 2007-11-13 International Business Machines Corporation System, method and storage medium for providing a serialized memory interface with a bus repeater
US7392331B2 (en) 2004-08-31 2008-06-24 Micron Technology, Inc. System and method for transmitting data packets in a computer system having a memory hub architecture
US7299313B2 (en) * 2004-10-29 2007-11-20 International Business Machines Corporation System, method and storage medium for a memory subsystem command interface
US7512762B2 (en) * 2004-10-29 2009-03-31 International Business Machines Corporation System, method and storage medium for a memory subsystem with positional read data latency
US7331010B2 (en) 2004-10-29 2008-02-12 International Business Machines Corporation System, method and storage medium for providing fault detection and correction in a memory subsystem
US7277988B2 (en) * 2004-10-29 2007-10-02 International Business Machines Corporation System, method and storage medium for providing data caching and data compression in a memory subsystem
US7441060B2 (en) * 2004-10-29 2008-10-21 International Business Machines Corporation System, method and storage medium for providing a service interface to a memory system
US20060198175A1 (en) * 2005-03-03 2006-09-07 Badawi Ashraf H Method, system, and apparatus high speed interconnect to improve data rates of memory subsystems
US20060288132A1 (en) * 2005-05-31 2006-12-21 Mccall James A Memory single-to-multi load repeater architecture
US7478259B2 (en) * 2005-10-31 2009-01-13 International Business Machines Corporation System, method and storage medium for deriving clocks in a memory system
US7685392B2 (en) 2005-11-28 2010-03-23 International Business Machines Corporation Providing indeterminate read data latency in a memory system
US7469097B2 (en) * 2005-12-15 2008-12-23 Hewlett-Packard Development Company, L.P. Method and apparatus for coping with condition in which subject is too close to digital imaging device for acceptable focus
US7669086B2 (en) 2006-08-02 2010-02-23 International Business Machines Corporation Systems and methods for providing collision detection in a memory system
US7870459B2 (en) 2006-10-23 2011-01-11 International Business Machines Corporation High density high reliability memory module with power gating and a fault tolerant address and command bus
DE102006051514B4 (de) * 2006-10-31 2010-01-21 Qimonda Ag Speichermodul und Verfahren zum Betreiben eines Speichermoduls
US7721140B2 (en) 2007-01-02 2010-05-18 International Business Machines Corporation Systems and methods for improving serviceability of a memory system
US20090119114A1 (en) * 2007-11-02 2009-05-07 David Alaniz Systems and Methods for Enabling Customer Service
US7925844B2 (en) * 2007-11-29 2011-04-12 Micron Technology, Inc. Memory register encoding systems and methods
US20100005206A1 (en) * 2008-07-01 2010-01-07 International Business Machines Corporation Automatic read data flow control in a cascade interconnect memory system
US8472199B2 (en) * 2008-11-13 2013-06-25 Mosaid Technologies Incorporated System including a plurality of encapsulated semiconductor chips
US10108684B2 (en) * 2010-11-02 2018-10-23 Micron Technology, Inc. Data signal mirroring
WO2012176330A1 (ja) * 2011-06-24 2012-12-27 ルネサスエレクトロニクス株式会社 半導体装置
US8502390B2 (en) 2011-07-12 2013-08-06 Tessera, Inc. De-skewed multi-die packages
US8823165B2 (en) 2011-07-12 2014-09-02 Invensas Corporation Memory module in a package
US8513817B2 (en) 2011-07-12 2013-08-20 Invensas Corporation Memory module in a package
KR20140069343A (ko) 2011-10-03 2014-06-09 인벤사스 코포레이션 패키지의 중심으로부터 옵셋된 단자 그리드를 구비하는 스터드 최소화
EP2769409A1 (en) 2011-10-03 2014-08-27 Invensas Corporation Stub minimization for multi-die wirebond assemblies with orthogonal windows
US8653646B2 (en) 2011-10-03 2014-02-18 Invensas Corporation Stub minimization using duplicate sets of terminals for wirebond assemblies without windows
US8436457B2 (en) 2011-10-03 2013-05-07 Invensas Corporation Stub minimization for multi-die wirebond assemblies with parallel windows
US8610260B2 (en) 2011-10-03 2013-12-17 Invensas Corporation Stub minimization for assemblies without wirebonds to package substrate
US8659142B2 (en) 2011-10-03 2014-02-25 Invensas Corporation Stub minimization for wirebond assemblies without windows
US8436477B2 (en) * 2011-10-03 2013-05-07 Invensas Corporation Stub minimization using duplicate sets of signal terminals in assemblies without wirebonds to package substrate
EP2764543A2 (en) 2011-10-03 2014-08-13 Invensas Corporation Stub minimization for multi-die wirebond assemblies with parallel windows
US8441111B2 (en) 2011-10-03 2013-05-14 Invensas Corporation Stub minimization for multi-die wirebond assemblies with parallel windows
US9117496B2 (en) 2012-01-30 2015-08-25 Rambus Inc. Memory device comprising programmable command-and-address and/or data interfaces
US10355001B2 (en) * 2012-02-15 2019-07-16 Micron Technology, Inc. Memories and methods to provide configuration information to controllers
US8848391B2 (en) 2012-08-27 2014-09-30 Invensas Corporation Co-support component and microelectronic assembly
US8848392B2 (en) 2012-08-27 2014-09-30 Invensas Corporation Co-support module and microelectronic assembly
US8787034B2 (en) 2012-08-27 2014-07-22 Invensas Corporation Co-support system and microelectronic assembly
US9368477B2 (en) 2012-08-27 2016-06-14 Invensas Corporation Co-support circuit panel and microelectronic packages
US9733855B1 (en) 2013-01-04 2017-08-15 Altera Corporation System and methods for adjusting memory command placement
EP3005128B1 (en) * 2013-05-30 2018-07-04 Hewlett-Packard Enterprise Development LP Separate memory controllers to access data in memory
US9070423B2 (en) 2013-06-11 2015-06-30 Invensas Corporation Single package dual channel memory with co-support
US9218861B2 (en) 2013-10-09 2015-12-22 Micron Technology, Inc. Apparatuses and methods including selectively providing a single or separate chip select signals
US9123555B2 (en) 2013-10-25 2015-09-01 Invensas Corporation Co-support for XFD packaging
US9281296B2 (en) 2014-07-31 2016-03-08 Invensas Corporation Die stacking techniques in BGA memory package for small footprint CPU and memory motherboard design
US9691437B2 (en) 2014-09-25 2017-06-27 Invensas Corporation Compact microelectronic assembly having reduced spacing between controller and memory packages
US20170111286A1 (en) * 2015-10-15 2017-04-20 Kabushiki Kaisha Toshiba Storage system that includes a plurality of routing circuits and a plurality of node modules connected thereto
US9484080B1 (en) 2015-11-09 2016-11-01 Invensas Corporation High-bandwidth memory application with controlled impedance loading
US9679613B1 (en) 2016-05-06 2017-06-13 Invensas Corporation TFD I/O partition for high-speed, high-density applications
US10387072B2 (en) * 2016-12-29 2019-08-20 Intel Corporation Systems and method for dynamic address based mirroring
US11099778B2 (en) * 2018-08-08 2021-08-24 Micron Technology, Inc. Controller command scheduling in a memory system to increase command bus utilization
KR20220059983A (ko) 2020-11-02 2022-05-11 삼성전자주식회사 메모리 패키지, 메모리 패키지를 포함하는 스토리지 장치, 그리고 스토리지 장치의 동작 방법

Family Cites Families (185)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3777154A (en) 1972-02-07 1973-12-04 R Lindsey Optical data processing system
US4045781A (en) 1976-02-13 1977-08-30 Digital Equipment Corporation Memory module with selectable byte addressing for digital data processing system
US4253144A (en) * 1978-12-21 1981-02-24 Burroughs Corporation Multi-processor communication network
US4253146A (en) * 1978-12-21 1981-02-24 Burroughs Corporation Module for coupling computer-processors
US4245306A (en) * 1978-12-21 1981-01-13 Burroughs Corporation Selection of addressed processor in a multi-processor network
US4240143A (en) 1978-12-22 1980-12-16 Burroughs Corporation Hierarchical multi-processor network for memory sharing
US4443845A (en) 1980-06-26 1984-04-17 Texas Instruments Incorporated Memory system having a common interface
US4724520A (en) * 1985-07-01 1988-02-09 United Technologies Corporation Modular multiport data hub
DE3614062A1 (de) 1986-04-23 1987-10-29 Siemens Ag Verfahren zur flusssteuerung von daten innerhalb eines vermaschten datennetzes
US4707823A (en) 1986-07-21 1987-11-17 Chrysler Motors Corporation Fiber optic multiplexed data acquisition system
US4809232A (en) 1986-12-16 1989-02-28 The United States Of America As Represented By The United States Department Of Energy High speed, very large (8 megabyte) first in/first out buffer memory (FIFO)
US4823403A (en) 1987-03-26 1989-04-18 Switch Tech, Inc. System for optically transmitting data between circuit packs, such as printed circuit boards
JPH07117863B2 (ja) * 1987-06-26 1995-12-18 株式会社日立製作所 オンラインシステムの再立上げ方式
US5251303A (en) 1989-01-13 1993-10-05 International Business Machines Corporation System for DMA block data transfer based on linked control blocks
US5442770A (en) 1989-01-24 1995-08-15 Nec Electronics, Inc. Triple port cache memory
US4953930A (en) 1989-03-15 1990-09-04 Ramtech, Inc. CPU socket supporting socket-to-socket optical communications
JPH03156795A (ja) 1989-11-15 1991-07-04 Toshiba Micro Electron Kk 半導体メモリ回路装置
US5327553A (en) * 1989-12-22 1994-07-05 Tandem Computers Incorporated Fault-tolerant computer system with /CONFIG filesystem
US5317752A (en) * 1989-12-22 1994-05-31 Tandem Computers Incorporated Fault-tolerant computer system with auto-restart after power-fall
JP2772103B2 (ja) 1990-03-28 1998-07-02 株式会社東芝 計算機システム立上げ方式
IL96808A (en) * 1990-04-18 1996-03-31 Rambus Inc Introductory / Origin Circuit Agreed Using High-Performance Brokerage
US5243703A (en) 1990-04-18 1993-09-07 Rambus, Inc. Apparatus for synchronously generating clock signals in a data processing system
GB9108599D0 (en) * 1991-04-22 1991-06-05 Pilkington Micro Electronics Peripheral controller
US5831467A (en) 1991-11-05 1998-11-03 Monolithic System Technology, Inc. Termination circuit with power-down mode for use in circuit module architecture
US5461627A (en) 1991-12-24 1995-10-24 Rypinski; Chandos A. Access protocol for a common channel wireless network
US5307381A (en) * 1991-12-27 1994-04-26 Intel Corporation Skew-free clock signal distribution network in a microprocessor
JP2554816B2 (ja) 1992-02-20 1996-11-20 株式会社東芝 半導体記憶装置
US5355391A (en) 1992-03-06 1994-10-11 Rambus, Inc. High speed bus system
WO1993018463A1 (en) * 1992-03-06 1993-09-16 Rambus, Inc. Method and circuitry for minimizing clock-data skew in a bus system
EP0632913B1 (en) 1992-03-25 2001-10-31 Sun Microsystems, Inc. Fiber optic memory coupling system
US5432907A (en) * 1992-05-12 1995-07-11 Network Resources Corporation Network hub with integrated bridge
US5270964A (en) * 1992-05-19 1993-12-14 Sun Microsystems, Inc. Single in-line memory module
US5787475A (en) 1992-07-21 1998-07-28 Digital Equipment Corporation Controlled prefetching of data requested by a peripheral
GB2270780A (en) * 1992-09-21 1994-03-23 Ibm Scatter-gather in data processing systems.
US5414819A (en) 1992-12-18 1995-05-09 Nec Research Institute, Inc. Optical interconnection network
US5423009A (en) 1993-02-18 1995-06-06 Sierra Semiconductor Corporation Dynamic sizing bus controller that allows unrestricted byte enable patterns
JPH0713945A (ja) 1993-06-16 1995-01-17 Nippon Sheet Glass Co Ltd 演算処理部および制御・記憶部分離型マルチプロセッサ ・システムのバス構造
US5497494A (en) 1993-07-23 1996-03-05 International Business Machines Corporation Method for saving and restoring the state of a CPU executing code in protected mode
US5493437A (en) 1993-09-13 1996-02-20 Motorola External communication link for a credit card pager
JPH0786526A (ja) * 1993-09-14 1995-03-31 Toshiba Corp メモリ装置
US5544345A (en) 1993-11-08 1996-08-06 International Business Machines Corporation Coherence controls for store-multiple shared data coordinated by cache directory entries in a shared electronic storage
US5729709A (en) * 1993-11-12 1998-03-17 Intel Corporation Memory controller with burst addressing circuit
US5502621A (en) * 1994-03-31 1996-03-26 Hewlett-Packard Company Mirrored pin assignment for two sided multi-chip layout
US5566325A (en) 1994-06-30 1996-10-15 Digital Equipment Corporation Method and apparatus for adaptive memory access
US5532856A (en) 1994-06-30 1996-07-02 Nec Research Institute, Inc. Planar optical mesh-connected tree interconnect network
US6175571B1 (en) * 1994-07-22 2001-01-16 Network Peripherals, Inc. Distributed memory switching hub
US5978567A (en) 1994-07-27 1999-11-02 Instant Video Technologies Inc. System for distribution of interactive multimedia and linear programs by enabling program webs which include control scripts to define presentation by client transceiver
US5715456A (en) * 1995-02-13 1998-02-03 International Business Machines Corporation Method and apparatus for booting a computer system without pre-installing an operating system
US5623534A (en) * 1995-04-07 1997-04-22 Lucent Technologies Inc. Method and apparatus for exchanging administrative information between local area networks
US5568574A (en) 1995-06-12 1996-10-22 University Of Southern California Modulator-based photonic chip-to-chip interconnections for dense three-dimensional multichip module integration
US5875352A (en) * 1995-11-03 1999-02-23 Sun Microsystems, Inc. Method and apparatus for multiple channel direct memory access control
US5966724A (en) 1996-01-11 1999-10-12 Micron Technology, Inc. Synchronous memory device with dual page and burst mode operations
US5832250A (en) 1996-01-26 1998-11-03 Unisys Corporation Multi set cache structure having parity RAMs holding parity bits for tag data and for status data utilizing prediction circuitry that predicts and generates the needed parity bits
US5819304A (en) 1996-01-29 1998-10-06 Iowa State University Research Foundation, Inc. Random access memory assembly
US5659798A (en) 1996-02-02 1997-08-19 Blumrich; Matthias Augustin Method and system for initiating and loading DMA controller registers by using user-level programs
US5808897A (en) * 1996-03-05 1998-09-15 Micron Technology, Inc. Integrated circuit device having interchangeable terminal connection
US5818844A (en) 1996-06-06 1998-10-06 Advanced Micro Devices, Inc. Address generation and data path arbitration to and from SRAM to accommodate multiple transmitted packets
US5875454A (en) * 1996-07-24 1999-02-23 International Business Machiness Corporation Compressed data cache storage system
JPH1049511A (ja) 1996-08-02 1998-02-20 Oki Electric Ind Co Ltd 1チップマイクロコンピュータ
JP4070255B2 (ja) 1996-08-13 2008-04-02 富士通株式会社 半導体集積回路
US6144327A (en) 1996-08-15 2000-11-07 Intellectual Property Development Associates Of Connecticut, Inc. Programmably interconnected programmable devices
TW304288B (en) * 1996-08-16 1997-05-01 United Microelectronics Corp Manufacturing method of semiconductor memory device with capacitor
JP3731949B2 (ja) * 1996-09-17 2006-01-05 インターナショナル・ビジネス・マシーンズ・コーポレーション 記録媒体の読み出し装置
US6088774A (en) 1996-09-20 2000-07-11 Advanced Memory International, Inc. Read/write timing for maximum utilization of bidirectional read/write bus
US5706224A (en) * 1996-10-10 1998-01-06 Quality Semiconductor, Inc. Content addressable memory and random access memory partition circuit
JPH10222374A (ja) * 1996-10-28 1998-08-21 Altera Corp 遠隔ソフトウェア技術支援を提供するための方法
US6167486A (en) 1996-11-18 2000-12-26 Nec Electronics, Inc. Parallel access virtual channel memory system with cacheable channels
US5818984A (en) 1996-11-18 1998-10-06 International Business Machines Corporation Optoelectronic interconnection of integrated circuits
US6308248B1 (en) * 1996-12-31 2001-10-23 Compaq Computer Corporation Method and system for allocating memory space using mapping controller, page table and frame numbers
US6031241A (en) * 1997-03-11 2000-02-29 University Of Central Florida Capillary discharge extreme ultraviolet lamp source for EUV microlithography and other related applications
US6271582B1 (en) 1997-04-07 2001-08-07 Micron Technology, Inc. Interdigitated leads-over-chip lead frame, device, and method for supporting an integrated circuit die
US5946712A (en) 1997-06-04 1999-08-31 Oak Technology, Inc. Apparatus and method for reading data from synchronous memory
US6243769B1 (en) * 1997-07-18 2001-06-05 Micron Technology, Inc. Dynamic buffer allocation for a computer system
US6073190A (en) * 1997-07-18 2000-06-06 Micron Electronics, Inc. System for dynamic buffer allocation comprising control logic for controlling a first address buffer and a first data buffer as a matched pair
US6105075A (en) 1997-08-05 2000-08-15 Adaptec, Inc. Scatter gather memory system for a hardware accelerated command interpreter engine
US6249802B1 (en) * 1997-09-19 2001-06-19 Silicon Graphics, Inc. Method, system, and computer program product for allocating physical memory in a distributed shared memory network
US6067594A (en) 1997-09-26 2000-05-23 Rambus, Inc. High frequency bus system
JPH11120120A (ja) * 1997-10-13 1999-04-30 Fujitsu Ltd カードバス用インターフェース回路及びそれを有するカードバス用pcカード
US5915123A (en) * 1997-10-31 1999-06-22 Silicon Spice Method and apparatus for controlling configuration memory contexts of processing elements in a network of multiple context processing elements
US6122719A (en) * 1997-10-31 2000-09-19 Silicon Spice Method and apparatus for retiming in a network of multiple context processing elements
US5987196A (en) 1997-11-06 1999-11-16 Micron Technology, Inc. Semiconductor structure having an optical signal path in a substrate and method for forming the same
JP3724215B2 (ja) * 1997-11-10 2005-12-07 富士ゼロックス株式会社 光信号伝送装置および信号処理装置
US6098158A (en) 1997-12-18 2000-08-01 International Business Machines Corporation Software-enabled fast boot
US6111757A (en) 1998-01-16 2000-08-29 International Business Machines Corp. SIMM/DIMM memory module
US6023726A (en) * 1998-01-20 2000-02-08 Netscape Communications Corporation User configurable prefetch control system for enabling client to prefetch documents from a network server
GB2333896B (en) * 1998-01-31 2003-04-09 Mitel Semiconductor Ab Vertical cavity surface emitting laser
US6186400B1 (en) * 1998-03-20 2001-02-13 Symbol Technologies, Inc. Bar code reader with an integrated scanning component module mountable on printed circuit board
US6079008A (en) * 1998-04-03 2000-06-20 Patton Electronics Co. Multiple thread multiple data predictive coded parallel processing system and method
US6247107B1 (en) * 1998-04-06 2001-06-12 Advanced Micro Devices, Inc. Chipset configured to perform data-directed prefetching
US6529498B1 (en) * 1998-04-28 2003-03-04 Cisco Technology, Inc. Routing support for point-to-multipoint connections
JPH11327357A (ja) * 1998-05-20 1999-11-26 Fujitsu Ltd 熱定着器の温度制御方法および装置並びに画像形成装置
US6167465A (en) 1998-05-20 2000-12-26 Aureal Semiconductor, Inc. System for managing multiple DMA connections between a peripheral device and a memory and performing real-time operations on data carried by a selected DMA connection
US6405280B1 (en) * 1998-06-05 2002-06-11 Micron Technology, Inc. Packet-oriented synchronous DRAM interface supporting a plurality of orderings for data block transfers within a burst sequence
US6301637B1 (en) 1998-06-08 2001-10-09 Storage Technology Corporation High performance data paths
US6134624A (en) 1998-06-08 2000-10-17 Storage Technology Corporation High bandwidth cache system
JP2000003589A (ja) 1998-06-12 2000-01-07 Mitsubishi Electric Corp 同期型半導体記憶装置
US6453377B1 (en) * 1998-06-16 2002-09-17 Micron Technology, Inc. Computer including optical interconnect, memory unit, and method of assembling a computer
JP2000011640A (ja) 1998-06-23 2000-01-14 Nec Corp 半導体記憶装置
FR2780535B1 (fr) * 1998-06-25 2000-08-25 Inst Nat Rech Inf Automat Dispositif de traitement de donnees d'acquisition, notamment de donnees d'image
US6912637B1 (en) * 1998-07-08 2005-06-28 Broadcom Corporation Apparatus and method for managing memory in a network switch
US6286083B1 (en) 1998-07-08 2001-09-04 Compaq Computer Corporation Computer system with adaptive memory arbitration scheme
US6105088A (en) 1998-07-10 2000-08-15 Northrop Grumman Corporation Backplane assembly for electronic circuit modules providing electronic reconfigurable connectivity of digital signals and manual reconfigurable connectivity power, optical and RF signals
JP3248617B2 (ja) * 1998-07-14 2002-01-21 日本電気株式会社 半導体記憶装置
US6272609B1 (en) * 1998-07-31 2001-08-07 Micron Electronics, Inc. Pipelined memory controller
US6061296A (en) * 1998-08-17 2000-05-09 Vanguard International Semiconductor Corporation Multiple data clock activation with programmable delay for use in multiple CAS latency memory devices
US6029250A (en) * 1998-09-09 2000-02-22 Micron Technology, Inc. Method and apparatus for adaptively adjusting the timing offset between a clock signal and digital signals transmitted coincident with that clock signal, and memory device and system using same
US6229727B1 (en) * 1998-09-28 2001-05-08 Cisco Technology, Inc. Method and apparatus for support of multiple memory devices in a single memory socket architecture
US6587912B2 (en) * 1998-09-30 2003-07-01 Intel Corporation Method and apparatus for implementing multiple memory buses on a memory module
US6343171B1 (en) * 1998-10-09 2002-01-29 Fujitsu Limited Systems based on opto-electronic substrates with electrical and optical interconnections and methods for making
US6243831B1 (en) * 1998-10-31 2001-06-05 Compaq Computer Corporation Computer system with power loss protection mechanism
US6378056B2 (en) * 1998-11-03 2002-04-23 Intel Corporation Method and apparatus for configuring a memory device and a memory channel using configuration space registers
US6226729B1 (en) * 1998-11-03 2001-05-01 Intel Corporation Method and apparatus for configuring and initializing a memory device and a memory channel
JP3248500B2 (ja) * 1998-11-12 2002-01-21 日本電気株式会社 半導体記憶装置およびそのデータ読み出し方法
US6349363B2 (en) * 1998-12-08 2002-02-19 Intel Corporation Multi-section cache with different attributes for each section
US6067262A (en) * 1998-12-11 2000-05-23 Lsi Logic Corporation Redundancy analysis for embedded memories with built-in self test and built-in self repair
US6191663B1 (en) * 1998-12-22 2001-02-20 Intel Corporation Echo reduction on bit-serial, multi-drop bus
US6367074B1 (en) * 1998-12-28 2002-04-02 Intel Corporation Operation of a system
US6598154B1 (en) * 1998-12-29 2003-07-22 Intel Corporation Precoding branch instructions to reduce branch-penalty in pipelined processors
US6061263A (en) 1998-12-29 2000-05-09 Intel Corporation Small outline rambus in-line memory module
US6285349B1 (en) 1999-02-26 2001-09-04 Intel Corporation Correcting non-uniformity in displays
US6229712B1 (en) 1999-03-31 2001-05-08 International Business Machines Corporation Printed circuit board for coupling surface mounted optoelectric semiconductor devices
US6381190B1 (en) * 1999-05-13 2002-04-30 Nec Corporation Semiconductor memory device in which use of cache can be selected
US6233376B1 (en) * 1999-05-18 2001-05-15 The United States Of America As Represented By The Secretary Of The Navy Embedded fiber optic circuit boards and integrated circuits
US6294937B1 (en) 1999-05-25 2001-09-25 Lsi Logic Corporation Method and apparatus for self correcting parallel I/O circuitry
JP2001014840A (ja) * 1999-06-24 2001-01-19 Nec Corp 複数ラインバッファ型メモリlsi
US6401213B1 (en) * 1999-07-09 2002-06-04 Micron Technology, Inc. Timing circuit for high speed memory
US6552564B1 (en) * 1999-08-30 2003-04-22 Micron Technology, Inc. Technique to reduce reflections and ringing on CMOS interconnections
US6539490B1 (en) * 1999-08-30 2003-03-25 Micron Technology, Inc. Clock distribution without clock delay or skew
US6594713B1 (en) * 1999-09-10 2003-07-15 Texas Instruments Incorporated Hub interface unit and application unit interfaces for expanded direct memory access processor
US6567963B1 (en) * 1999-10-22 2003-05-20 Tera Connect, Inc. Wafer scale integration and remoted subsystems using opto-electronic transceivers
US6421744B1 (en) * 1999-10-25 2002-07-16 Motorola, Inc. Direct memory access controller and method therefor
US6344664B1 (en) * 1999-12-02 2002-02-05 Tera Connect Inc. Electro-optical transceiver system with controlled lateral leakage and method of making it
US6507899B1 (en) * 1999-12-13 2003-01-14 Infineon Technologies North American Corp. Interface for a memory unit
JP3546788B2 (ja) * 1999-12-20 2004-07-28 日本電気株式会社 メモリ制御回路
JP3356747B2 (ja) 1999-12-22 2002-12-16 エヌイーシーマイクロシステム株式会社 半導体記憶装置
US6502161B1 (en) * 2000-01-05 2002-12-31 Rambus Inc. Memory system including a point-to-point linked memory subsystem
KR100343383B1 (ko) * 2000-01-05 2002-07-15 윤종용 반도체 메모리 장치 및 이 장치의 데이터 샘플링 방법
JP2001193639A (ja) * 2000-01-11 2001-07-17 Toyota Autom Loom Works Ltd 電動斜板圧縮機
US6745275B2 (en) * 2000-01-25 2004-06-01 Via Technologies, Inc. Feedback system for accomodating different memory module loading
US6185352B1 (en) * 2000-02-24 2001-02-06 Siecor Operations, Llc Optical fiber ribbon fan-out cables
JP2001274323A (ja) * 2000-03-24 2001-10-05 Hitachi Ltd 半導体装置とそれを搭載した半導体モジュール、および半導体装置の製造方法
US6728800B1 (en) * 2000-06-28 2004-04-27 Intel Corporation Efficient performance based scheduling mechanism for handling multiple TLB operations
US6594722B1 (en) * 2000-06-29 2003-07-15 Intel Corporation Mechanism for managing multiple out-of-order packet streams in a PCI host bridge
JP2002014875A (ja) * 2000-06-30 2002-01-18 Mitsubishi Electric Corp 半導体集積回路、半導体集積回路のメモリリペア方法およびその方法をコンピュータに実行させるプログラムを記録したコンピュータ読み取り可能な記録媒体
US6754812B1 (en) * 2000-07-06 2004-06-22 Intel Corporation Hardware predication for conditional instruction path branching
US6845409B1 (en) * 2000-07-25 2005-01-18 Sun Microsystems, Inc. Data exchange methods for a switch which selectively forms a communication channel between a processing unit and multiple devices
US6366529B1 (en) * 2000-08-30 2002-04-02 Texas Instruments Incorporated Fast FiFo memory storage system
US6523092B1 (en) * 2000-09-29 2003-02-18 Intel Corporation Cache line replacement policy enhancement to avoid memory page thrashing
US6523093B1 (en) * 2000-09-29 2003-02-18 Intel Corporation Prefetch buffer allocation and filtering system
US6570429B1 (en) * 2000-10-20 2003-05-27 Cray Inc. Method and apparatus for providing a clock signal to a semiconductor chip
WO2002045168A1 (fr) * 2000-11-29 2002-06-06 Yamatake Corporation Dispositif semi-conducteur
US6751703B2 (en) * 2000-12-27 2004-06-15 Emc Corporation Data storage systems and methods which utilize an on-board cache
US7035212B1 (en) * 2001-01-25 2006-04-25 Optim Networks Method and apparatus for end to end forwarding architecture
DE10110469A1 (de) * 2001-03-05 2002-09-26 Infineon Technologies Ag Integrierter Speicher und Verfahren zum Testen und Reparieren desselben
US7062595B2 (en) * 2001-04-24 2006-06-13 Broadcom Corporation Integrated gigabit ethernet PCI-X controller
US6670959B2 (en) * 2001-05-18 2003-12-30 Sun Microsystems, Inc. Method and apparatus for reducing inefficiencies in shared memory devices
US6697926B2 (en) * 2001-06-06 2004-02-24 Micron Technology, Inc. Method and apparatus for determining actual write latency and accurately aligning the start of data capture with the arrival of data at a memory device
US6711652B2 (en) * 2001-06-21 2004-03-23 International Business Machines Corporation Non-uniform memory access (NUMA) data processing system that provides precise notification of remote deallocation of modified data
US6920533B2 (en) * 2001-06-27 2005-07-19 Intel Corporation System boot time reduction method
US6721195B2 (en) * 2001-07-12 2004-04-13 Micron Technology, Inc. Reversed memory module socket and motherboard incorporating same
JP4812976B2 (ja) * 2001-07-30 2011-11-09 エルピーダメモリ株式会社 レジスタ、メモリモジュール及びメモリシステム
US6681292B2 (en) * 2001-08-27 2004-01-20 Intel Corporation Distributed read and write caching implementation for optimized input/output applications
US7941056B2 (en) * 2001-08-30 2011-05-10 Micron Technology, Inc. Optical interconnect in high-speed memory systems
US6718440B2 (en) * 2001-09-28 2004-04-06 Intel Corporation Memory access latency hiding with hint buffer
US6681301B1 (en) * 2001-10-02 2004-01-20 Advanced Micro Devices, Inc. System for controlling multiple memory types
DE10153657C2 (de) * 2001-10-31 2003-11-06 Infineon Technologies Ag Anordnung zur Datenübertragung in einem Halbleiterspeichersystem und Datenübertragungsverfahren dafür
US6886048B2 (en) * 2001-11-15 2005-04-26 Hewlett-Packard Development Company, L.P. Techniques for processing out-of-order requests in a processor-based system
KR100454123B1 (ko) * 2001-12-06 2004-10-26 삼성전자주식회사 반도체 집적 회로 장치 및 그것을 구비한 모듈
US7024547B2 (en) * 2001-12-10 2006-04-04 Intel Corporation Method and system for initializing a hardware device
US7047374B2 (en) * 2002-02-25 2006-05-16 Intel Corporation Memory read/write reordering
US6735682B2 (en) * 2002-03-28 2004-05-11 Intel Corporation Apparatus and method for address calculation
US7200024B2 (en) * 2002-08-02 2007-04-03 Micron Technology, Inc. System and method for optically interconnecting memory devices
US7254331B2 (en) * 2002-08-09 2007-08-07 Micron Technology, Inc. System and method for multiple bit optical data transmission in memory systems
US7836252B2 (en) * 2002-08-29 2010-11-16 Micron Technology, Inc. System and method for optimizing interconnections of memory devices in a multichip module
US7093115B2 (en) * 2002-12-19 2006-08-15 Intel Corporation Method and apparatus for detecting an interruption in memory initialization
US7366423B2 (en) * 2002-12-31 2008-04-29 Intel Corporation System having multiple agents on optical and electrical bus
KR101095025B1 (ko) * 2003-05-13 2011-12-20 어드밴스드 마이크로 디바이시즈, 인코포레이티드 직렬 메모리 상호접속부를 통해 복수의 메모리 모듈에결합된 호스트를 포함하는 시스템
US7245145B2 (en) * 2003-06-11 2007-07-17 Micron Technology, Inc. Memory module and method having improved signal routing topology
US7133991B2 (en) * 2003-08-20 2006-11-07 Micron Technology, Inc. Method and system for capturing and bypassing memory transactions in a hub-based memory system
US7234070B2 (en) * 2003-10-27 2007-06-19 Micron Technology, Inc. System and method for using a learning sequence to establish communications on a high-speed nonsynchronous interface in the absence of clock forwarding
US7177211B2 (en) * 2003-11-13 2007-02-13 Intel Corporation Memory channel test fixture and method
JP4450616B2 (ja) * 2003-12-19 2010-04-14 富士通マイクロエレクトロニクス株式会社 メモリ制御装置およびメモリ制御方法
US7120723B2 (en) * 2004-03-25 2006-10-10 Micron Technology, Inc. System and method for memory hub-based expansion bus
US7171508B2 (en) * 2004-08-23 2007-01-30 Micron Technology, Inc. Dual port memory with asymmetric inputs and outputs, device, system and method
US7392331B2 (en) * 2004-08-31 2008-06-24 Micron Technology, Inc. System and method for transmitting data packets in a computer system having a memory hub architecture

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102053930A (zh) * 2009-11-10 2011-05-11 苹果公司 用于外围组件的命令队列
CN102053930B (zh) * 2009-11-10 2014-06-25 苹果公司 用于外围组件的命令队列
CN108279998A (zh) * 2017-01-05 2018-07-13 爱思开海力士有限公司 能够动态镜像的存储器设备、存储器模块及半导体系统
CN108279998B (zh) * 2017-01-05 2021-06-15 爱思开海力士有限公司 能够动态镜像的存储器设备、存储器模块及半导体系统
CN107797945A (zh) * 2017-10-31 2018-03-13 郑州云海信息技术有限公司 一种存储系统及其数据存储方法、装置、系统及设备

Also Published As

Publication number Publication date
US20050177690A1 (en) 2005-08-11
DE602005008560D1 (de) 2008-09-11
TW200608197A (en) 2006-03-01
US7546435B2 (en) 2009-06-09
WO2005076823A2 (en) 2005-08-25
US7181584B2 (en) 2007-02-20
JP2007520851A (ja) 2007-07-26
CN100474267C (zh) 2009-04-01
KR100936637B1 (ko) 2010-01-14
KR20070013270A (ko) 2007-01-30
EP1723526A2 (en) 2006-11-22
EP1723526B1 (en) 2008-07-30
EP1723526A4 (en) 2007-06-27
US20070143553A1 (en) 2007-06-21
WO2005076823A3 (en) 2006-07-06
JP4586030B2 (ja) 2010-11-24
ATE403186T1 (de) 2008-08-15

Similar Documents

Publication Publication Date Title
CN100474267C (zh) 用于存储器模块的动态命令和/或地址镜像系统和方法
US11093417B2 (en) Memory module with data buffering
JP5730251B2 (ja) メモリ回路システム及び方法
EP1516339B1 (en) Memory buffer arrangement
CN1977336B (zh) 改善支持多存储器访问延迟的计算机存储器系统的性能的系统和方法
US8407412B2 (en) Power management of memory circuits by virtual memory simulation
EP2132635B1 (en) System including hierarchical memory modules having different types of integrated circuit memory devices
EP1020864A1 (en) High capacity dimm memory with data and state memory
US20050058001A1 (en) Single rank memory module for use in a two-rank memory module system
EP1929479A2 (en) A memory module including a plurality of integrated circuit memory devices and a plurality of buffer devices in a matrix topology
US11809712B2 (en) Memory system with threaded transaction support
CN101300557A (zh) 具有上下存储器芯片的存储器系统
JP2004213337A (ja) 半導体記憶装置及び実装型半導体装置
CN109753239B (zh) 半导体存储模块、半导体存储系统和访问其的方法
CN107180000A (zh) 存储装置及数据访问方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090401

Termination date: 20100228