CN1926668A - 在高介电常数的介电材料上的硅的氮氧化物层的形成 - Google Patents

在高介电常数的介电材料上的硅的氮氧化物层的形成 Download PDF

Info

Publication number
CN1926668A
CN1926668A CNA2005800061381A CN200580006138A CN1926668A CN 1926668 A CN1926668 A CN 1926668A CN A2005800061381 A CNA2005800061381 A CN A2005800061381A CN 200580006138 A CN200580006138 A CN 200580006138A CN 1926668 A CN1926668 A CN 1926668A
Authority
CN
China
Prior art keywords
silicon
substrate
gas
layer
sih
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2005800061381A
Other languages
English (en)
Other versions
CN1926668B (zh
Inventor
普拉文·K·纳沃卡
格雷格·东
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1926668A publication Critical patent/CN1926668A/zh
Application granted granted Critical
Publication of CN1926668B publication Critical patent/CN1926668B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02148Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing hafnium, e.g. HfSiOx or HfSiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02192Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing at least one rare earth metal element, e.g. oxides of lanthanides, scandium or yttrium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • H01L21/02332Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen into an oxide layer, e.g. changing SiO to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers

Abstract

本发明的实施例提供了在设置在衬底上的介电层上沉积覆盖层的方法。在一实施例中,一种工艺包括暴露衬底在沉积工艺中以在其上形成介电层,在沉积工艺期间暴露该衬底于硅前驱物脉冲和氧化气体的顺序脉冲中以在该介电层上形成含硅的层,暴露该衬底于氮化工艺中以在其上形成覆盖层,暴露该衬底在退火工艺中预定的时间。该覆盖层可为约5或更薄的厚度。在一实例中,该氧化气体含有通过含有催化剂的水蒸气产生器处理氢源气体和氧源气体生成的水蒸气。在另一实例中,沉积、氮化和退火工艺在同一工艺腔室中进行。

Description

在高介电常数的介电材料上的硅的氮氧化物层的形成
                          背景技术
技术领域
本发明的实施方式主要涉及在衬底上沉积材料的方法,尤其涉及,在介电材料上沉积诸如硅氧化物或硅氮氧化物的覆盖层的方法。
在半导体工艺、平板显示工艺或其它电子器件工艺领域中,气相沉积工艺对在衬底上沉积材料已具有重要的地位。随着电子器件的几何结构不断变小和器件密度的不断增加,图形的尺寸和高宽比变得更加严格,例如,需要考虑0.07μm的图形尺寸和为10甚至更大的高宽比。相应地,以形成这些器件的材料的均匀沉积变得越来越重要。
虽然传统的化学气相沉积(CVD)已表明成功地用在低至0.15μm几何结构和高宽比的器件中,但更严格的器件几何结构需要另外的沉积技术。一种受到广泛关注的技术是原子层沉积(ALD)。在ALD工艺中,反应气体依次进入含有衬底的工艺腔室。一般地,第一反应物脉冲进入该工艺腔室并被吸收在衬底表面。第二反应物脉冲进入该工艺腔室并和第一反应物反应形成沉积材料。通常在输送每种反应气体之间进行清洗步骤。该清洗步骤可以是用载送气体的连续清洗或在该反应气体输送之间的脉冲清洗。
对小器件组件产生干扰的问题是从一材料层到另一材料层中存在元素的扩散。因为掺杂物(例如硼)容易扩散,所以由元素扩散引起的污染在与掺杂的多晶硅靠近的材料层中非常明显。为了限制掺杂物扩散,已采用氮化工艺以使高介电常数的介电材料诸如硅酸铪密化。密化工艺使氮进入介电材料与之结合并形成Si-N键和Hf-N键。虽然Si-N键为必要的,但Hf-N键因为它们的金属性特点将使漏电增加是不需要的。此外,由于多晶硅和许多高介电常数材料之间的相互作用通常具有错误的功函数阈值偏移,工业上已在努力引入适合用在衬底上的高介电常数材料。
另外,硅氮化物材料已用作在介电/栅介面处的有效的硼阻挡层。然而,硅氮化物材料由于本身固定的电荷可能具有不良的器件特性。理想的阻挡层应当形成介电/栅介面并通过阻挡掺杂物扩散至多晶硅层而改善多晶硅中载流子的迁移率。
在现有技术中,ALD工艺已用于在衬底上沉积薄的硅氧化物层。采用ALD工艺沉积、等离子体处理并接着进行退火的硅氧化物层已用作覆盖层。然而,硅氧化物层通常采用ALD工艺沉积,该ALD工艺用水或氧气交替Cl2SiH2脉冲。由于氯化硅烷前驱物的因素,可能导致该硅氧化物被卤素杂质污染。如果被卤素污染的硅氧化物层用作掺杂剂阻挡层,氯可能扩散进入多晶硅层,将对载流子的迁移率带来不利影响。
因此,需要一种沉积工艺用阻挡层诸如硅氧化物或硅氮化物覆盖介电材料。该阻挡层应当不会被卤素污染并在降低掺杂剂扩散的同时尽可能薄,以及该阻挡层和该介电层应当在化学上相容。
发明内容
在一实施例中,提供了一种在设置在衬底上的介电层上沉积覆盖层的方法,该方法包括暴露衬底在沉积工艺中以在其上形成介电层以及在沉积工艺期间暴露该衬底在硅前驱物和氧化气体的顺序脉冲中以在该介电层上形成含硅层。这种方法还包括暴露该衬底在氮化工艺中以在其上形成覆盖层以及可选地暴露该衬底在退火工艺中一段预定的时间。该覆盖层可为约5的厚度或者更薄。在一实例中,该氧化气体包含由含有催化剂的水蒸汽产生器产生的氢源气体和氧源气体形成的水蒸汽。该介电层可含有HfO2、HfSiO4、HfSixOyNz、HFAlxOyNz、Al3O2、HfO2/Al3O2多层、LaAlOx、LaOx及其衍生物或它们的组合。优选地,在氮化工艺期间该覆盖层暴露于含氮的等离子体中。在另一实施例中,沉积、氮化和退火工艺在同一工艺腔室中进行。
在另一实施例中,提供一种在工艺腔室中在介电层上沉积覆盖层的方法,该方法包括在衬底上沉积介电层,并在ALD工艺期间暴露该介电层于硅前驱物和氧气的顺序脉冲中以在介电层上沉积含硅层,接着暴露含硅层于氮化工艺中以形成覆盖层。这种方法还提供暴露该衬底于退火工艺中以及接着在该覆盖层上沉积多晶硅层。
在另一实施例中,提供一种采用原子层沉积工艺在介电层上沉积含硅覆盖层的方法,该方法包括在工艺腔室内设置含有介电层的衬底,暴露该衬底于硅前驱物中,用清洗气体清洗该工艺腔室,暴露该衬底于含有水蒸汽的氧化气体中。该水蒸汽通过含有催化剂的水蒸汽产生器由氢源气体和氧源气体形成并用清洗气体清洗该工艺腔室。
在另一实施例中,提供一种在工艺腔室中在介电层上沉积覆盖层的方法,该方法包括在第一ALD工艺循环期间在衬底上沉积金属硅酸盐材料,该工艺循环包括依次地引入金属前驱物脉冲、第一氧化气体脉冲、硅前驱物脉冲和第二氧化气体脉冲。这种方法还提供通过停止金属前驱物脉冲和第一氧化气体脉冲改变第一ALD工艺循环以形成第二ALD工艺循环以及在第二ALD工艺循环期间,在该金属硅酸盐材料上沉积硅氧化物层,其中该第二ALD工艺循环包括依次通入硅前驱物脉冲和第二氧化气体脉冲。该氧化气体含有通过从水蒸汽产生器通入氢源气体和氧源气体形成的水蒸汽。在一实施例中,该工艺包括铪前驱物硅酸铪材料。在其它实施例中,该金属硅酸盐材料可包含铝、氮或镧。任选地包含掺杂剂(例如硼、磷或砷)的多晶硅层可沉积在硅的氮氧化物层上。
附图说明
因此为了更详细地理解本发明的以上所述特征,将参照附图中示出的实施例对以上简要描述的本发明进行更具体的描述。然而,应该注意,附图中只示出了本发明典型的实施例,因此不能认为是对本发明范围的限定,本发明可以允许其他等效的实施例。
图1示出了根据本文所述的一实施例在介电层上形成覆盖层工艺的工序图;
图2A-2F示出了根据本文所述的另一实施例在衬底表面上沉积多层的工艺工序图;
图3示出了根据本文所述的一实施例用于硅前驱物和氧化气体的ALD脉冲工序图;以及
图4示出了可用于实施本文所述的ALD工艺的工艺腔室的示意性截面图。
具体实施方式
本发明的实施例提供一种用于多种应用中的介电材料的制备方法,尤其是用于晶体管和电容器制造中的高介电常数的介电材料的制备方法。一些工艺使用原子层沉积(ALD)技术以控制沉积的介电材料的元素组成。实施例提供一种用作在高介电常数材料上覆盖层的含硅层(例如硅氧化物)的制备方法。在一实例中,该工艺包括产生含水蒸汽的氧化气体的水蒸汽产生器。在ALD工艺期间对硅前驱物和氧化气体依次脉冲以沉积含硅材料。该ALD工艺利用水蒸汽洁净地并有效地形成含硅层,从而显著增加产量。其它方面,在氮化工艺期间(例如去耦等离子体氮化(DPN)),含硅层暴露在氮气等离子体中,并接着暴露于退火工艺中。
在图1中,描述了一示例性工艺工序100用于形成覆盖的介电薄膜,诸如在高介电常数的栅介电材料上的硅氧化物层。图2A-2F相应于工艺工序100以描述半导体器件组件,诸如晶体管的组装。在步骤102中,采用传统的沉积技术诸如ALD、化学气相沉积(CVD)、物理气相沉积(PVD)、热技术或其组合,如在图2A-2B中所示将介电层210沉积在衬底200上。在优选的实施例中,介电层210可通过ALD工艺和ALD设备进行沉积,诸如在于2004年5月12日递交的受让给Applied Materials Inc的待审的美国临时专利申请第60/570,173号,发明名称为“Atomic Layer Deposition of Hafnium-containingHigh-K materials”中描述的该ALD工艺和ALD设备,在此引入其全部内容作为参考。介电层210通常以从约10到约1000范围内的厚度沉积,优选地从约20到约500并更优选地从约50到约200,例如100。
在沉积介电层210之前,为了用多种功能基团限定衬底表面,衬底200可暴露于预处理工艺中。在开始如本文所述的沉积工艺之前有益的功能基团包括羟基(OH)、烷氧基(OR,其中R=Me、Et、Pr或Bu)、卤氧基(OX,其中X=F、Cl、Br或I)、卤化物(F、Cl、Br、或I)、氧气残留物、氨基物(NH或NH2)和酰胺基物(NR或NR2,其中R=H、Me、Et、Pr或Bu)。该预处理工艺可暴露该衬底于反应物中,诸如NH3、B2H6、SiH4、SiH6、H2O、HF、HCl、O2、O3、H2O、H2O2、H2、原子-H、原子-N、原子-O、乙醇或胺。该功能基团可提供用于将进入的化学前驱物吸附于衬底表面上的基座。该预处理工艺可暴露该衬底表面于该反应物中从约5秒到约2分钟的时间范围,优选地从约10秒到约30秒。在一实例中,在开始ALD工艺之前,该衬底表面暴露于由WVG系统产生的水蒸汽中约15秒。
介电层210沉积在该衬底表面并可能具有同质的、异质的、分层的和/或多层的层叠或叠层的多种组成。介电层210通常为高介电常数材料并可能包括铪、锆、钛、钽、镧、铝、硅、氧和/或氮的组合。介电层210可能的组成包括含铪的材料,诸如铪氧化物(HfOx或HfO2)、铪硅氧化物(HfSixOy或HfSiO4)、铪硅氮氧化物(HfSixOyNz)、铪氮氧化物(HfOxNy)、铪铝氧化物(HfAlxOy)、铪铝硅氧化物(HfAlxSiyOz)、铪铝硅氮氧化物(HfAlwSixOyNz)、铪镧氧化物(HfLaxOy);含锆的材料,诸如锆氧化物(ZrOx或ZrO2)、锆硅氧化物(ZrSixOy或ZrSiO4)、锆硅氮氧化物(ZrSixOyNz)、锆氮氧化物(ZrOxNy)、锆铝氧化物(ZrAlxOy)、锆铝硅氧化物(ZrAlxSiyOz)、锆铝硅氮氧化物(ZrAlwSixOyNz)、锆镧氧化物(ZrLaxOy);其它含铝的材料或含镧的材料,诸如铝氧化物(Al2O3或AlOx)、铝氮化物(AlOxNy)、铝硅氧化物(AlSixOy)、铝硅氮氧化物(AlSixOyNz)、镧铝氧化物(LaAlxOy)、镧氧化物(LaOx或La2O3),及其衍生物或它们的组合。适用于介电层210的其它介电材料可包括钛氧化物(TiOx或TiO2)、钛氮氧化物(TiOxNy)、钽氧化物(TaOx或Ta2O5)和钽氮氧化物(TaOxNy)。适用于介电层210的介电材料的层叠薄膜包括HfO2/Al2O3、HfO2/SiO2、La2O3/Al2O3和HfO2/SiO2/Al2O3
在步骤104中,如图2C所示,硅氧化物层220采用ALD工艺沉积在介电层210上。硅氧化物层220可包括二氧化硅(SiO2)或其它硅氧化物(SiOx),诸如较少的氧化组成。一般地,硅氧化物层220沉积为从约1到约20之间的厚度,优选地从约2到约10,和更优选地从约3到约8,例如,约5。在许多实施例中,硅氧化物层220具有约为5或更薄的厚度。
与公开的用于在沉积介电层210之前对衬底200的预处理相似,在沉积硅氧化物220之前,介电层210可暴露于预处理工艺中。将该衬底装入工艺腔室中,该工艺腔室可实施循环的沉积并且通过设置预定温度、压力和载送气体的速率调节工艺条件。在一实施例中,用于沉积硅氧化物层220和用于沉积介电层210的工艺腔室为同一个工艺腔室。在另一实施例中,第一工艺腔室用于沉积介电层210,而第二工艺腔室用于沉积硅氧化物层220。该第一工艺腔室和第二工艺腔室可在不同的集簇设备上,但是优选地在同一集簇设备上。
图3描述了根据本发明的实施例,ALD工艺300形成氧化层220。在步骤302中,在该衬底表面上的介电层210暴露于硅前驱物脉冲中,该硅前驱物脉冲通入该工艺腔室中的时间为从约0.1秒到约5秒。然后在步骤304中清洗气体的脉冲通入该腔室中以清洗或者除去任何残余的硅前驱物或副产品。在步骤306中,氧化气体的脉冲通入该工艺腔室中。该氧化气体可包括多个媒介物,诸如水蒸汽、氧气。然后在步骤308中清洗气体脉冲通入该工艺腔室中以清洗或除去任何残留的氧化气体或副产品。适用的载送气体或清洗气体可包括氦、氩、氮、氢形成的气体、氧气或及其组合。
在每个沉积循环之后,形成的硅氧化物层220具有特定的厚度。一般地,约8个ALD工艺循环完成可形成约为5厚度的硅氧化物层220。根据具体的器件需求,随后的沉积循环可能为必要的以在步骤310中沉积具有预定厚度的硅氧化物层220。在步骤312中,一旦获得了硅氧化物层220的预定厚度,ALD工艺300将终止。
循环的沉积工艺或ALD工艺通常发生在工艺腔室中在压力范围从约1Torr到约100Torr下,优选地从约1Torr到约20Torr,例如,约10Torr。该衬底可加热至温度从约70℃到约1,000℃之间,优选地从约100℃到约450℃,和更优选地从约200℃到约400℃。
在步骤302中,该硅前驱物以约5标准立方厘米每分(sccm)到约200sccm范围的流速通入该工艺腔室。该硅前驱物通常用载送气体诸如氮气,以从约50sccm到约1000sccm范围的总流速通入。该硅前驱物根据具体的工艺和所需的硅氧化物层220以速率从约0.1秒到约10秒的范围脉冲进入该工艺腔室。在具有长脉冲的实施例中,该硅前驱物以速率从约1秒到约5秒的范围进行脉冲,例如约3秒。在具有短脉冲的实施例中,该硅前驱物以速率从约0.1秒到约1秒进行脉冲,例如约0.5秒。在一实施例中,该硅前驱物优选地为四(二甲基胺基)硅烷<(Me2N)4Si或TDMAS>或三(二甲基胺基)硅烷<(Me2N)3SiH或Tris-TDMAS>。
在步骤306中,氧化气体以从约20sccm到约1,000sccm范围的速通入该工艺腔室率,优选地从约50sccm到约200sccm。该氧化气体根据具体的工艺以速率从约0.1秒到约10秒的范围脉冲进入该工艺腔室。在具有长脉冲的实施例中,该氧化气体以速率从约1秒到约5秒的范围进行脉冲,例如1.7秒。在具有短脉冲的实施例中,该氧化气体以速率从约0.1秒到约3秒的范围进行脉冲,例如约0.5秒。
该氧化气体可由与该工艺腔室流动连接的水蒸汽生成(WVG)系统产生。该WVG系统通过氧源气体(例如O2)和氢源气体(例如H2)的催化反应生成超高纯度的水蒸汽。氢气和氧气分别以速率从约20sccm到200sccm的范围通入该WVG系统。通常,氧气流动要快于氢气流动以具有过量的氧气。例如,氢气的流速约为100sccm,而氧气的流速约为120sccm。因此,从WVG系统流出来的水蒸汽富含氧气。在一实例中,氢气的流速约为100sccm而氧气的流速约为120sccm,以产生含有流速约为100sccm的水蒸汽和流速约为70sccm的氧气的氧化气体。可以为每个独立的工艺实验性确定优选的氢气/氧气浓度以调节含有水蒸汽和氧气或氢气的氧化气体的向外流动。在另一实例中,氢气的流速约为50sccm而氧气的流速约为60sccm。
该WVG系统具有成排催化剂的反应器或催化剂盒,其中水蒸汽通过化学反应产生,不同于火法产生器由于点火产生水蒸汽。该催化剂可包括金属或合金,诸如钯、铂、镍及其组合或合金。本发明中对于ALD工艺来说超高纯度的水为理想的。在一实施例中,为了防止未发生反应的氢气向下流动,允许向WVG通入约5秒氧气。接着,允许氢气进入该反应器约5秒。氢气和氧气之间的催化反应是瞬时的,因此在氢气和氧气达到反应器后立即生成水蒸汽。调整氢气和氧气的流动允许该浓度精确地控制在从1%到100%的任意一点,也就是说,该氧化气体可包含水蒸汽、氢气、氧气及其组合。在一实例中,该氧化气体含有水蒸汽和氧气。在另一实例中,该氧化气体含有水蒸汽和氢气。类似地,通过使用同样的气流控制方法,也可调节水蒸汽的总量,每次得到精确和可重复的流动。在优选的实施例中,水蒸汽通常通过向该WVG系统中通入氧气和氢气生成。
适于生成含有水蒸汽的氧化气体的氧源气体可包括氧气(O2)、氧原子(O)、臭氧(O3)、一氧化二氮(N2O)、一氧化氮(NO)、二氧化氮(NO2)、五氧化二氮(N2O5)、过氧化氢(H2O2)及其衍生物或它们的组合。适于生成含有水蒸汽的氧化气体的氢源气体可包括氢气(H2)、氢原子(H)、合成气体(N2/H2)、氨气(NH3)、烃(CH4)、乙醇(例如CH3OH)及其衍生物和它们的组合。载送气体可和该氧源气体或氢源气体中任意之一一同流入,并可包括N2、He、Ar及其组合。优选地,该氧源气体为氧气或一氧化二氮,该氢源气体为氢气或合成气体,诸如氮气中5vol%的氢气。适用的WVG系统可从诸如由位于California,Santa Clara,的Fujikin of America,Inc生产的WVG,和由位于Menlo Park,California的Ultra Clean Technology公司生产的CSGS(Catalyst Steam Generator System)购买得到。
在步骤304和308中,优选地为氩气或氮气的清洗气体或载送气体的脉冲通常以约2标准升每分(slm)到约22slm的流速通入,优选地约10slm。根据具体的工艺,该清洗气体或载送气体以从约0.1秒到约10秒的范围的流速通入该工艺腔室。在长时间的实施例中,该清洗气体或载送气体以速率从约为1秒到5秒的范围进行脉冲,例如约1.7秒。在短时间的实施例中,清洗气体或载送气体以从约0.1秒到约3秒的速率进行脉冲,例如约0.5秒。每个工艺循环维持约2秒。各工艺周期(从步骤302至308)持续范围为从约0.01约至20秒的时间周期。例如,在长周期实施例中,处理周期持续约10秒,而在短周期中,处理周期持续约2秒。具体的压力和时间通过常规的实验获得。在一实例中,为了维持相似的产量,300mm直径的晶片需要的流速为200mm直径的晶片所需的2倍。
在一实施例中,在ALD工艺期间,氢气气体应用为载送气体、清洗和/或反应气体以减少来自沉积的材料的卤素污染。含有卤素原子的前驱物(例如Cl2SiH2、SiCl4或Si2Cl6)可容易污染沉积的材料。氢气为还原剂并将生成卤化氢(例如HCl)作为挥发性的和可除去的副产品。因此,当氢气与前驱物化合物(例如硅或氧气前驱物)结合时,氢气可用作载送气体或反应气体并可包括另一载送气体(例如Ar或N2)。在一实例中,在约从100℃到约500℃的温度范围水/氢气混合物用于在本文所述的ALD工艺期间降低卤素浓度和增加沉积的硅氧化物层的氧气浓度。
多种硅前驱物落入本发明的范围内。一个重要的前驱物特点在于要有合适的气压。前驱物在周围温度和压力下可能具有气态、液态或固态。然而,在腔室内使用挥发性的前驱物。示例性的硅前驱物包括硅烷、烷基硅烷、烷基氨基硅烷、硅烷醇、烷氧基硅烷,例如,硅前驱物包括(Me2N)4Si、(Me2N)3SiH、(Me2-N)2SiH2、(Me2N)SiH3、(Et2N)4Si、(Et2N)3SiH、(MeEtN)4Si、(MeEtN)3SiH、Si(NCO)4、MeSi(NCO)3、SiH4、Si2H6、SiCl4、Si2Cl6、MeSiCl3、HSiCl3、Me2SiCl2、H2SiCl2、MeSiH3、Me2SiH2、EtSiH3、Et2SiH2、MeSi(OH)3、MeSi(OH)2、(EtO)4Si及其衍生物或者它们的组合。其它适于作为硅前驱物的烷氧基硅烷可由化学通式(RO)4-nSiLn表示,其中n=0-3,R=甲基、乙基、丙基或丁基以及L=H、OH、F、Cl、Br或I或及其组合物。适于作为硅前驱物的其它烷基硅烷化合物包括R4-nSiHn,其中R分别地为甲基、乙基、丙基、丁基或其它烷基以及n=0-3。适于作为硅前驱物的其它烷基氨基硅烷化合物包括(RR’N)4-nSiHn,其中R或R’分别为氢、甲基、乙基、丙基或丁基以及n=0-3。此外,本发明的一些实施例中更高硅烷用作硅前驱物。在2003年10月17日递交的普通转让的公开号为10/688,797的美国专利申请,公开号为US 20040224089,发明名称为“Silicon-containing Layer Deposition with Silicon Compounds”中公开了更高硅烷,在此引入其全部内容作为参考描述硅前驱物。在ALD工艺期间使用的优选的硅前驱物在此包括(Me2N)3SiH、(Et2N)3SiH、(Me2N)4Si、(Et2N)4Si和(MeEtN)4Si。
在本发明另一的实施例中,通过停止在步骤102中使用特定反应物流入,诸如金属前驱物,同时继续通入其它反应物,诸如硅前驱物和/或氧化气体,在同一ALD腔室中实施步骤102和104。在一实施例中,介电层210包含硅酸铪并且该层通过ALD工艺沉积,该ALD工艺包括依次脉冲HfCl4、水蒸汽、TDMAS和水蒸汽,在ALD循环期间每个前驱物通过清洗分开。介电层210通过重复ALD循环形成直到该薄膜具有约为100的厚度(步骤102)。在不停止ALD工艺情况下,该ALD循环通过停止HfCl4半反应和多个水蒸汽半反应中之一并继续TDMAS半反应和其它水蒸汽半反应。该ALD工艺(步骤104)通过依次脉冲TDMAS和水蒸汽进行,同时每个前驱物由清洗循环分开。在ALD工艺约8个循环后,在含有硅酸铪的介电层210上形成厚度约为5的硅氧化物层220。
在步骤106期间,该衬底可移送至去耦等离子体氮化(DPN)腔室中,诸如CENTURATM DPN腔室,可从位于Santa Clara,California的Applied MaterialsInc购买。在一实施例中,该DPN腔室在同一集簇设备上作为ALD腔室用于沉积介电层210和/或该ALD腔室用于沉积硅氧化物层220。因此,该衬底可暴露于氮化工艺中而不被暴露于周围环境中。硅氧化物层220暴露于氮化工艺中以物理上使氮原子进入硅氧化物材料结合形成含氮的硅氧化物层230,如图2D中所示。含氮的硅氧化物层230的氮浓度可在从约5原子百分率(at%)到约40at%的范围,优选地从约10at%到约25at%。
优选地,该氮化工艺暴露硅氧化物层于氮等离子体中,诸如DPN工艺。在DPN工艺期间,硅氧化物层220用通过一起流入的N2和惰性气体等离子体形成的原子-N碰撞。除N2,其它含氮气体也可用于形成氮等离子体,诸如NH3、肼(例如N2H4或MeN2H3)、胺(例如Me3N、Me2NH或MeNH2)、苯胺(例如C6H5NH2)和叠氮化物(例如MeN3或Me3SiN3)。可用在DPN工艺中的其它惰性气体包括氦气、氖气或氙气。该氮化工艺进行的时间周期从约10秒到约120秒范围,优选地从约15秒到约60秒,例如,约30秒。此外,该氮化工艺在等离子体功率设置在约900瓦到约2700瓦的和压力在约10mTorr到约100mTorr下进行。氮气流速从约0.1slm到约1.0slm,同时惰性气体流速从约0.1slm到约1.0slm。在优选的实施例中,该氮化工艺为DPN工艺并包括由一起流入的Ar和N2形成的等离子体。
在另一实施例中,代替移送衬底进入DPN腔室,氮化工艺可包括在每个ALD半反应期间在ALD循环完成时和/或硅氧化物层220沉积完成时暴露硅氧化物层220于氮等离子体中。例如,氮化远程等离子体暴露于硅氧化物层220上以直接在ALD工艺腔室中形成含氮的硅氧化物层230。基本的氮化物也可能通过加热或热线生成并用在氮化工艺期间。考虑采用其它氮化工艺形成含氮的硅氧化物层230,该氮化工艺包括在含氮环境中对衬底退火处理,和/或在形成含氮的硅氧化物层230同时在ALD循环中在附加的半反应中包含氮气前驱物。例如,在ALD循环期间以形成硅氧化物的附加半反应可包含后紧接着清洗气体的脉冲的NH3的脉冲。
衬底接着移送至退火腔室,诸如从位于Santa Clara,California的AppliedMaterials Inc.购买的CENTURATM RADIANCETM RTP腔室。该退火腔室可在同一集簇设备上作为沉积腔室和/或氮化腔室。因此,该衬底可在不暴露于周围环境下进行退火。图1,步骤108,该衬底进行退火处理使含氮的硅氧化物层230变为覆盖层240,诸如硅氮氧化物(SiOxNy),如图2E中所示。该衬底加热至温度从约600℃到约1200℃范围内,优选地从约800℃到约1,100℃,维持时间周期为从约1秒到约120秒范围内,优选地从约30秒到约90秒,例如,在约1,000℃维持约60秒。一般地,该退火腔室气体含有至少一种退火气体,诸如O2、N2、NH3、N2H4、NO、N2O或其组合。该退火腔室维持在压力从约5Torr到约100Torr的范围流入,例如在约15Torr。含氮的硅氧化物层230中的氮原子化学结合进入覆盖层240。
一旦覆盖层240形成,如图2F中所示,可在其上沉积另外的材料,诸如多晶硅层250。多晶硅层250可通过CVD、原子层外延(ALE)、热分解方法或者现有技术中熟知的类似技术沉积。多晶硅层250通常包含掺杂剂,诸如硼、磷或砷。覆盖层240在介电层210和多晶硅层250之间的介面245处保持为掺杂剂阻挡层,因此载流子的迁移率在硼掺杂的多晶硅层250中增加。
硬件
图4示出了一实施例的工艺腔室380的示意截面图,该工艺腔室包括适用于诸如原子层沉积或快速化学气相沉积的循环沉积的气体输送装置430。在共同转让的公开号为第20030121608号的美国专利中公开了工艺腔室380的具体描述,在此引入其全部内容作为参考。在2004年5月12日递交的受让给AppliedMaterials,Inc.的在审美国临时专利申请第60/570/173号,发明名称为“AtomicLayer Deposition of Hafnium-containing High-K Materials”中描述了用于循环沉积的几个另外腔室,在此引入其全部内容作为参考。用在此处的术语原子层沉积(ALD)和快速化学气相沉积指依次引入反应物以在衬底表面上沉积薄层。可重复该依次引入的反应物以沉积多个薄层从而形成所需厚度的均匀层。该工艺腔室380也可适用于其它沉积技术。
该工艺腔室380包含具有侧壁384和底部386的腔室主体382。该工艺腔室380内的缝隙阀门388为从该工艺腔室380输送和取回衬底390的自动机械(未示出)提供了通道,该衬底诸如具有直径为200mm或320mm的半导体晶片或玻璃衬底。
衬底支架392在该工艺腔室380内将衬底390支撑在衬底的接收面391上。该衬底支架392安装在升降电动机414上以提升和降低该衬底支架392以及设置在其上衬底90。与升降电动机418相连的升降台416安装在该工艺腔室380中并提升和降低通过衬底支架392可移动地设置的杆420。该杆420在该衬底支架392的表面上提升和降低该衬底392。该衬底支架392可包括用于在处理该衬底390时将该衬底390固定在该衬底支架392上的真空卡盘、静电卡盘或压紧环。
该衬底支架392可加热以增加设置在其上的衬底390的温度。例如,该衬底支架392可利用诸如电阻加热器的嵌入式加热元件加热,或可利用诸如设置在该衬底支架392上方的加热灯的辐射热加热。清洗环422可设置在该衬底支架392上以限定清洗通道424,该通道424提供清洗气体至该衬底390的外围部分以避免在其上的沉积。
气体输送装置430设置在该腔室体382的上部分以提供气体诸如工艺气体和/或清洗气体进入该工艺腔室380。真空系统478与泵通道479相连用来从该工艺腔室380排出任意所需的气体并有助于在该工艺腔室380的泵区内维持所需的压力或所需的压力范围。
在一实施例中,如图4所示的该腔室允许该工艺气体和/或清洗气体经由该气体输送装置430以参照该衬底390的平面的法线方向(也就是90℃)进入该工艺腔室380。因此,该衬底390的表面对称地暴露于气体中,因此允许在衬底上形成均匀的薄膜。该工艺气体包括在一个脉冲期间的硅前驱物(例如TDMAS)和包括在另一个脉冲中的氧化气体(例如水蒸汽)。
在一实施例中,该气体输送装置430包含腔室盖432。该腔室盖432包括从该腔室盖432的中心部分延伸出的扩张式通道434和从扩张式通道434延伸至该腔室盖432外围部分的底部表面460。该底部表面460的尺寸和形状基本上覆盖设置在该衬底支架392上的衬底390。该腔室盖432可具有在腔室盖432的外围部分并靠近该衬底390的外周的阻塞462。该盖部分472包括扩张式通道434的一部分和气体入口436A、436B。扩张式通道434具有气体入口436A、436B以提供气体从两个相似的阀门442A、442B流入。从该阀门442A、442B流入的该气体可一起和/或独立地提供。
在一结构中,阀门442A和阀门442B连接以分离反应气体源但是优选地与同一清洗气体源连接。例如,阀门442A与反应气体源48连接而阀门442B与反应气体源439连接,并且两个阀门442A、442B都与清洗气体源440连接。每个阀门442A、442B包括具有阀门座组件444A、444B的输送管443A、443B以及包括具有与阀门452A、452B流动连接阀门座组件446A、446B的的清洗管445A、445B。该输送管443A、443B与该反应气体源438、439相连并与扩张式通道434的该气体入口436A、436B相通。在一实施例中,附加的反应气体源(例如WVG系统输出)、输送管、气体入口和阀门可加在该气体输送装置430(未示出)中。输送管443A、443B的阀门座组件444A、444B控制从反应气体源438、439至扩张式通道434的反应气体流。该清洗管445A、445B与该清洗气体源440相连并与输送管443A、443B在该输送管443A、443B的该阀门座组件444A、444B的下游相交。该清洗管4745A、445B的阀门组件446A、446B控制从该清洗气体源440至输送管443A、443B的清洗气体流。如果载送气体用于输送该反应气体源438、439的反应气体,优选地该同一气体用作载送气体和清洗气体(例如氮气用作载送气体和清洗气体)。在一实施例中,反应气体源438包含TDMAS或Tris-DMAS而气体源439包含来自WVG系统的含有水蒸汽的氧化气体。气体源439可与WVG系统流动相连或者气体源439可为WVG系统。
每个阀门座组件444A、444B、446A、446B可包含膜片和阀门座。该膜片可因受承载而打开或关闭并可分别地因受到控制而关闭或打开。该膜片可气动控制或可电动控制。气动控制阀门的实例包括可从Fujiken和Veriflow购买的气动控制阀门。电动控制阀门的实例包括可从Fujiken购买的电动控制阀门。可编程的逻辑控制器448A、448B可与阀门442A、442B连接用来控制阀门442A、442B的阀门座组件444A、444B、446A、446B的膜片的动作。气动控制阀门可以提供时间低至约0.02秒的气体脉冲。电动控制阀门可以时间周期低至约0.005秒的气体脉冲。电动控制阀门通常需要使用连接在该阀门和该可编程的逻辑控制器之间的驱动器。
每个阀门442A、442B可为零死容积阀门以当阀门的阀门座组件444A、444B为关闭时能使来自输送管443A、443B的反应气体的冲洗。例如清洗管445A、445B可设置在靠近输送管443A、443B的阀门座组件444A、444B处。当该阀门座组件444A、444B关闭时,该清洗管445A、445B可提供清洗气体以清洗该输送管443A、443B。在所示的实施例中,该清洗管445A、445B设置为与该输送管443A、443B的阀门座组件444A、444B稍微分开从而当阀门座组件444A、444B打开时清洗气体不会直接输送进入该阀门座组件444A、444B。这里所用的零死容积阀门定义为具有可忽略的死容积(也就是说,不是必须为零死容积)的阀门。
每个阀门442A、442B可适合提供反应气体438、439和清洗气体440的组合气体流和/或独立气体流,通过阀门442A提供的反应气体438和清洗气体440的组合气体流的一实例包含从清洗气体源440通过清洗管445A的清洗气体的连续流和从反应气体源438通过输送管443A的反应气体脉冲。该清洗气体的连续流可通过使该清洗管445A的阀门座组件446A的膜片保持为打开提供。来自该反应气体源438的反应气体脉冲可通过该打开和关闭输送管443A的阀门座组件444A的的膜片提供。参照阀门442A,通过阀门442A提供的该反应气体438和清洗气体440的独立气体流的一实例包含从该清洗气体源440通过清洗管445A的清洗气体脉冲和从该反应气体源438通过输送管443A的反应气体脉冲。该清洗气体脉冲可通过打开和关闭该打开的清洗管445A的阀门座组件446A的膜片提供。来自该反应气体源438的该反应气体脉冲可通过打开和关闭该输送管443A的膜片阀门座444A提供。
该阀门442A、442B的输送管443A、443B通过气体导管450A、450B与气体入口436A、436B连接。该气体导管450A、450B可和该阀门442A、442B集成在一起或者分立开。一方面,该阀门442A、442B在靠近扩张式管道434处连接以减少该阀门442A、442B和该气体入口436A、436B之间的输送管443A、443B和气体导管450A、450B的不必要的容积。
图4中,扩张式管道434包括具有内径从扩张式管道434的上部分437到下部分435增加的管道,该管道靠近该腔室盖432的底表面460。在一具体的实施例中,用于腔室适合处理200mm直径衬底的该扩张式管道434的内径在该扩张式管道的上部分437处为在约0.2英寸(0.51cm)到约1.0英寸(2.54cm)之间,优选地在约0.3英寸(0.76cm)到约0.9英寸(2.29cm)之间和更优选地在约0.3英寸(0.76cm)和约0.5英寸(1.27cm)之间,以及在该扩张式管道434的下部分435处为在约0.5英寸(1.27cm)和约3.0英寸(7.62cm)之间,优选地在约0.75英寸(1.91cm)到约2.5英寸(6.35cm)和更优选地在约1.1英寸(2.79cm)到约2.0英寸(5.08cm)之间。
在另一具体的实施例中,用于适合处理300mm直径衬底的腔室的该扩张式管道434的内径在该扩张式管道434的上部分437处为在约0.2英寸(0.51cm)到约1.0英寸(2.54cm)之间,优选地在约0.3英寸(0.76cm)到约0.9英寸(2.29cm)之间和更优选地在约0.3英寸(0.76cm)和约0.5英寸(1.27cm)之间,以及在用于300mm衬底的该扩张式管道434的下部分435处为在约0.5英寸(1.27cm)和约3.0英寸(7.62cm)之间,优选地在约0.75英寸(1.91cm)到约2.5英寸(6.35cm)和更优选地在约1.2英寸(3.05cm)到约2.2英寸(5.59cm)之间。一般地,上述尺寸应用于适于提供总气流在约500sccm和约3,000sccm之间的扩张式管道。
在其它具体实施例中,可改变该尺寸以适合某种气流通过。一般地,较大的气流将需要较大直径的扩张式管道。在一实施例中,该扩张式管道434可为截锥形的形状(包括类似于截锥形的形状)。不管气体朝着该扩张式管道434的壁或者直接向下朝着该衬底提供,由于该气体的膨胀,该气流的速率将随着该气流经由扩张式管道434降低。该气流速率的减小有助于降低该气流将吹走吸附在该衬底390表面上的反应物的可能性。
不希望束缚于理论,普遍认为该扩张式管道的从该扩张式管道的上部分437到下部分435逐渐降低的直径允许经由该扩张式管道434较少的气体绝热膨胀,这有助于控制该气体的温度。例如,从该气体入口436A、436B输送进入该扩张式管道434的气体的突然绝热膨胀可导致该气体温度的下降,这将引起该前驱物气体的凝结和微粒的形成。在另一方面,普遍认为根据本发明实施例的逐渐扩张式管道434将提供气体的较少绝热膨胀。因此,更多的热量可传输至或自该气体,因此,通过控制该气体的周围环境温度(也就是说,控制该腔室盖432的温度),可更容易地控制该气体的温度。该逐渐扩张式管道可包括一个或多个准形内表面,诸如锥形平面、凹面、凸面或其组合或可包括一个或多个锥形内表面的截面(也就是说,一部分锥形和一部分非锥形)。
在一实施例中,该气体入口436A、436B位于靠近该扩张式管道434的上部437的位置。在其它实施例中,一个或多个气体入口可位于该上部分437和下部分435之间沿着该扩张式管道434的长度的位置。
图4中,控制单元480,诸如程序化的个人计算机,工作站计算机等可与该工艺腔室380连接控制工艺条件。例如,在衬底处理工序的不同阶段期间,该控制单元480可设置为控制从气体源438、439、440通过该阀门442A、442B的各种气体和清洗气体流。直观地,该控制单元480包括中央处理单元(CPU)482、支持电路484和含有相关控制软件483的存储器486。
该控制单元480可以是能够在用于控制各种腔室和子处理器的工业装置中使用的通用计算机处理器的任意形式中之一。该CPU482可使用任意适合的存储器486,诸如随机存取存储器、只读存取器、软盘驱动器、光盘驱动器、硬盘或任意其它形式的本地的或远程的数字存储器。各种支持电路可与该CPU482连接用于支持该工艺腔室380。该控制单元480可与位于靠近单独的腔室元件的另一控制器连接,诸如阀门442A、442B的可编程逻辑控制器448A、448B的位置。该控制单元480和该工艺腔室380中各种其它元件之间的双向通讯通过称为信号总线488的集中的大量信号电缆处理,图4中示出了一些信号线。除控制来自气体源438、439、440(例如WVG系统)的工艺气体和清洗气体和阀门442A、442B的可编程的逻辑控制器448A、448B,该控制单元480可设置为负责用于晶片处理的其它活动的自动控制,诸如晶片传送、温度控制、腔室抽空和其它活动,其中一些在本文其它部分描述。
用在此处的“衬底表面”,称为在其上可实施工艺的衬底上形成的任何衬底或材料表面。例如,其上可实施工艺的衬底表面根据应用包括材料,诸如硅、硅氧化物、应变硅、绝缘体上硅(SOI)、碳掺杂硅氧化物、硅的氮化物、掺杂硅、锗、镓、砷、玻璃、蓝宝石,以及任意其它材料诸如金属、金属氮化物、金属合金和其它导电材料。衬底表面的阻挡层、金属或金属氮化物包括钛、钛的氮化物、钨的氮化物、钽和钽的氮化。衬底可具有多种尺寸,诸如200mm或300mm直径晶片,以及矩形或正方形平面。本文描述的实施例工艺在许多衬底和表面上沉积含硅层,尤其地,高介电常数的介电材料。其上有利于实施本发明实施例的衬底包括,但不限于半导体晶片,诸如晶体硅(例如Si<100>或Si<111>)、硅氧化物、应变硅、硅锗、掺杂或未掺杂的多晶硅、掺杂或未掺杂的硅片和构图的或未构图的晶片。衬底可暴露于与处理的工艺中以抛光、蚀刻、还原、氧化、羟化、退火和/或烘干该衬底表面。
此处使用的“原子层沉积”或“循环沉积”为依次引入两个或更多反应性化合物以在衬底表面沉积一层材料。该两个、三个或更多反应性化合物可交替地进入工艺腔室的反应区。通常地,每个反应性化合物通过时间延迟分开以允许每个化合物在衬底表面吸附和/或反应。一方面,第一前驱物或化合物A脉冲进入该反应区随后为第一时间延迟。接着,第二前驱物或化合物B脉冲进入该反应区紧接着第二延迟。在每个时间延迟期间清洗气体诸如氮气进入该工艺腔室中清洗该反应区或从该反应区除去任何残留的反应性化合物或副产品。另外,该清洗气体可在整个沉积工艺期间连续地流动从而在反应性化合物脉冲之间的时间延迟期间只有清洗气体流。该反应性化合物为交替地脉冲直到在衬底表面上形成所需的薄膜或薄膜厚度。在任一情况中,该ALD工艺中脉冲化合物A、清洗气体、脉冲化合物B和清洗气体为一个循环。一个循环可用化合物A或化合物B中任意之一开始并继续该循环的每个指令直到得到所需厚度的薄膜。在另一实施例中,包含化合物A的第一前驱物、包含化合物B的第二前驱物和包含化合物C的第三前驱物分别地脉冲进入该工艺腔室中。另外,第一前驱物的脉冲在时间上可与第二前驱物的脉冲重迭,而第三前驱物的脉冲在时间上不与第一和第二前驱物任意之一的脉冲重迭。
在此使用“脉冲”意在表示具体化合物的量是间接地或非连续地进入工艺腔室的反应区中。在每个脉冲内具体化合物的量可根据脉冲的持续时间而随着时间改变。每个脉冲的时持续间根据多个因素是可变的,诸如,使用的该工艺腔室的体积容量、连接至其的真空系统和该具体化合物本身的挥发性/反应性。在此使用的“半反应”表示前驱物脉冲步骤后紧接着清洗步骤。
实施例
该ALD工艺维持在从约70℃到约1,000℃的温度,优选地从约100℃到约400℃,例如约250℃。该ALD工艺在工艺腔室中进行,气压范围在从约0.1Torr到约100Torr,优选地从约1Torr到约10Torr。载送气体(例如N2或Ar)的流速在约2slm到约22slm,优选地约10slm。
实施例1-A含硅的覆盖层形成在高介电常数的栅介质上。最初地,衬底放置在ALD腔室中并且该衬底表面暴露于预处理的水蒸汽中以形成羟基基团。通过实施利用铪前驱物(TDEAH)、硅前驱物(TDMAS)和由水蒸汽产生器(WVG)系统产生的原位水蒸汽的ALD工序在基板表面上沉积硅酸铪,其中该WVG系统可从位于California,Santa Clara的Fujikin of America,Inc购买。该ALD循环包括依次脉冲TDEAH、水蒸汽、TDMAS和水蒸汽,同时每个前驱物通过氮气清洗分开。通过重复该循环直到该薄膜的厚度约100形成该硅酸铪层。
接着,在同一ALD腔室中在该硅酸铪层上形成该含硅的覆盖层。硅氧化物通过依次脉冲具有由WVG系统形成的原位水蒸汽的脉冲硅前驱物(TDMAS)随着ALD工艺生长。载送气体,诸如氮气以约2slm的流率导入ALD工艺腔室中。该TDMAS定量进入该载送气体并脉冲进入该腔室约1秒。氮气清洗气体脉冲进入该腔室约1.5秒以除去任何非结合的TDMAS。氢气和氧气分别以100sccm和约80sccm的流速由WVG系统提供。从WVG系统出来的该原位水蒸汽具有约100sccm的水和约30sccm的氧气。该原位水蒸汽脉冲进入该腔室为1.7秒。该氮气的清洗气体脉冲进入该腔室为1.5秒以除去任何非结合的或未反应的反应物。该ALD循环重复8次以产生约5厚度的硅氧化物层。
该衬底移送至去耦等离子体氮化(DPN)腔室,诸如可从位于California,Santa Clara的Applied Materials,Inc购买的CENTURATM DPN腔室。该衬底表面通过共流入N2和氩等离子体暴露氮化工艺中。该氮化工艺进行约30秒使氮原子进入硅氧化物层结合。该衬底接着移送至退火腔室,诸如可从位于California,Santa Clara的Applied Materials,Inc购买的CENTURATMRADIANCETM RTP腔室并暴露于退火工艺中。该衬底在压力维持在约15Torr的O2环境中,保持温度约为1,000℃约1分钟。这种结合的氮原子与硅氧化物结合形成键以生成硅的氮氧化物。
实例2-A含硅的覆盖层形成在高介电常数的栅介质上。最初地,衬底放置在与安装有远程等离子体产生器的ALD腔室中,该衬底表面暴露于预处理的水蒸汽中以形成羟基基团。通过执行利用铪前驱物(HfCl4)、硅前驱物(Tris-DMAS)和由WVG系统产生的原位水蒸汽的ALD工艺在该衬底表面上沉积硅酸铪层。该ALD循环包括依次地脉冲HfCl4、水蒸汽、Tri-DMAS和水蒸汽,同时每个前驱物通过氩气清洗分开。通过重复该循环直到该薄膜具有约为50的厚度形成该硅酸铪层,接着,改变该ALD循环。该铪前驱物脉冲和其中一个水蒸汽脉冲停止。因此,形成硅氧化物而不是硅酸铪的该ALD循环,包括继续依次脉冲Tris-DMAS和由WVG系统形成的水蒸汽。载送气体,诸如氩气,以约2slm的流速导向进入该ALD工艺腔室。该Tris-DMAS定量进入该载送气体中并脉冲进入该腔室约1秒。氩气的清洗气体脉冲进入该腔室1.5秒以除去任何非结合的Tris-DMAS。氢气和氩气分别以100sccm和80sccm的流速供给WVG系统。从WVG系统排出的该原位水蒸汽具有约100sccm的水蒸汽和约30sccm的氧气。该原位水蒸汽脉冲进入该腔室1.7秒。该氩气脉冲进入该腔室1.5秒以除去任何非结合的或未反应的反应物。该ALD循环重复8次以生成约5厚度的硅氧化物层。
该衬底保持在安装由远程等离子体产生器的同一ALD腔室中。该衬底表面暴露于远程等离子体氮化工艺约30秒使氮原子进入硅氧化物层内与之结合。该衬底接着移送到另一退火腔室,诸如可从位于California,Santa Clara的Applied Materials,Inc购买的CENTURATM RADIANCETM RTP腔室并暴露于退火工艺中。该衬底在压力维持在约15Torr的O2环境中,保持温度约为1,000℃约1分钟。这种结合的氮原子与硅氧化物形成键以生成硅的氮氧化物。
虽然上文提到了本发明的实施例,但是在不脱离本发明的基本范围以及以下权利要求所确定的范围的情况下,可以设计出本发明的其它和另外的实施例。

Claims (61)

1.一种用于在设置在衬底上的介电层上沉积覆盖层的方法,包括:
暴露衬底在沉积工艺中以在其上形成介电层;
在沉积工艺期间,暴露该衬底在硅前驱物和氧化气体的顺序脉冲中以在该介电层上形成含硅的层;
暴露该衬底在氮化工艺中以在其上形成覆盖层;以及
暴露所述衬底在退火工艺以形成覆盖层。
2.根据权利要求1所述的方法,其特征在于,所述氮化工艺包含氮等离子体。
3.根据权利要求2所述的方法,其特征在于,所述退火工艺在从约600℃到约1200℃的温度范围内执行,执行时间周期在从约1秒到约120秒的范围内。
4.根据权利要求3所述的方法,其特征在于,所述覆盖层厚度约为5或者更少。
5.根据权利要求3所述的方法,其特征在于,在所述覆盖层上沉积多晶硅层。
6.根据权利要求5所述的方法,其特征在于,所述多晶硅层含有选自硼、磷、砷或者其组合的掺杂剂。
7.根据权利要求6所述的方法,其特征在于,所述介电层含有选自HfO2、HfSiO4、HfSixOyNz、HfAlxOyNz、Al2O3、HfO2/Al2O3多层、LaAlOx、LaOx及其衍生物和它们的组合的材料。
8.根据权利要求1所述的方法,其特征在于,在同一工艺腔室内执行所述沉积、氮化和退火工艺。
9.根据权利要求1所述的方法,其特征在于,所述氧化气体含有通过将氢源气体和氧源气体流过水蒸汽产生器所形成的水蒸汽。
10.根据权利要求9所述的方法,其特征在于,所述水蒸汽产生器含有催化剂。
11.根据权利要求10所述的方法,其特征在于,所述氧源气体含有O2、N2O、NO2、N2O5及其衍生物和它们的组合中至少之一的气体。
12.根据权利要求11所述的方法,其特征在于,所述氢源气体包括在载送气体中氢的体积百分比含量为5%或者更少的合成气体。
13.根据权利要求11所述的方法,其特征在于,所述硅前驱物选自(Me2N)4Si、(Me2N)3SiH、(Et2N)4Si、(Et2N)3SiH、(MeEtN)4Si、(MeEtN)3SiH、SiH4、SiCl4、H2SiCl2、Si2H6、Si2Cl6及其衍生物和它们的组合。
14.一种用于通过原子层沉积工艺在介电层上沉积含硅覆盖层的方法,包括:
在工艺腔室内设置其上形成有介电层的衬底;
暴露所述衬底于硅前驱物中;
用清洁气体清洁所述处理腔室;
暴露所述衬底暴露于氧化气体以在其上形成含硅层,所述氧化气体含有通过氢源气体和氧源气体流过水蒸汽产生器所形成的水蒸汽;以及
使用清洁气体清洁所述处理腔室。
15.根据权利要求14所述的方法,其特征在于,所述氧源气体含有选自O2、N2O、NO2、N2O5及其衍生物和它们的组合其中之一的气体。
16.根据权利要求15所述的方法,其特征在于,所述氢源气体含有在载送气体中氢的体积百分比含量为5%或者更少的合成气体。
17.根据权利要求15所述的方法,其特征在于,所述含硅层暴露于氮化工艺中以在其上形成含氮硅层。
18.根据权利要求17所述的方法,其特征在于,在所述氮化工艺以后暴露所述衬底于温度范围在从约600℃到约1200℃退火工艺中约1秒到约120秒。
19.根据权利要求18所述的方法,其特征在于,在同一工艺腔室内执行所述沉积、氮化和退火工艺。
20.根据权利要求15所述的方法,其特征在于,所述硅前驱物选自(Me2N)4Si、(Me2N)3SiH、(Et2N)4Si、(Et2N)3SiH、(MeEtN)4Si、(MeEtN)3SiH、SiH4、SiCl4、H2SiCl2、Si2H6、Si2Cl6及其衍生物和它们的组合。
21.根据权利要求20所述的方法,其特征在于,所述含硅层厚度约为5或者更少。
22.根据权利要求20所述的方法,其特征在于,在所述含硅层上沉积多晶硅层。
23.根据权利要求22所述的方法,其特征在于,所述多晶硅层含有选自硼、磷、砷或者它们的组合的掺杂剂。
24.根据权利要求23所述的方法,其特征在于,所述介电层含有选自HfO2、HfSiO4、HfSixOyNz、HfAlxOyNz、Al2O3、HfO2/Al2O3多层、LaAlOx、LaOx及其衍生物和它们的组合的材料。
25.一种用于在工艺腔室内在衬底上沉积含硅层的方法,包括:
暴露所述衬底于硅前驱物和氧化气体的顺序脉冲中从而在其上形成硅氧化物层,所述氧化气体含有通过氢源气体和氧源气体流过水蒸汽产生器所形成的水蒸汽;以及
暴露所述衬底于氮化工艺中以在其上形成硅的氮氧化物层。
26.根据权利要求25所述的方法,其特征在于,所述氧源气体包含选自O2、N2O、NO2、N2O5及它们组合其中至少之一的气体。
27.根据权利要求26所述的方法,其特征在于,所述氢源气体含有在载送气体中氢的体积百分比含量为5%或者更少的合成气体。
28.根据权利要求27所述的方法,其特征在于,所述水蒸汽产生器含有催化剂。
29.根据权利要求25所述的方法,其特征在于,所述氮化工艺包含氮等离子体。
30.根据权利要求29所述的方法,其特征在于,在所述氮化工艺之后,所述衬底在约600℃到约1200℃的温度范围内退火约1秒到约120秒。
31.根据权利要求29所述的方法,其特征在于,所述硅前驱物选自(Me2N)4Si、(Me2N)3SiH、(Et2N)4Si、(Et2N)3SiH、(MeEtN)4Si、(MeEtN)3SiH、SiH4、SiCl4、H2SiCl2、Si2H6、Si2Cl6及其衍生物和它们的组合。
32.根据权利要求31所述的方法,其特征在于,在所述硅的氮氧化物层上沉积多晶硅层。
33.根据权利要求32所述的方法,其特征在于,所述多晶硅层含有选自硼、磷、砷或者它们的组合的掺杂剂。
34.根据权利要求31所述的方法,其特征在于,所述硅氧化物层厚度约为5或者更少。
35.根据权利要求34所述的方法,其特征在于,所述硅氧化物层沉积在含有选自HfO2、HfSiO4、HfSixOyNz、HfAlxOyNz、Al2O3、HfO2/Al2O3多层、LaAlOx、LaOx及其衍生物和它们的组合的材料的介电层上。
36.一种用于在工艺腔室内在衬底上沉积含硅材料的方法,包括:
在第一去耦等离子体氮化工艺期间在衬底上沉积金属硅酸盐材料,包括:
暴露所述衬底于金属前驱物;
暴露所述衬底于氧化气体中;
暴露所述衬底于硅前驱物中;以及
暴露所述衬底于所述氧化气体中;以及
在第二去耦等离子体氮化工艺期间在所述金属硅酸盐材料上沉积硅氧化物层,包括:
暴露所述衬底于所述硅前驱物;以及
暴露所述衬底于所述氧化气体中。
37.根据权利要求36所述的方法,其特征在于,所述氧化气体含有通过氢源气体和氧源气体流过水蒸汽产生器所形成的水蒸汽。
38.根据权利要求37所述的方法.其特征在于,所述氧源气体含有选自O2、N2O、NO2、N2O5及它们组合其中至少之一的气体。
39.根据权利要求38所述的方法,其特征在于,所述氢源气体含有在载送气体中氢的体积百分比含量为5%或者更少的合成气体。
40.根据权利要求38所述的方法,其特征在于,所述水蒸汽产生器包含催化剂。
41.根据权利要求37所述的方法,其特征在于,暴露所述硅氧化物层于氮化工艺中以在其上形成硅的氮氧化物层。
42.根据权利要求41所述的方法,其特征在于,在氮化工艺之后所述衬底在从约600℃到约1200℃的温度范围退火约1秒到约120秒。
43.根据权利要求42所述的方法,其特征在于,所述硅前驱物选自(Me2N)4Si、(Me2N)3SiH、(Et2N)4Si、(Et2N)3SiH、(MeEtN)4Si、(MeEtN)3SiH、SiH4、SiCl4、H2SiCl2、Si2H6、Si2Cl6及其衍生物和其组合。
44.根据权利要求43所述的方法,其特征在于,在所述硅的氮氧化物层上沉积多晶硅层。
45.根据权利要求44所述的方法,其特征在于,所述多晶硅层含有选自硼、磷、砷或者其组合的掺杂剂。
46.根据权利要求41所述的方法,其特征在于,所述硅的氮氧化层厚度约为5或者更少。
47.根据权利要求46所述的方法,其特征在于,所述金属硅酸盐材料含有铪并且所述金属前驱物为铪前驱物。
48.根据权利要求47所述的方法,其特征在于,所述金属硅酸盐材料还含有选自铝、氮、镧及其组合的元素。
49.一种在工艺腔室中用于在衬底上沉积含硅材料的方法,包括:
在第一去耦等离子体氮化工艺循环期间在衬底上沉积金属硅酸盐材料,在该工艺循环中顺序导入金属前驱物脉冲、第一氧化气体脉冲、硅前驱物脉冲和第二氧化气体脉冲;
通过停止所述金属前驱物脉冲和第一氧化气体脉冲改变所述第一去耦等离子体氮化工艺循环以形成第二去耦等离子体氮化工艺循环;以及
在所述第二去耦等离子体氮化工艺循环期间在所述金属硅酸盐材料上沉积硅氧化物层,所述第二去耦等离子体氮化工艺循环包括顺序通入所述硅前驱物脉冲和所述第二氧化气体脉冲。
50.根据权利要求49所述的方法,其特征在于,所述氧化气体含有通过氢源气体和氧源气体流过水蒸汽产生器所形成的水蒸汽。
51.根据权利要求50所述的方法,其特征在于,所述氧源气体含有选自O2、N2O、NO2、N2O5及其组合其中至少之一的气体。
52.根据权利要求51所述的方法,其特征在于,所述氢源气体含有在载送气体中氢的体积百分比含量为5%或者更少的合成气体。
53.根据权利要求51所述的方法,其特征在于,所述水蒸汽产生器包含催化剂。
54.根据权利要求50所述的方法,其特征在于,暴露所述硅氧化物层于氮化工艺中以在其上形成硅的氮氧化物层。
55.根据权利要求54所述的方法,其特征在于,所述衬底在约600℃到约1200℃的温度范同内退火约1秒到约120秒。
56.根据权利要求55所述的方法,其特征在于,所述硅前驱物选自(Me2N)4Si、(Me2N)3SiH、(Et2N)4Si、(Et2N)3SiH、(MeEtN)4Si、(MeEtN)3SiH、SiH4、SiCl4、H2SiCl2、Si2H6、Si2Cl6及其衍生物和其组合。
57.根据权利要求56所述的方法,其特征在于,在所述硅的氮氧化物层上沉积多晶硅层。
58.根据权利要求57所述的方法,其特征在于,所述多晶硅层含有选自硼、磷、砷或者其组合的掺杂剂。
59.根据权利要求54所述的方法,其特征在于,所述硅的氮氧化物层厚度约为5或者更少。
60.根据权利要求59所述的方法,其特征在于,所述金属硅酸盐材料含有铪并且所述金属前驱物为铪前驱物。
61.根据权利要求60所述的方法,其特征在于,所述金属硅酸盐材料含有选自铝、氮、镧及其组合的元素。
CN2005800061381A 2004-05-21 2005-05-12 在高介电常数的介电材料上的硅的氮氧化物层的形成 Expired - Fee Related CN1926668B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/851,561 2004-05-21
US10/851,561 US8119210B2 (en) 2004-05-21 2004-05-21 Formation of a silicon oxynitride layer on a high-k dielectric material
PCT/US2005/016690 WO2005117087A1 (en) 2004-05-21 2005-05-12 Formation of a silicon oxynitride layer on a high-k dielectric material

Publications (2)

Publication Number Publication Date
CN1926668A true CN1926668A (zh) 2007-03-07
CN1926668B CN1926668B (zh) 2010-09-01

Family

ID=34969676

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2005800061381A Expired - Fee Related CN1926668B (zh) 2004-05-21 2005-05-12 在高介电常数的介电材料上的硅的氮氧化物层的形成

Country Status (6)

Country Link
US (1) US8119210B2 (zh)
EP (1) EP1747581A1 (zh)
JP (1) JP2008500742A (zh)
KR (1) KR20070013337A (zh)
CN (1) CN1926668B (zh)
WO (1) WO2005117087A1 (zh)

Cited By (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102479672A (zh) * 2010-11-22 2012-05-30 中芯国际集成电路制造(上海)有限公司 形成氮氧化硅层的方法
CN101690420B (zh) * 2007-05-23 2013-02-27 应用材料公司 氮化硼和氮化硼导出材料的沉积方法
CN103828061A (zh) * 2011-10-07 2014-05-28 应用材料公司 使用氩气稀释来沉积含硅层的方法
CN103890910A (zh) * 2011-09-23 2014-06-25 诺发系统公司 等离子体活化保形电介质膜沉积
US9117773B2 (en) 2009-08-26 2015-08-25 Asm America, Inc. High concentration water pulses for atomic layer deposition
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9570274B2 (en) 2010-04-15 2017-02-14 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9570290B2 (en) 2010-04-15 2017-02-14 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
CN107210196A (zh) * 2015-02-09 2017-09-26 应用材料公司 氧化硅薄膜的选择性侧向生长
US9786570B2 (en) 2012-11-08 2017-10-10 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US9793110B2 (en) 2010-04-15 2017-10-17 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
CN111696850A (zh) * 2019-03-15 2020-09-22 株式会社国际电气 半导体装置的制造方法、基板处理装置和记录介质
CN113621941A (zh) * 2020-05-08 2021-11-09 韩松化学株式会社 硅前体和使用其制造含硅薄膜的方法
US11646198B2 (en) 2015-03-20 2023-05-09 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control

Families Citing this family (441)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070212850A1 (en) * 2002-09-19 2007-09-13 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
JP2005260093A (ja) * 2004-03-12 2005-09-22 Yamaha Corp 窒化ガリウムのヘテロエピタキシャル成長方法
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
KR100539213B1 (ko) * 2004-07-10 2005-12-27 삼성전자주식회사 복합 유전막 형성 방법 및 이를 이용하는 반도체 장치의제조 방법
KR100653543B1 (ko) * 2004-09-17 2006-12-04 동부일렉트로닉스 주식회사 반도체 소자의 제조 방법
KR20060037894A (ko) * 2004-10-29 2006-05-03 주식회사 하이닉스반도체 반도체 소자의 캐패시터 형성방법
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
JP4456533B2 (ja) * 2005-06-14 2010-04-28 東京エレクトロン株式会社 シリコン酸化膜の形成方法、シリコン酸化膜の形成装置及びプログラム
KR100652427B1 (ko) * 2005-08-22 2006-12-01 삼성전자주식회사 Ald에 의한 도전성 폴리실리콘 박막 형성 방법 및 이를이용한 반도체 소자의 제조 방법
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
KR100718835B1 (ko) * 2005-09-13 2007-05-16 삼성전자주식회사 반도체 모스 트랜지스터와 그 제조 방법
US7524727B2 (en) 2005-12-30 2009-04-28 Intel Corporation Gate electrode having a capping layer
US7972974B2 (en) 2006-01-10 2011-07-05 Micron Technology, Inc. Gallium lanthanide oxide films
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US7645710B2 (en) 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7678710B2 (en) 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7837838B2 (en) 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US7727908B2 (en) 2006-08-03 2010-06-01 Micron Technology, Inc. Deposition of ZrA1ON films
US20080032510A1 (en) * 2006-08-04 2008-02-07 Christopher Olsen Cmos sion gate dielectric performance with double plasma nitridation containing noble gas
US7605030B2 (en) 2006-08-31 2009-10-20 Micron Technology, Inc. Hafnium tantalum oxynitride high-k dielectric and metal gates
US7776765B2 (en) 2006-08-31 2010-08-17 Micron Technology, Inc. Tantalum silicon oxynitride high-k dielectrics and metal gates
US7563730B2 (en) 2006-08-31 2009-07-21 Micron Technology, Inc. Hafnium lanthanide oxynitride films
US7544604B2 (en) 2006-08-31 2009-06-09 Micron Technology, Inc. Tantalum lanthanide oxynitride films
US7759747B2 (en) 2006-08-31 2010-07-20 Micron Technology, Inc. Tantalum aluminum oxynitride high-κ dielectric
WO2008039845A2 (en) 2006-09-26 2008-04-03 Applied Materials, Inc. Fluorine plasma treatment of high-k gate stack for defect passivation
JP4386132B2 (ja) * 2007-02-14 2009-12-16 東京エレクトロン株式会社 被処理体の処理方法及び処理装置
JP4762169B2 (ja) * 2007-02-19 2011-08-31 富士通セミコンダクター株式会社 半導体装置の製造方法
US20080272421A1 (en) * 2007-05-02 2008-11-06 Micron Technology, Inc. Methods, constructions, and devices including tantalum oxide layers
US20080274626A1 (en) * 2007-05-04 2008-11-06 Frederique Glowacki Method for depositing a high quality silicon dielectric film on a germanium substrate with high quality interface
US7682989B2 (en) * 2007-05-18 2010-03-23 Texas Instruments Incorporated Formation of a silicon oxide interface layer during silicon carbide etch stop deposition to promote better dielectric stack adhesion
KR100842494B1 (ko) * 2007-06-25 2008-07-01 주식회사 동부하이텍 반도체 소자의 정렬키 형성 방법
US7910446B2 (en) * 2007-07-16 2011-03-22 Applied Materials, Inc. Integrated scheme for forming inter-poly dielectrics for non-volatile memory devices
US7910497B2 (en) * 2007-07-30 2011-03-22 Applied Materials, Inc. Method of forming dielectric layers on a substrate and apparatus therefor
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
DE102007054384A1 (de) 2007-11-14 2009-05-20 Institut Für Solarenergieforschung Gmbh Verfahren zum Herstellen einer Solarzelle mit einer oberflächenpassivierenden Dielektrikumdoppelschicht und entsprechende Solarzelle
US8012532B2 (en) 2007-12-18 2011-09-06 Micron Technology, Inc. Methods of making crystalline tantalum pentoxide
WO2009114108A2 (en) * 2008-03-08 2009-09-17 Crystal Solar, Inc. Integrated method and system for manufacturing monolithic panels of crystalline solar cells
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US8208241B2 (en) 2008-06-04 2012-06-26 Micron Technology, Inc. Crystallographically orientated tantalum pentoxide and methods of making same
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8445991B2 (en) * 2008-12-24 2013-05-21 Magnachip Semiconductor, Ltd. Semiconductor device with MIM capacitor and method for manufacturing the same
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
KR20120111738A (ko) 2009-12-30 2012-10-10 어플라이드 머티어리얼스, 인코포레이티드 융통성을 가진 질소/수소 비율을 이용하여 제조된 라디칼에 의한 유전체 필름의 성장
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
JP2013517616A (ja) 2010-01-06 2013-05-16 アプライド マテリアルズ インコーポレイテッド 酸化物ライナを使用する流動可能な誘電体
SG182333A1 (en) 2010-01-07 2012-08-30 Applied Materials Inc In-situ ozone cure for radical-component cvd
WO2011089647A1 (ja) * 2010-01-22 2011-07-28 株式会社 東芝 半導体装置及びその製造方法
US8173516B2 (en) * 2010-02-11 2012-05-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming shallow trench isolation structure
CN102844848A (zh) 2010-03-05 2012-12-26 应用材料公司 通过自由基成分化学气相沉积的共形层
US8236708B2 (en) * 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
JP2011243620A (ja) * 2010-05-14 2011-12-01 Tokyo Electron Ltd 成膜方法および成膜装置
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US8822350B2 (en) * 2010-11-19 2014-09-02 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing method and substrate processing apparatus
JP5847566B2 (ja) 2011-01-14 2016-01-27 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
KR20120089147A (ko) * 2011-02-01 2012-08-09 삼성전자주식회사 반도체 소자의 제조 방법
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8569158B2 (en) 2011-03-31 2013-10-29 Tokyo Electron Limited Method for forming ultra-shallow doping regions by solid phase diffusion
US8580664B2 (en) 2011-03-31 2013-11-12 Tokyo Electron Limited Method for forming ultra-shallow boron doping regions by solid phase diffusion
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US9653327B2 (en) 2011-05-12 2017-05-16 Applied Materials, Inc. Methods of removing a material layer from a substrate using water vapor treatment
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
TWI549163B (zh) * 2011-09-20 2016-09-11 應用材料股份有限公司 減少摻質擴散之表面穩定化製程
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8809152B2 (en) * 2011-11-18 2014-08-19 International Business Machines Corporation Germanium oxide free atomic layer deposition of silicon oxide and high-k gate dielectric on germanium containing channel for CMOS devices
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9653300B2 (en) 2013-04-16 2017-05-16 United Microelectronics Corp. Structure of metal gate structure and manufacturing method of the same
TWI582839B (zh) * 2013-04-17 2017-05-11 聯華電子股份有限公司 金屬閘極結構及其製作方法
US9343317B2 (en) 2013-07-01 2016-05-17 Micron Technology, Inc. Methods of forming silicon-containing dielectric materials and semiconductor device structures
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
TWI649803B (zh) * 2013-09-30 2019-02-01 蘭姆研究公司 具有電漿輔助式原子層沉積及電漿輔助式化學氣相沉積合成法之深寬比可變的特徵物之間隙填充
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
KR101494274B1 (ko) * 2013-11-08 2015-02-17 주식회사 유진테크 사이클릭 박막 증착 방법 및 반도체 제조 방법, 그리고 비휘발성 메모리 셀
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US20150176122A1 (en) * 2013-12-20 2015-06-25 Intermolecular Inc. Low-temperature growth of complex compound films
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9331094B2 (en) * 2014-04-30 2016-05-03 Sandisk Technologies Inc. Method of selective filling of memory openings
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9899224B2 (en) 2015-03-03 2018-02-20 Tokyo Electron Limited Method of controlling solid phase diffusion of boron dopants to form ultra-shallow doping regions
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11384432B2 (en) 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US20180076026A1 (en) 2016-09-14 2018-03-15 Applied Materials, Inc. Steam oxidation initiation for high aspect ratio conformal radical oxidation
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
KR102616070B1 (ko) * 2017-04-07 2023-12-19 어플라이드 머티어리얼스, 인코포레이티드 비정질 실리콘 갭충전을 개선하기 위한 표면 개질
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
SG11202008268RA (en) 2018-03-19 2020-10-29 Applied Materials Inc Methods for depositing coatings on aerospace components
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
EP3784815A4 (en) 2018-04-27 2021-11-03 Applied Materials, Inc. PROTECTION OF COMPONENTS AGAINST CORROSION
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US20190390341A1 (en) * 2018-06-26 2019-12-26 Lam Research Corporation Deposition tool and method for depositing metal oxide films on organic materials
JP2021529880A (ja) 2018-06-27 2021-11-04 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11038153B2 (en) * 2019-01-15 2021-06-15 Applied Materials, Inc. Methods for HMDSO thermal stability
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200107599A (ko) * 2019-03-08 2020-09-16 에스케이하이닉스 주식회사 반도체장치 및 그 제조 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
WO2020219332A1 (en) 2019-04-26 2020-10-29 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
US11542597B2 (en) 2020-04-08 2023-01-03 Applied Materials, Inc. Selective deposition of metal oxide by pulsed chemical vapor deposition
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
WO2022005696A1 (en) 2020-07-03 2022-01-06 Applied Materials, Inc. Methods for refurbishing aerospace components
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11469147B2 (en) 2020-08-04 2022-10-11 Tokyo Electron Limited Gas phase production of radicals for dielectrics
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11706928B2 (en) * 2020-10-30 2023-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device and method for fabricating the same
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (521)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI118158B (sv) 1999-10-15 2007-07-31 Asm Int Förfarande för modifiering av utgångsämneskemikalierna i en ALD-prosess
FI117944B (fi) 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
US3594295A (en) 1966-09-19 1971-07-20 Physics Technology Lab Inc Rf sputtering of insulator materials
US3427514A (en) * 1966-10-13 1969-02-11 Rca Corp Mos tetrode
FR2134290B1 (zh) 1971-04-30 1977-03-18 Texas Instruments France
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
US4096509A (en) 1976-07-22 1978-06-20 The United States Of America As Represented By The Secretary Of The Air Force MNOS memory transistor having a redeposited silicon nitride gate dielectric
DE2967704D1 (de) 1978-06-14 1991-06-13 Fujitsu Ltd Verfahren zur herstellung einer halbleiteranordnung mit einer isolierschicht.
US4335391A (en) 1978-12-11 1982-06-15 Texas Instruments Incorporated Non-volatile semiconductor memory elements and methods of making
FI57975C (fi) 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
US4262631A (en) 1979-10-01 1981-04-21 Kubacki Ronald M Thin film deposition apparatus using an RF glow discharge
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US4310380A (en) * 1980-04-07 1982-01-12 Bell Telephone Laboratories, Incorporated Plasma etching of silicon
JPS56158873A (en) 1980-05-14 1981-12-07 Hitachi Ltd Dry etching method
US4415275A (en) 1981-12-21 1983-11-15 Dietrich David E Swirl mixing device
JPS57113264A (en) 1980-12-29 1982-07-14 Fujitsu Ltd Manufacture of mis type capacitor
US4459739A (en) 1981-05-26 1984-07-17 Northern Telecom Limited Thin film transistors
DE3279239D1 (en) 1981-07-27 1988-12-29 Toshiba Kk Thin-film transistor and method of manufacture therefor
JPS5861763A (ja) * 1981-10-09 1983-04-12 武笠 均 触感知器消化装置
US4439463A (en) * 1982-02-18 1984-03-27 Atlantic Richfield Company Plasma assisted deposition system
US4605947A (en) 1983-03-07 1986-08-12 Motorola Inc. Titanium nitride MOS device gate electrode and method of producing
US4651185A (en) * 1983-08-15 1987-03-17 Alphasil, Inc. Method of manufacturing thin film transistors and transistors made thereby
US4545112A (en) 1983-08-15 1985-10-08 Alphasil Incorporated Method of manufacturing thin film transistors and transistors made thereby
JPS60114570A (ja) 1983-11-25 1985-06-21 Canon Inc プラズマcvd装置の排気系
US4534826A (en) 1983-12-29 1985-08-13 Ibm Corporation Trench etch process for dielectric isolation
US6784033B1 (en) 1984-02-15 2004-08-31 Semiconductor Energy Laboratory Co., Ltd. Method for the manufacture of an insulated gate field effect semiconductor device
US4563367A (en) * 1984-05-29 1986-01-07 Applied Materials, Inc. Apparatus and method for high rate deposition and etching
GB2162207B (en) 1984-07-26 1989-05-10 Japan Res Dev Corp Semiconductor crystal growth apparatus
US5294286A (en) * 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
US4585516A (en) 1985-03-04 1986-04-29 Tegal Corporation Variable duty cycle, multiple frequency, plasma reactor
JPS62104038A (ja) 1985-07-15 1987-05-14 Dainippon Screen Mfg Co Ltd 水蒸気含有酸素ガス供給装置
JPH0746729B2 (ja) 1985-12-26 1995-05-17 キヤノン株式会社 薄膜トランジスタの製造方法
US4761269A (en) 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US4745082A (en) 1986-06-12 1988-05-17 Ford Microelectronics, Inc. Method of making a self-aligned MESFET using a substitutional gate with side walls
JPH0639357B2 (ja) 1986-09-08 1994-05-25 新技術開発事業団 元素半導体単結晶薄膜の成長方法
US4725560A (en) * 1986-09-08 1988-02-16 International Business Machines Corp. Silicon oxynitride storage node dielectric
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4913929A (en) 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
DE3721637A1 (de) 1987-06-30 1989-01-12 Aixtron Gmbh Gaseinlass fuer eine mehrzahl verschiedener reaktionsgase in reaktionsgefaesse
JP2664685B2 (ja) 1987-07-31 1997-10-15 株式会社東芝 半導体装置の製造方法
DE3743938C2 (de) * 1987-12-23 1995-08-31 Cs Halbleiter Solartech Verfahren zum Atomschicht-Epitaxie-Aufwachsen einer III/V-Verbindungshalbleiter-Dünnschicht
US4851370A (en) 1987-12-28 1989-07-25 American Telephone And Telegraph Company, At&T Bell Laboratories Fabricating a semiconductor device with low defect density oxide
FR2628985B1 (fr) 1988-03-22 1990-12-28 Labo Electronique Physique Reacteur d'epitaxie a paroi protegee contre les depots
US5261959A (en) 1988-05-26 1993-11-16 General Electric Company Diamond crystal growth apparatus
US5874766A (en) * 1988-12-20 1999-02-23 Matsushita Electric Industrial Co., Ltd. Semiconductor device having an oxynitride film
JPH0824191B2 (ja) 1989-03-17 1996-03-06 富士通株式会社 薄膜トランジスタ
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5292673A (en) * 1989-08-16 1994-03-08 Hitachi, Ltd Method of manufacturing a semiconductor device
KR910010516A (ko) 1989-11-15 1991-06-29 아오이 죠이치 반도체 메모리장치
US5225366A (en) * 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
JP2637265B2 (ja) 1990-06-28 1997-08-06 株式会社東芝 窒化珪素膜の形成方法
US5173442A (en) 1990-07-23 1992-12-22 Microelectronics And Computer Technology Corporation Methods of forming channels and vias in insulating layers
US5483919A (en) * 1990-08-31 1996-01-16 Nippon Telegraph And Telephone Corporation Atomic layer epitaxy method and apparatus
US5228950A (en) 1990-12-04 1993-07-20 Applied Materials, Inc. Dry process for removal of undesirable oxide and/or silicon residues from semiconductor wafer after processing
EP0519079B1 (en) 1991-01-08 1999-03-03 Fujitsu Limited Process for forming silicon oxide film
US5178681A (en) * 1991-01-29 1993-01-12 Applied Materials, Inc. Suspension system for semiconductor reactors
JP2764472B2 (ja) 1991-03-25 1998-06-11 東京エレクトロン株式会社 半導体の成膜方法
US5173327A (en) 1991-06-18 1992-12-22 Micron Technology, Inc. LPCVD process for depositing titanium films for semiconductor devices
US5480818A (en) * 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
US5391510A (en) * 1992-02-28 1995-02-21 International Business Machines Corporation Formation of self-aligned metal gate FETs using a benignant removable gate material during high temperature steps
US5726087A (en) * 1992-04-30 1998-03-10 Motorola, Inc. Method of formation of semiconductor gate dielectric
US5338362A (en) 1992-08-29 1994-08-16 Tokyo Electron Limited Apparatus for processing semiconductor wafer comprising continuously rotating wafer table and plural chamber compartments
US5607009A (en) 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
JP2662365B2 (ja) 1993-01-28 1997-10-08 アプライド マテリアルズ インコーポレイテッド 改良された排出システムを有する単一基板式の真空処理装置
US5335138A (en) 1993-02-12 1994-08-02 Micron Semiconductor, Inc. High dielectric constant capacitor and method of manufacture
JP3265042B2 (ja) 1993-03-18 2002-03-11 東京エレクトロン株式会社 成膜方法
DE69405438T2 (de) 1993-03-24 1998-04-02 At & T Corp Verfahren zur Bildung dielektrischer Oxynitridschichten bei der Herstellung integrierter Schaltungen
KR100324792B1 (ko) 1993-03-31 2002-06-20 히가시 데쓰로 플라즈마처리장치
US5443647A (en) 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
JPH0729897A (ja) 1993-06-25 1995-01-31 Nec Corp 半導体装置の製造方法
US5865896A (en) * 1993-08-27 1999-02-02 Applied Materials, Inc. High density plasma CVD reactor with combined inductive and capacitive coupling
KR100291971B1 (ko) 1993-10-26 2001-10-24 야마자끼 순페이 기판처리장치및방법과박막반도체디바이스제조방법
US5851602A (en) 1993-12-09 1998-12-22 Applied Materials, Inc. Deposition of high quality conformal silicon oxide thin films for the manufacture of thin film transistors
EP0661732B1 (en) * 1993-12-28 2004-06-09 Applied Materials, Inc. A method of forming silicon oxy-nitride films by plasma-enhanced chemical vapor deposition
JP3181171B2 (ja) * 1994-05-20 2001-07-03 シャープ株式会社 気相成長装置および気相成長方法
JP2901493B2 (ja) 1994-06-27 1999-06-07 日本電気株式会社 半導体記憶装置及びその製造方法
US5796116A (en) 1994-07-27 1998-08-18 Sharp Kabushiki Kaisha Thin-film semiconductor device including a semiconductor film with high field-effect mobility
US6251758B1 (en) 1994-11-14 2001-06-26 Applied Materials, Inc. Construction of a film on a semiconductor wafer
FI97731C (fi) * 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
FI97730C (fi) * 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
FI100409B (fi) * 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
JP3514912B2 (ja) 1995-08-31 2004-04-05 東芝電子エンジニアリング株式会社 薄膜トランジスタの製造方法
JPH09153616A (ja) 1995-09-28 1997-06-10 Toshiba Corp 半導体装置およびその製造方法
US6264812B1 (en) 1995-11-15 2001-07-24 Applied Materials, Inc. Method and apparatus for generating a plasma
US6054013A (en) 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US5872052A (en) 1996-02-12 1999-02-16 Micron Technology, Inc. Planarization using plasma oxidized amorphous silicon
US5976993A (en) 1996-03-28 1999-11-02 Applied Materials, Inc. Method for reducing the intrinsic stress of high density plasma films
US6254746B1 (en) 1996-05-09 2001-07-03 Applied Materials, Inc. Recessed coil for generating a plasma
US6313035B1 (en) * 1996-05-31 2001-11-06 Micron Technology, Inc. Chemical vapor deposition using organometallic precursors
US6136654A (en) 1996-06-07 2000-10-24 Texas Instruments Incorporated Method of forming thin silicon nitride or silicon oxynitride gate dielectrics
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
JP3220394B2 (ja) 1996-09-27 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置
US5835677A (en) 1996-10-03 1998-11-10 Emcore Corporation Liquid vaporizer system and method
US6190513B1 (en) * 1997-05-14 2001-02-20 Applied Materials, Inc. Darkspace shield for improved RF transmission in inductively coupled plasma sources for sputter deposition
US6071572A (en) 1996-10-15 2000-06-06 Applied Materials, Inc. Forming tin thin films using remote activated specie generation
JPH10150025A (ja) 1996-11-20 1998-06-02 Mitsubishi Electric Corp プラズマ反応装置
EP0847079A3 (en) 1996-12-05 1999-11-03 Texas Instruments Incorporated Method of manufacturing an MIS electrode
JPH10173490A (ja) * 1996-12-10 1998-06-26 Sony Corp シンセサイザ受信機
US5807792A (en) 1996-12-18 1998-09-15 Siemens Aktiengesellschaft Uniform distribution of reactants in a device layer
US5891798A (en) 1996-12-20 1999-04-06 Intel Corporation Method for forming a High dielectric constant insulator in the fabrication of an integrated circuit
US6320238B1 (en) 1996-12-23 2001-11-20 Agere Systems Guardian Corp. Gate structure for integrated circuit fabrication
KR19980071011A (ko) 1997-01-24 1998-10-26 조셉 제이. 스위니 고온 및 고 흐름 속도의 화학적 기상 증착 장치 및 관련증착 방법
US5763922A (en) 1997-02-28 1998-06-09 Intel Corporation CMOS integrated circuit having PMOS and NMOS devices with different gate dielectric layers
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
TW471068B (en) 1997-03-05 2002-01-01 Hitachi Ltd Method for fabricating semiconductor integrated circuit device with insulation film
JP4355036B2 (ja) * 1997-03-18 2009-10-28 キヤノンアネルバ株式会社 イオン化スパッタリング装置
US6551665B1 (en) 1997-04-17 2003-04-22 Micron Technology, Inc. Method for improving thickness uniformity of deposited ozone-TEOS silicate glass layers
JP4344019B2 (ja) 1997-05-28 2009-10-14 キヤノンアネルバ株式会社 イオン化スパッタ方法
JPH111770A (ja) 1997-06-06 1999-01-06 Anelva Corp スパッタリング装置及びスパッタリング方法
JP3191728B2 (ja) * 1997-06-23 2001-07-23 日本電気株式会社 半導体装置及びその製造方法
FI972874A0 (fi) 1997-07-04 1997-07-04 Mikrokemia Oy Foerfarande och anordning foer framstaellning av tunnfilmer
US6073366A (en) 1997-07-11 2000-06-13 Asm America, Inc. Substrate cooling system and method
US6841439B1 (en) * 1997-07-24 2005-01-11 Texas Instruments Incorporated High permittivity silicate gate dielectric
US6013553A (en) 1997-07-24 2000-01-11 Texas Instruments Incorporated Zirconium and/or hafnium oxynitride gate dielectric
KR100385946B1 (ko) 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100269306B1 (ko) 1997-07-31 2000-10-16 윤종용 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법
US6020024A (en) * 1997-08-04 2000-02-01 Motorola, Inc. Method for forming high dielectric constant metal oxides
US6345588B1 (en) 1997-08-07 2002-02-12 Applied Materials, Inc. Use of variable RF generator to control coil voltage distribution
US5960270A (en) 1997-08-11 1999-09-28 Motorola, Inc. Method for forming an MOS transistor having a metallic gate electrode that is formed after the formation of self-aligned source and drain regions
US6287635B1 (en) 1997-08-11 2001-09-11 Torrex Equipment Corp. High rate silicon deposition method at low pressures
US6352594B2 (en) * 1997-08-11 2002-03-05 Torrex Method and apparatus for improved chemical vapor deposition processes using tunable temperature controlled gas injectors
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US6197683B1 (en) * 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
US5834353A (en) * 1997-10-20 1998-11-10 Texas Instruments-Acer Incorporated Method of making deep sub-micron meter MOSFET with a high permitivity gate dielectric
US5937303A (en) 1997-10-29 1999-08-10 Advanced Micro Devices High dielectric constant gate dielectric integrated with nitrogenated gate electrode
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6041734A (en) * 1997-12-01 2000-03-28 Applied Materials, Inc. Use of an asymmetric waveform to control ion bombardment during substrate processing
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US6043157A (en) * 1997-12-18 2000-03-28 Advanced Micro Devices Semiconductor device having dual gate electrode material and process of fabrication thereof
US6258675B1 (en) 1997-12-18 2001-07-10 Advanced Micro Devices, Inc. High K gate electrode
US6083836A (en) 1997-12-23 2000-07-04 Texas Instruments Incorporated Transistors with substitutionally formed gate structures and method
US6235650B1 (en) 1997-12-29 2001-05-22 Vanguard International Semiconductor Corporation Method for improved semiconductor device reliability
KR100269328B1 (ko) 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
US6140024A (en) 1997-12-31 2000-10-31 Texas Instruments Incorporated Remote plasma nitridation for contact etch stop
US6294219B1 (en) 1998-03-03 2001-09-25 Applied Komatsu Technology, Inc. Method of annealing large area glass substrates
US6506287B1 (en) * 1998-03-16 2003-01-14 Applied Materials, Inc. Overlap design of one-turn coil
US6090653A (en) 1998-03-30 2000-07-18 Texas Instruments Method of manufacturing CMOS transistors
US6254738B1 (en) 1998-03-31 2001-07-03 Applied Materials, Inc. Use of variable impedance having rotating core to control coil sputter distribution
JP4214585B2 (ja) 1998-04-24 2009-01-28 富士ゼロックス株式会社 半導体デバイス、半導体デバイスの製造方法及び製造装置
KR100267885B1 (ko) * 1998-05-18 2000-11-01 서성기 반도체 박막증착장치
KR100282853B1 (ko) 1998-05-18 2001-04-02 서성기 연속기체분사에의한반도체박막증착장치
US6025627A (en) 1998-05-29 2000-02-15 Micron Technology, Inc. Alternate method and structure for improved floating gate tunneling devices
NL1009327C2 (nl) 1998-06-05 1999-12-10 Asm Int Werkwijze en inrichting voor het overbrengen van wafers.
KR100319888B1 (ko) 1998-06-16 2002-01-10 윤종용 선택적 금속층 형성방법, 이를 이용한 커패시터 형성 및 콘택홀 매립방법
US6130123A (en) 1998-06-30 2000-10-10 Intel Corporation Method for making a complementary metal gate electrode technology
US6027961A (en) * 1998-06-30 2000-02-22 Motorola, Inc. CMOS semiconductor devices and method of formation
US6660134B1 (en) 1998-07-10 2003-12-09 Applied Materials, Inc. Feedthrough overlap coil
TW419732B (en) 1998-07-15 2001-01-21 Texas Instruments Inc A method for gate-stack formation including a high-k dielectric
US6049114A (en) 1998-07-20 2000-04-11 Motorola, Inc. Semiconductor device having a metal containing layer overlying a gate dielectric
US6358810B1 (en) * 1998-07-28 2002-03-19 Applied Materials, Inc. Method for superior step coverage and interface control for high K dielectric capacitors and related electrodes
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6008095A (en) 1998-08-07 1999-12-28 Advanced Micro Devices, Inc. Process for formation of isolation trenches with high-K gate dielectrics
DE19843151C2 (de) 1998-09-21 2001-03-08 Alfing Montagetechnik Gmbh Bearbeitungsvorrichtung mit mindestens einem Bearbeitungswerkzeug
US6140688A (en) 1998-09-21 2000-10-31 Advanced Micro Devices Inc. Semiconductor device with self-aligned metal-containing gate
US6066533A (en) 1998-09-29 2000-05-23 Advanced Micro Devices, Inc. MOS transistor with dual metal gate structure
US6255231B1 (en) 1998-10-02 2001-07-03 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming a gate oxide layer
KR100327328B1 (ko) 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
US20030101938A1 (en) 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
US6291283B1 (en) 1998-11-09 2001-09-18 Texas Instruments Incorporated Method to form silicates as high dielectric constant materials
US6117279A (en) 1998-11-12 2000-09-12 Tokyo Electron Limited Method and apparatus for increasing the metal ion fraction in ionized physical vapor deposition
US6528856B1 (en) 1998-12-15 2003-03-04 Intel Corporation High dielectric constant metal oxide gate dielectrics
US6497796B1 (en) 1999-01-05 2002-12-24 Novellus Systems, Inc. Apparatus and method for controlling plasma uniformity across a substrate
KR100331544B1 (ko) 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
US6291282B1 (en) 1999-02-26 2001-09-18 Texas Instruments Incorporated Method of forming dual metal gate structures or CMOS devices
US6436801B1 (en) 1999-02-26 2002-08-20 Texas Instruments Incorporated Hafnium nitride gate dielectric
KR100745495B1 (ko) 1999-03-10 2007-08-03 동경 엘렉트론 주식회사 반도체 제조방법 및 반도체 제조장치
US6540838B2 (en) 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6171900B1 (en) * 1999-04-15 2001-01-09 Taiwan Semiconductor Manufacturing Company CVD Ta2O5/oxynitride stacked gate insulator with TiN gate electrode for sub-quarter micron MOSFET
US6255698B1 (en) 1999-04-28 2001-07-03 Advanced Micro Devices, Inc. Separately optimized gate structures for n-channel and p-channel transistors in an integrated circuit
KR100347379B1 (ko) * 1999-05-01 2002-08-07 주식회사 피케이엘 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치
US20030232554A1 (en) 1999-05-04 2003-12-18 Blum Ronald D. Multi-layer tacky and water-absorbing shoe-cleaning product
FI118342B (fi) 1999-05-10 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
EP1186030B1 (de) 1999-05-12 2011-05-04 Qimonda AG Kondensator für halbleiteranordnung und verfahren zum herstellen einer dielektrischen schicht für denselben
JP2000331993A (ja) 1999-05-19 2000-11-30 Mitsubishi Electric Corp プラズマ処理装置
JP2000349081A (ja) * 1999-06-07 2000-12-15 Sony Corp 酸化膜形成方法
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6355108B1 (en) * 1999-06-22 2002-03-12 Applied Komatsu Technology, Inc. Film deposition using a finger type shadow frame
US6238734B1 (en) 1999-07-08 2001-05-29 Air Products And Chemicals, Inc. Liquid precursor mixtures for deposition of multicomponent metal containing materials
US6503561B1 (en) 1999-07-08 2003-01-07 Air Products And Chemicals, Inc. Liquid precursor mixtures for deposition of multicomponent metal containing materials
US6376807B1 (en) 1999-07-09 2002-04-23 Applied Materials, Inc. Enhanced cooling IMP coil support
KR100319494B1 (ko) 1999-07-15 2002-01-09 김용일 원자층 에피택시 공정을 위한 반도체 박막 증착장치
US6297539B1 (en) 1999-07-19 2001-10-02 Sharp Laboratories Of America, Inc. Doped zirconia, or zirconia-like, dielectric film transistor structure and deposition method for same
US6060755A (en) 1999-07-19 2000-05-09 Sharp Laboratories Of America, Inc. Aluminum-doped zirconium dielectric film transistor structure and deposition method for same
US6171910B1 (en) * 1999-07-21 2001-01-09 Motorola Inc. Method for forming a semiconductor device
US6299294B1 (en) 1999-07-29 2001-10-09 Hewlett-Packard Company High efficiency printhead containing a novel oxynitride-based resistor system
US6063704A (en) 1999-08-02 2000-05-16 National Semiconductor Corporation Process for incorporating silicon oxynitride DARC layer into formation of silicide polysilicon contact
US6087231A (en) 1999-08-05 2000-07-11 Advanced Micro Devices, Inc. Fabrication of dual gates of field transistors with prevention of reaction between the gate electrode and the gate dielectric with a high dielectric constant
KR20010017820A (ko) 1999-08-14 2001-03-05 윤종용 반도체 소자 및 그 제조방법
US6184114B1 (en) * 1999-08-17 2001-02-06 Advanced Micro Devices, Inc. MOS transistor formation
US6984415B2 (en) * 1999-08-20 2006-01-10 International Business Machines Corporation Delivery systems for gases for gases via the sublimation of solid precursors
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6033963A (en) * 1999-08-30 2000-03-07 Taiwan Semiconductor Manufacturing Company Method of forming a metal gate for CMOS devices using a replacement gate process
KR100682190B1 (ko) 1999-09-07 2007-02-12 동경 엘렉트론 주식회사 실리콘 산질화물을 포함하는 절연막의 형성 방법 및 장치
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6093590A (en) 1999-09-14 2000-07-25 Worldwide Semiconductor Manufacturing Corp. Method of fabricating transistor having a metal gate and a gate dielectric layer with a high dielectric constant
DE10049257B4 (de) 1999-10-06 2015-05-13 Samsung Electronics Co., Ltd. Verfahren zur Dünnfilmerzeugung mittels atomarer Schichtdeposition
US6277253B1 (en) 1999-10-06 2001-08-21 Applied Materials, Inc. External coating of tungsten or tantalum or other refractory metal on IMP coils
US6753556B2 (en) 1999-10-06 2004-06-22 International Business Machines Corporation Silicate gate dielectric
US7094284B2 (en) 1999-10-07 2006-08-22 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of high dielectric constant and ferroelectric metal oxide thin films and method of using same
US6399208B1 (en) 1999-10-07 2002-06-04 Advanced Technology Materials Inc. Source reagent composition and method for chemical vapor deposition formation or ZR/HF silicate gate dielectric thin films
FI117942B (fi) 1999-10-14 2007-04-30 Asm Int Menetelmä oksidiohutkalvojen kasvattamiseksi
DE60028394T2 (de) 1999-10-15 2007-03-29 Asm International N.V. Konforme auskleidungsschichten für damaszenmetallisierungen
EP1221178A1 (en) 1999-10-15 2002-07-10 ASM America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
US6475276B1 (en) 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
KR100737901B1 (ko) 1999-10-15 2007-07-10 에이에스엠 인터내셔널 엔.브이. 민감한 표면에 나노적층박막을 증착하는 방법
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6541079B1 (en) 1999-10-25 2003-04-01 International Business Machines Corporation Engineered high dielectric constant oxide and oxynitride heterostructure gate dielectrics by an atomic beam deposition technique
TW468212B (en) 1999-10-25 2001-12-11 Motorola Inc Method for fabricating a semiconductor structure including a metal oxide interface with silicon
US6354593B1 (en) * 1999-11-10 2002-03-12 Dror Frommer Multi-level matching game and method
JP2003517304A (ja) * 1999-11-22 2003-05-27 ヒューマン ジノーム サイエンシーズ, インコーポレイテッド クニッツ型プロテアーゼインヒビターポリヌクレオチド、ポリペプチド、および抗体
US6373111B1 (en) 1999-11-30 2002-04-16 Intel Corporation Work function tuning for MOSFET gate electrodes
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
FI118804B (fi) 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6344419B1 (en) * 1999-12-03 2002-02-05 Applied Materials, Inc. Pulsed-mode RF bias for sidewall coverage improvement
US6444555B2 (en) 1999-12-07 2002-09-03 Advanced Micro Devices, Inc. Method for establishing ultra-thin gate insulator using anneal in ammonia
KR100330749B1 (ko) 1999-12-17 2002-04-03 서성기 반도체 박막증착장치
KR100358056B1 (ko) 1999-12-27 2002-10-25 주식회사 하이닉스반도체 반도체 소자의 게이트 산화막 형성방법
FI118343B (fi) 1999-12-28 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
FI118474B (fi) 1999-12-28 2007-11-30 Asm Int Laite ohutkalvojen valmistamiseksi
KR100313091B1 (ko) 1999-12-29 2001-11-07 박종섭 반도체장치의 TaON 게이트절연막 형성방법
US6475854B2 (en) 1999-12-30 2002-11-05 Applied Materials, Inc. Method of forming metal electrodes
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
US6477980B1 (en) 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
EP1124252A2 (en) 2000-02-10 2001-08-16 Applied Materials, Inc. Apparatus and process for processing substrates
US6743473B1 (en) 2000-02-16 2004-06-01 Applied Materials, Inc. Chemical vapor deposition of barriers from novel precursors
US6447636B1 (en) 2000-02-16 2002-09-10 Applied Materials, Inc. Plasma reactor with dynamic RF inductive and capacitive coupling control
KR100378871B1 (ko) 2000-02-16 2003-04-07 주식회사 아펙스 라디칼 증착을 위한 샤워헤드장치
US6492283B2 (en) 2000-02-22 2002-12-10 Asm Microchemistry Oy Method of forming ultrathin oxide layer
DE60125338T2 (de) 2000-03-07 2007-07-05 Asm International N.V. Gradierte dünne schichten
KR20010087598A (ko) 2000-03-08 2001-09-21 황 철 주 Hdp-cvd 장치 및 이를 이용한 갭 필링 방법
US6461483B1 (en) 2000-03-10 2002-10-08 Applied Materials, Inc. Method and apparatus for performing high pressure physical vapor deposition
JP4592867B2 (ja) * 2000-03-27 2010-12-08 株式会社半導体エネルギー研究所 平行平板形プラズマcvd装置及びドライクリーニングの方法
TW576873B (en) 2000-04-14 2004-02-21 Asm Int Method of growing a thin film onto a substrate
TW496907B (en) 2000-04-14 2002-08-01 Asm Microchemistry Oy Method and apparatus of growing a thin film onto a substrate
US7060132B2 (en) 2000-04-14 2006-06-13 Asm International N.V. Method and apparatus of growing a thin film
KR20010096229A (ko) 2000-04-18 2001-11-07 황 철 주 반도체 소자의 극박막 형성장치 및 그 형성방법
KR100363088B1 (ko) 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6984591B1 (en) 2000-04-20 2006-01-10 International Business Machines Corporation Precursor source mixtures
US20010052752A1 (en) 2000-04-25 2001-12-20 Ghosh Amalkumar P. Thin film encapsulation of organic light emitting diode devices
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
CN1233019C (zh) 2000-05-12 2005-12-21 东京电子株式会社 调整等离子体加工系统中电极厚度的方法
FI118805B (fi) 2000-05-15 2008-03-31 Asm Int Menetelmä ja kokoonpano kaasufaasireaktantin syöttämiseksi reaktiokammioon
US6184072B1 (en) * 2000-05-17 2001-02-06 Motorola, Inc. Process for forming a high-K gate dielectric
KR100427423B1 (ko) * 2000-05-25 2004-04-13 가부시키가이샤 고베 세이코쇼 Cvd용 인너튜브
US6554979B2 (en) 2000-06-05 2003-04-29 Applied Materials, Inc. Method and apparatus for bias deposition in a modulating electric field
JP3687651B2 (ja) 2000-06-08 2005-08-24 ジニテック インク. 薄膜形成方法
US6444592B1 (en) 2000-06-20 2002-09-03 International Business Machines Corporation Interfacial oxidation process for high-k gate dielectric process integration
KR100332314B1 (ko) * 2000-06-24 2002-04-12 서성기 박막증착용 반응용기
KR100332313B1 (ko) 2000-06-24 2002-04-12 서성기 Ald 박막증착장치 및 증착방법
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
KR100545706B1 (ko) 2000-06-28 2006-01-24 주식회사 하이닉스반도체 반도체 소자 제조방법
KR100333375B1 (ko) 2000-06-30 2002-04-18 박종섭 반도체 소자의 게이트 제조방법
DE10034003A1 (de) 2000-07-07 2002-01-24 Infineon Technologies Ag Grabenkondensator mit Isolationskragen und entsprechendes Herstellungsverfahren
FI20001694A0 (fi) 2000-07-20 2000-07-20 Asm Microchemistry Oy Menetelmä ohutkalvon kasvattamiseksi substraatille
AU2001280609A1 (en) 2000-07-20 2002-02-05 North Carolina State University High dielectric constant metal silicates formed by controlled metal-surface reactions
KR100444149B1 (ko) * 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법
US20050230047A1 (en) 2000-08-11 2005-10-20 Applied Materials, Inc. Plasma immersion ion implantation apparatus
US6551446B1 (en) 2000-08-11 2003-04-22 Applied Materials Inc. Externally excited torroidal plasma source with a gas distribution plate
US6348126B1 (en) * 2000-08-11 2002-02-19 Applied Materials, Inc. Externally excited torroidal plasma source
US6302965B1 (en) 2000-08-15 2001-10-16 Applied Materials, Inc. Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces
US6800830B2 (en) * 2000-08-18 2004-10-05 Hitachi Kokusai Electric, Inc. Chemistry for boron diffusion barrier layer and method of application in semiconductor device fabrication
US6548368B1 (en) 2000-08-23 2003-04-15 Applied Materials, Inc. Method of forming a MIS capacitor
US6461909B1 (en) 2000-08-30 2002-10-08 Micron Technology, Inc. Process for fabricating RuSixOy-containing adhesion layers
EP1326271A4 (en) 2000-09-18 2005-08-24 Tokyo Electron Ltd METHOD FOR FILMING A GATE INSULATOR, DEVICE FOR FILMING A GATE INSULATOR AND A CLUSTER TOOL
US6576967B1 (en) 2000-09-18 2003-06-10 Motorola, Inc. Semiconductor structure and process for forming a metal oxy-nitride dielectric layer
KR100848423B1 (ko) 2000-09-19 2008-07-28 맷슨 테크놀로지, 인크. 유전체 코팅 및 유전체 코팅을 형성하는 방법
JP2002172767A (ja) 2000-09-26 2002-06-18 Canon Inc インクジェット記録装置及びその制御方法と情報処理装置及び方法
JP5290488B2 (ja) 2000-09-28 2013-09-18 プレジデント アンド フェロウズ オブ ハーバード カレッジ 酸化物、ケイ酸塩及びリン酸塩の気相成長
US6660660B2 (en) 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
US6297107B1 (en) 2000-10-19 2001-10-02 Advanced Micro Devices, Inc. High dielectric constant materials as gate dielectrics
KR100378186B1 (ko) 2000-10-19 2003-03-29 삼성전자주식회사 원자층 증착법으로 형성된 박막이 채용된 반도체 소자 및그 제조방법
US6395650B1 (en) 2000-10-23 2002-05-28 International Business Machines Corporation Methods for forming metal oxide layers with enhanced purity
US6413382B1 (en) 2000-11-03 2002-07-02 Applied Materials, Inc. Pulsed sputtering with a small rotating magnetron
US6638877B2 (en) 2000-11-03 2003-10-28 Texas Instruments Incorporated Ultra-thin SiO2using N2O as the oxidant
KR100436941B1 (ko) 2000-11-07 2004-06-23 주성엔지니어링(주) 박막 증착 장치 및 그 방법
US6610615B1 (en) 2000-11-15 2003-08-26 Intel Corporation Plasma nitridation for reduced leakage gate dielectric layers
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
JP2002167661A (ja) 2000-11-30 2002-06-11 Anelva Corp 磁性多層膜作製装置
KR100869326B1 (ko) 2000-11-30 2008-11-18 에이에스엠 인터내셔널 엔.브이. 자기장치용 박막
JP4644359B2 (ja) 2000-11-30 2011-03-02 ルネサスエレクトロニクス株式会社 成膜方法
US6486080B2 (en) 2000-11-30 2002-11-26 Chartered Semiconductor Manufacturing Ltd. Method to form zirconium oxide and hafnium oxide for high dielectric constant materials
US20020104481A1 (en) 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US6878402B2 (en) 2000-12-06 2005-04-12 Novellus Systems, Inc. Method and apparatus for improved temperature control in atomic layer deposition
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US20020076481A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Chamber pressure state-based control for a reactor
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US20020073924A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Gas introduction system for a reactor
KR20020049875A (ko) 2000-12-20 2002-06-26 윤종용 반도체 메모리 소자의 강유전체 커패시터 및 그 제조방법
US6544906B2 (en) 2000-12-21 2003-04-08 Texas Instruments Incorporated Annealing of high-k dielectric materials
JP3963078B2 (ja) 2000-12-25 2007-08-22 株式会社高純度化学研究所 ターシャリーアミルイミドトリス(ジメチルアミド)タンタルとその製造方法及びそれを用いたmocvd用原料溶液並びにそれを用いた窒化タンタル膜の形成方法
KR100387259B1 (ko) * 2000-12-29 2003-06-12 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US20020086111A1 (en) 2001-01-03 2002-07-04 Byun Jeong Soo Method of forming refractory metal nitride layers using chemisorption techniques
US6927435B2 (en) 2001-01-16 2005-08-09 Renesas Technology Corp. Semiconductor device and its production process
KR100434487B1 (ko) 2001-01-17 2004-06-05 삼성전자주식회사 샤워 헤드 및 이를 포함하는 박막 형성 장비
WO2002058130A1 (fr) 2001-01-22 2002-07-25 Tokyo Electron Limited Procede de production
US6844604B2 (en) 2001-02-02 2005-01-18 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
US6613656B2 (en) 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US20020117399A1 (en) 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
US6878206B2 (en) * 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US20020121241A1 (en) 2001-03-02 2002-09-05 Nguyen Anh N. Processing chamber and method of distributing process fluids therein to facilitate sequential deposition of films
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6734020B2 (en) 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
US6365450B1 (en) 2001-03-15 2002-04-02 Advanced Micro Devices, Inc. Fabrication of P-channel field effect transistor with minimized degradation of metal oxide gate
FI109770B (fi) 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
US6365518B1 (en) 2001-03-26 2002-04-02 Applied Materials, Inc. Method of processing a substrate in a processing chamber
US7084080B2 (en) 2001-03-30 2006-08-01 Advanced Technology Materials, Inc. Silicon source reagent compositions, and method of making and using same for microelectronic device structure
US7005392B2 (en) 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
JP3730962B2 (ja) 2001-04-02 2006-01-05 松下電器産業株式会社 半導体装置の製造方法
US20020144655A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. Gas valve system for a reactor
US20020144657A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. ALD reactor employing electrostatic chuck
JP2002313951A (ja) 2001-04-11 2002-10-25 Hitachi Ltd 半導体集積回路装置及びその製造方法
US6617266B2 (en) 2001-04-12 2003-09-09 Applied Materials, Inc. Barium strontium titanate annealing process
US6348386B1 (en) 2001-04-16 2002-02-19 Motorola, Inc. Method for making a hafnium-based insulating film
JP2002314072A (ja) 2001-04-19 2002-10-25 Nec Corp 高誘電体薄膜を備えた半導体装置及びその製造方法並びに誘電体膜の成膜装置
US6514828B2 (en) 2001-04-20 2003-02-04 Micron Technology, Inc. Method of fabricating a highly reliable gate oxide
JP2002343790A (ja) 2001-05-21 2002-11-29 Nec Corp 金属化合物薄膜の気相堆積方法及び半導体装置の製造方法
KR100363332B1 (en) 2001-05-23 2002-12-05 Samsung Electronics Co Ltd Method for forming semiconductor device having gate all-around type transistor
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6548366B2 (en) 2001-06-20 2003-04-15 Texas Instruments Incorporated Method of two-step annealing of ultra-thin silicon dioxide layers for uniform nitrogen profile
US6632747B2 (en) 2001-06-20 2003-10-14 Texas Instruments Incorporated Method of ammonia annealing of ultra-thin silicon dioxide layers for uniform nitrogen profile
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US6861334B2 (en) 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
US6642131B2 (en) 2001-06-21 2003-11-04 Matsushita Electric Industrial Co., Ltd. Method of forming a silicon-containing metal-oxide gate dielectric by depositing a high dielectric constant film on a silicon substrate and diffusing silicon from the substrate into the high dielectric constant film
US6709989B2 (en) 2001-06-21 2004-03-23 Motorola, Inc. Method for fabricating a semiconductor structure including a metal oxide interface with silicon
JP4680429B2 (ja) * 2001-06-26 2011-05-11 Okiセミコンダクタ株式会社 テキスト音声変換装置における高速読上げ制御方法
US6420279B1 (en) 2001-06-28 2002-07-16 Sharp Laboratories Of America, Inc. Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate
TW539822B (en) 2001-07-03 2003-07-01 Asm Inc Source chemical container assembly
US20030198754A1 (en) 2001-07-16 2003-10-23 Ming Xi Aluminum oxide chamber and process
US7098131B2 (en) 2001-07-19 2006-08-29 Samsung Electronics Co., Ltd. Methods for forming atomic layers and thin films including tantalum nitride and devices including the same
US7105444B2 (en) 2001-07-19 2006-09-12 Samsung Electronics Co., Ltd. Method for forming a wiring of a semiconductor device, method for forming a metal layer of a semiconductor device and apparatus for performing the same
US20030017697A1 (en) * 2001-07-19 2003-01-23 Kyung-In Choi Methods of forming metal layers using metallic precursors
US6677254B2 (en) * 2001-07-23 2004-01-13 Applied Materials, Inc. Processes for making a barrier between a dielectric and a conductor and products produced therefrom
US6642156B2 (en) * 2001-08-01 2003-11-04 International Business Machines Corporation Method for forming heavy nitrogen-doped ultra thin oxynitride gate dielectrics
US6820570B2 (en) 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
US6824658B2 (en) 2001-08-30 2004-11-30 Applied Materials, Inc. Partial turn coil for generating a plasma
US6806145B2 (en) 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
KR100432704B1 (ko) 2001-09-01 2004-05-24 주성엔지니어링(주) 수소화된 SiOC 박막 제조방법
US20030042630A1 (en) 2001-09-05 2003-03-06 Babcoke Jason E. Bubbler for gas delivery
EP1425435A2 (en) 2001-09-14 2004-06-09 Asm International N.V. Metal nitride deposition by ald using gettering reactant
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US20030049931A1 (en) 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
US6936906B2 (en) 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
TW512504B (en) 2001-10-12 2002-12-01 Advanced Semiconductor Eng Package substrate having protruded and recessed side edge
US20030072884A1 (en) 2001-10-15 2003-04-17 Applied Materials, Inc. Method of titanium and titanium nitride layer deposition
US6475908B1 (en) 2001-10-18 2002-11-05 Chartered Semiconductor Manufacturing Ltd. Dual metal gate process: metals and their silicides
US6946408B2 (en) 2001-10-24 2005-09-20 Applied Materials, Inc. Method and apparatus for depositing dielectric films
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6902624B2 (en) 2001-10-29 2005-06-07 Genus, Inc. Massively parallel atomic layer deposition/chemical vapor deposition system
US6472337B1 (en) 2001-10-30 2002-10-29 Sharp Laboratories Of America, Inc. Precursors for zirconium and hafnium oxide thin film deposition
US6743681B2 (en) 2001-11-09 2004-06-01 Micron Technology, Inc. Methods of Fabricating Gate and Storage Dielectric Stacks having Silicon-Rich-Nitride
US20030096473A1 (en) 2001-11-16 2003-05-22 Taiwan Semiconductor Manufacturing Company Method for making metal capacitors with low leakage currents for mixed-signal devices
US6770521B2 (en) 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US6773507B2 (en) 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
JP2003179049A (ja) 2001-12-11 2003-06-27 Matsushita Electric Ind Co Ltd 絶縁膜形成方法、半導体装置及びその製造方法
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US20030111678A1 (en) 2001-12-14 2003-06-19 Luigi Colombo CVD deposition of M-SION gate dielectrics
US6653698B2 (en) 2001-12-20 2003-11-25 International Business Machines Corporation Integration of dual workfunction metal gate CMOS devices
US6696332B2 (en) 2001-12-26 2004-02-24 Texas Instruments Incorporated Bilayer deposition to avoid unwanted interfacial reactions during high K gate dielectric processing
US6790755B2 (en) 2001-12-27 2004-09-14 Advanced Micro Devices, Inc. Preparation of stack high-K gate dielectrics with nitrided layer
US6674138B1 (en) 2001-12-31 2004-01-06 Advanced Micro Devices, Inc. Use of high-k dielectric materials in modified ONO structure for semiconductor devices
US6821873B2 (en) 2002-01-10 2004-11-23 Texas Instruments Incorporated Anneal sequence for high-κ film property optimization
US6504214B1 (en) * 2002-01-11 2003-01-07 Advanced Micro Devices, Inc. MOSFET device having high-K dielectric layer
US6528858B1 (en) 2002-01-11 2003-03-04 Advanced Micro Devices, Inc. MOSFETs with differing gate dielectrics and method of formation
US6770254B2 (en) 2002-01-17 2004-08-03 Air Products And Chemicals, Inc. Purification of group IVb metal halides
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
WO2003065424A2 (en) * 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6866746B2 (en) 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US7063981B2 (en) 2002-01-30 2006-06-20 Asm International N.V. Active pulse monitoring in a chemical reactor
US6806653B2 (en) 2002-01-31 2004-10-19 Tokyo Electron Limited Method and structure to segment RF coupling to silicon electrode
US6777352B2 (en) 2002-02-11 2004-08-17 Applied Materials, Inc. Variable flow deposition apparatus and method in semiconductor substrate processing
US6452229B1 (en) 2002-02-21 2002-09-17 Advanced Micro Devices, Inc. Ultra-thin fully depleted SOI device with T-shaped gate and method of fabrication
US6617209B1 (en) 2002-02-22 2003-09-09 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US7323422B2 (en) 2002-03-05 2008-01-29 Asm International N.V. Dielectric layers and methods of forming the same
US7217665B2 (en) 2002-11-20 2007-05-15 Applied Materials, Inc. Method of plasma etching high-K dielectric materials with high selectivity to underlying layers
US6806095B2 (en) 2002-03-06 2004-10-19 Padmapani C. Nallan Method of plasma etching of high-K dielectric materials with high selectivity to underlying layers
US6753618B2 (en) 2002-03-11 2004-06-22 Micron Technology, Inc. MIM capacitor with metal nitride electrode materials and method of formation
US20030216981A1 (en) 2002-03-12 2003-11-20 Michael Tillman Method and system for hosting centralized online point-of-sale activities for a plurality of distributed customers and vendors
US7018879B2 (en) 2002-03-20 2006-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method of making an ultrathin silicon dioxide gate with improved dielectric properties using NH3 nitridation and post-deposition rapid thermal annealing
WO2003081667A1 (en) 2002-03-26 2003-10-02 Matsushita Electric Industrial Co., Ltd. Semiconductor device and production method therefor
US6825134B2 (en) 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
JP3937892B2 (ja) 2002-04-01 2007-06-27 日本電気株式会社 薄膜形成方法および半導体装置の製造方法
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6869838B2 (en) 2002-04-09 2005-03-22 Applied Materials, Inc. Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications
US6932871B2 (en) 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US20030235961A1 (en) 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
US6778762B1 (en) 2002-04-17 2004-08-17 Novellus Systems, Inc. Sloped chamber top for substrate processing
US7094704B2 (en) 2002-05-09 2006-08-22 Applied Materials, Inc. Method of plasma etching of high-K dielectric materials
US6682973B1 (en) * 2002-05-16 2004-01-27 Advanced Micro Devices, Inc. Formation of well-controlled thin SiO, SiN, SiON layer for multilayer high-K dielectric applications
US20030213560A1 (en) 2002-05-16 2003-11-20 Yaxin Wang Tandem wafer processing system and process
US7164165B2 (en) 2002-05-16 2007-01-16 Micron Technology, Inc. MIS capacitor
US20030215570A1 (en) 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
KR100505043B1 (ko) 2002-05-25 2005-07-29 삼성전자주식회사 커패시터 형성 방법
US7135421B2 (en) 2002-06-05 2006-11-14 Micron Technology, Inc. Atomic layer-deposited hafnium aluminum oxide
US7183604B2 (en) 2002-06-10 2007-02-27 Interuniversitair Microelektronica Centrum (Imec Vzw) High dielectric constant device
EP1512165A2 (en) * 2002-06-12 2005-03-09 Applied Materials, Inc. Plasma apparatus and method for processing a substrate
US6660659B1 (en) 2002-06-12 2003-12-09 Applied Materials, Inc. Plasma method and apparatus for processing a substrate
US20080090425A9 (en) 2002-06-12 2008-04-17 Christopher Olsen Two-step post nitridation annealing for lower EOT plasma nitrided gate dielectrics
US7122454B2 (en) * 2002-06-12 2006-10-17 Applied Materials, Inc. Method for improving nitrogen profile in plasma nitrided gate dielectric layers
US7887711B2 (en) 2002-06-13 2011-02-15 International Business Machines Corporation Method for etching chemically inert metal oxides
US20030232501A1 (en) 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US6858547B2 (en) * 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US7067439B2 (en) 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
JP2004022902A (ja) 2002-06-18 2004-01-22 Fujitsu Ltd 半導体装置の製造方法
US6924191B2 (en) 2002-06-20 2005-08-02 Applied Materials, Inc. Method for fabricating a gate structure of a field effect transistor
US6902681B2 (en) 2002-06-26 2005-06-07 Applied Materials Inc Method for plasma etching of high-K dielectric materials
US6780720B2 (en) 2002-07-01 2004-08-24 International Business Machines Corporation Method for fabricating a nitrided silicon-oxide gate dielectric
KR100476926B1 (ko) * 2002-07-02 2005-03-17 삼성전자주식회사 반도체 소자의 듀얼 게이트 형성방법
US6855643B2 (en) * 2002-07-12 2005-02-15 Padmapani C. Nallan Method for fabricating a gate structure
US7105891B2 (en) 2002-07-15 2006-09-12 Texas Instruments Incorporated Gate structure and method
US6723658B2 (en) 2002-07-15 2004-04-20 Texas Instruments Incorporated Gate structure and method
US7081409B2 (en) 2002-07-17 2006-07-25 Samsung Electronics Co., Ltd. Methods of producing integrated circuit devices utilizing tantalum amine derivatives
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US6955211B2 (en) * 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7066194B2 (en) * 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
KR100468852B1 (ko) 2002-07-20 2005-01-29 삼성전자주식회사 캐패시터 구조체 형성 방법
US6772072B2 (en) * 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US7300038B2 (en) * 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US6921062B2 (en) * 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
US7449385B2 (en) 2002-07-26 2008-11-11 Texas Instruments Incorporated Gate dielectric and method
US6915592B2 (en) * 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US6921702B2 (en) 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US6919251B2 (en) 2002-07-31 2005-07-19 Texas Instruments Incorporated Gate dielectric and method
US20040029321A1 (en) 2002-08-07 2004-02-12 Chartered Semiconductor Manufacturing Ltd. Method for forming gate insulating layer having multiple dielectric constants and multiple equivalent oxide thicknesses
US6897106B2 (en) * 2002-08-16 2005-05-24 Samsung Electronics Co., Ltd. Capacitor of semiconductor memory device that has composite Al2O3/HfO2 dielectric layer and method of manufacturing the same
KR100542736B1 (ko) 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US6960538B2 (en) 2002-08-21 2005-11-01 Micron Technology, Inc. Composite dielectric forming methods and composite dielectrics
US7199023B2 (en) 2002-08-28 2007-04-03 Micron Technology, Inc. Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed
US7112485B2 (en) 2002-08-28 2006-09-26 Micron Technology, Inc. Systems and methods for forming zirconium and/or hafnium-containing layers
US6958300B2 (en) 2002-08-28 2005-10-25 Micron Technology, Inc. Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
US6875678B2 (en) 2002-09-10 2005-04-05 Samsung Electronics Co., Ltd. Post thermal treatment methods of forming high dielectric layers in integrated circuit devices
JP2004111447A (ja) 2002-09-13 2004-04-08 Handotai Rikougaku Kenkyu Center:Kk 半導体装置及びその製造方法
US6759286B2 (en) 2002-09-16 2004-07-06 Ajay Kumar Method of fabricating a gate structure of a field effect transistor using a hard mask
US20060060565A9 (en) 2002-09-16 2006-03-23 Applied Materials, Inc. Method of etching metals with high selectivity to hafnium-based dielectric materials
US6607973B1 (en) 2002-09-16 2003-08-19 Advanced Micro Devices, Inc. Preparation of high-k nitride silicate layers by cyclic molecular layer deposition
US6767824B2 (en) 2002-09-23 2004-07-27 Padmapani C. Nallan Method of fabricating a gate structure of a field effect transistor using an alpha-carbon mask
US20040065255A1 (en) 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US6649538B1 (en) 2002-10-09 2003-11-18 Taiwan Semiconductor Manufacturing Co. Ltd. Method for plasma treating and plasma nitriding gate oxides
US20040069227A1 (en) 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US6905737B2 (en) 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US6716287B1 (en) 2002-10-18 2004-04-06 Applied Materials Inc. Processing chamber with flow-restricting ring
KR100460841B1 (ko) 2002-10-22 2004-12-09 한국전자통신연구원 플라즈마 인가 원자층 증착법을 통한 질소첨가 산화물박막의 형성방법
US6982230B2 (en) * 2002-11-08 2006-01-03 International Business Machines Corporation Deposition of hafnium oxide and/or zirconium oxide and fabrication of passivated electronic structures
US6902960B2 (en) 2002-11-14 2005-06-07 Sharp Laboratories Of America, Inc. Oxide interface and a method for fabricating oxide thin films
US6689646B1 (en) * 2002-11-14 2004-02-10 Sharp Laboratories Of America, Inc. Plasma method for fabricating oxide thin films
EP1420080A3 (en) 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
JP4115283B2 (ja) 2003-01-07 2008-07-09 シャープ株式会社 半導体装置およびその製造方法
US6994319B2 (en) 2003-01-29 2006-02-07 Applied Materials, Inc. Membrane gas valve for pulsing a gas
US6818094B2 (en) 2003-01-29 2004-11-16 Applied Materials, Inc. Reciprocating gas valve for pulsing a gas
US6868859B2 (en) 2003-01-29 2005-03-22 Applied Materials, Inc. Rotary gas valve for pulsing a gas
US7429540B2 (en) 2003-03-07 2008-09-30 Applied Materials, Inc. Silicon oxynitride gate dielectric formation using multiple annealing steps
US20040198069A1 (en) 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US7442415B2 (en) 2003-04-11 2008-10-28 Sharp Laboratories Of America, Inc. Modulated temperature method of atomic layer deposition (ALD) of high dielectric constant films
US20040209468A1 (en) 2003-04-17 2004-10-21 Applied Materials Inc. Method for fabricating a gate structure of a field effect transistor
TW200506093A (en) 2003-04-21 2005-02-16 Aviza Tech Inc System and method for forming multi-component films
US20050070126A1 (en) 2003-04-21 2005-03-31 Yoshihide Senzaki System and method for forming multi-component dielectric films
DE10319540A1 (de) 2003-04-30 2004-11-25 Infineon Technologies Ag Verfahren zur ALD-Beschichtung von Substraten sowie eine zur Durchführung des Verfahrens geeignete Vorrichtung
US7179754B2 (en) * 2003-05-28 2007-02-20 Applied Materials, Inc. Method and apparatus for plasma nitridation of gate dielectrics using amplitude modulated radio-frequency energy
US6881437B2 (en) 2003-06-16 2005-04-19 Blue29 Llc Methods and system for processing a microelectronic topography
US6930060B2 (en) * 2003-06-18 2005-08-16 International Business Machines Corporation Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric
US6864145B2 (en) 2003-06-30 2005-03-08 Intel Corporation Method of fabricating a robust gate dielectric using a replacement gate flow
US7368392B2 (en) * 2003-07-10 2008-05-06 Applied Materials, Inc. Method of fabricating a gate structure of a field effect transistor having a metal-containing gate electrode
US6911399B2 (en) 2003-09-19 2005-06-28 Applied Materials, Inc. Method of controlling critical dimension microloading of photoresist trimming process by selective sidewall polymer deposition
US7094613B2 (en) 2003-10-21 2006-08-22 Applied Materials, Inc. Method for controlling accuracy and repeatability of an etch process
US20050095859A1 (en) 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US20050104142A1 (en) 2003-11-13 2005-05-19 Vijav Narayanan CVD tantalum compounds for FET get electrodes
US20050153571A1 (en) 2003-11-17 2005-07-14 Yoshihide Senzaki Nitridation of high-k dielectric films
US7115530B2 (en) 2003-12-03 2006-10-03 Texas Instruments Incorporated Top surface roughness reduction of high-k dielectric materials using plasma based processes
US7135361B2 (en) 2003-12-11 2006-11-14 Texas Instruments Incorporated Method for fabricating transistor gate structures and gate dielectrics thereof
US20050130438A1 (en) * 2003-12-15 2005-06-16 Texas Instruments Incorporated Method of fabricating a dielectric layer for a semiconductor structure
US20050130448A1 (en) 2003-12-15 2005-06-16 Applied Materials, Inc. Method of forming a silicon oxynitride layer
US7220635B2 (en) 2003-12-19 2007-05-22 Intel Corporation Method for making a semiconductor device with a metal gate electrode that is formed on an annealed high-k gate dielectric layer
US7217611B2 (en) 2003-12-29 2007-05-15 Intel Corporation Methods for integrating replacement metal gate structures
US7078302B2 (en) 2004-02-23 2006-07-18 Applied Materials, Inc. Gate electrode dopant activation method for semiconductor manufacturing including a laser anneal
US7208361B2 (en) 2004-03-24 2007-04-24 Intel Corporation Replacement gate process for making a semiconductor device that includes a metal gate electrode
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US20060153995A1 (en) 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
US20060062917A1 (en) 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US7105889B2 (en) 2004-06-04 2006-09-12 International Business Machines Corporation Selective implementation of barrier layers to achieve threshold voltage control in CMOS device fabrication with high k dielectrics
US7023064B2 (en) 2004-06-16 2006-04-04 International Business Machines Corporation Temperature stable metal nitride gate electrode
US7279413B2 (en) 2004-06-16 2007-10-09 International Business Machines Corporation High-temperature stable gate structure with metallic electrode
US7431795B2 (en) * 2004-07-29 2008-10-07 Applied Materials, Inc. Cluster tool and method for process integration in manufacture of a gate structure of a field effect transistor
US20060032833A1 (en) * 2004-08-10 2006-02-16 Applied Materials, Inc. Encapsulation of post-etch halogenic residue
US20060042755A1 (en) 2004-08-30 2006-03-02 Plasmamed, Llc Large surface area dry etcher
US7084024B2 (en) 2004-09-29 2006-08-01 International Business Machines Corporation Gate electrode forming methods using conductive hard mask
US7242055B2 (en) 2004-11-15 2007-07-10 International Business Machines Corporation Nitrogen-containing field effect transistor gate stack containing a threshold voltage control layer formed via deposition of a metal oxide
US7064066B1 (en) 2004-12-07 2006-06-20 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric and a titanium carbide gate electrode
US7235501B2 (en) 2004-12-13 2007-06-26 Micron Technology, Inc. Lanthanum hafnium oxide dielectrics
US7446380B2 (en) 2005-04-29 2008-11-04 International Business Machines Corporation Stabilization of flatband voltages and threshold voltages in hafnium oxide based silicon transistors for CMOS
KR100931771B1 (ko) 2005-06-02 2009-12-14 어플라이드 머티어리얼스, 인코포레이티드 산화막 내에 질소를 도입하는 방법 및 장치
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US7317229B2 (en) * 2005-07-20 2008-01-08 Applied Materials, Inc. Gate electrode structures and methods of manufacture
US7964512B2 (en) * 2005-08-22 2011-06-21 Applied Materials, Inc. Method for etching high dielectric constant materials
US20070049043A1 (en) 2005-08-23 2007-03-01 Applied Materials, Inc. Nitrogen profile engineering in HI-K nitridation for device performance enhancement and reliability improvement
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US7294581B2 (en) 2005-10-17 2007-11-13 Applied Materials, Inc. Method for fabricating silicon nitride spacer structures
US7888217B2 (en) 2005-10-20 2011-02-15 Applied Materials, Inc. Method for fabricating a gate dielectric of a field effect transistor
US7884032B2 (en) 2005-10-28 2011-02-08 Applied Materials, Inc. Thin film deposition
US7368394B2 (en) 2006-02-27 2008-05-06 Applied Materials, Inc. Etch methods to form anisotropic features for high aspect ratio applications
US7678710B2 (en) 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US20070209930A1 (en) 2006-03-09 2007-09-13 Applied Materials, Inc. Apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7645710B2 (en) 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7837838B2 (en) 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US20080032510A1 (en) * 2006-08-04 2008-02-07 Christopher Olsen Cmos sion gate dielectric performance with double plasma nitridation containing noble gas

Cited By (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101690420B (zh) * 2007-05-23 2013-02-27 应用材料公司 氮化硼和氮化硼导出材料的沉积方法
US9117773B2 (en) 2009-08-26 2015-08-25 Asm America, Inc. High concentration water pulses for atomic layer deposition
TWI499686B (zh) * 2009-08-26 2015-09-11 Asm Inc 原子層沈積用的高濃度水脈衝
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9570274B2 (en) 2010-04-15 2017-02-14 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US11133180B2 (en) 2010-04-15 2021-09-28 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US11011379B2 (en) 2010-04-15 2021-05-18 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US10559468B2 (en) 2010-04-15 2020-02-11 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US10361076B2 (en) 2010-04-15 2019-07-23 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US10043657B2 (en) 2010-04-15 2018-08-07 Lam Research Corporation Plasma assisted atomic layer deposition metal oxide for patterning applications
US9793110B2 (en) 2010-04-15 2017-10-17 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9570290B2 (en) 2010-04-15 2017-02-14 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9673041B2 (en) 2010-04-15 2017-06-06 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for patterning applications
US10043655B2 (en) 2010-04-15 2018-08-07 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
CN102479672B (zh) * 2010-11-22 2013-10-23 中芯国际集成电路制造(上海)有限公司 形成氮氧化硅层的方法
CN102479672A (zh) * 2010-11-22 2012-05-30 中芯国际集成电路制造(上海)有限公司 形成氮氧化硅层的方法
CN107342216B (zh) * 2011-09-23 2022-05-31 诺发系统公司 等离子体活化保形电介质膜沉积
CN107342216A (zh) * 2011-09-23 2017-11-10 诺发系统公司 等离子体活化保形电介质膜沉积
CN103890910A (zh) * 2011-09-23 2014-06-25 诺发系统公司 等离子体活化保形电介质膜沉积
CN103828061B (zh) * 2011-10-07 2018-02-13 应用材料公司 使用氩气稀释来沉积含硅层的方法
TWI550722B (zh) * 2011-10-07 2016-09-21 應用材料股份有限公司 於基板上形成矽層之方法、形成矽氧化物層之方法及具有其之金屬氧化物薄膜電晶體元件
CN103828061A (zh) * 2011-10-07 2014-05-28 应用材料公司 使用氩气稀释来沉积含硅层的方法
US10008428B2 (en) 2012-11-08 2018-06-26 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US9786570B2 (en) 2012-11-08 2017-10-10 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US10741458B2 (en) 2012-11-08 2020-08-11 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US10804099B2 (en) 2014-11-24 2020-10-13 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9875891B2 (en) 2014-11-24 2018-01-23 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
CN111696853B (zh) * 2015-02-09 2023-04-14 应用材料公司 处理基板的方法
CN111696853A (zh) * 2015-02-09 2020-09-22 应用材料公司 处理基板的方法
CN107210196A (zh) * 2015-02-09 2017-09-26 应用材料公司 氧化硅薄膜的选择性侧向生长
CN107210196B (zh) * 2015-02-09 2020-06-19 应用材料公司 氧化硅薄膜的选择性侧向生长
US11646198B2 (en) 2015-03-20 2023-05-09 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10957514B2 (en) 2016-06-30 2021-03-23 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10373806B2 (en) 2016-06-30 2019-08-06 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10679848B2 (en) 2016-07-01 2020-06-09 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
CN111696850A (zh) * 2019-03-15 2020-09-22 株式会社国际电气 半导体装置的制造方法、基板处理装置和记录介质
CN113621941A (zh) * 2020-05-08 2021-11-09 韩松化学株式会社 硅前体和使用其制造含硅薄膜的方法
CN113621941B (zh) * 2020-05-08 2023-12-01 韩松化学株式会社 硅前体和使用其制造含硅薄膜的方法

Also Published As

Publication number Publication date
EP1747581A1 (en) 2007-01-31
JP2008500742A (ja) 2008-01-10
US20050260347A1 (en) 2005-11-24
KR20070013337A (ko) 2007-01-30
US8119210B2 (en) 2012-02-21
CN1926668B (zh) 2010-09-01
WO2005117087A1 (en) 2005-12-08

Similar Documents

Publication Publication Date Title
CN1926668B (zh) 在高介电常数的介电材料上的硅的氮氧化物层的形成
US8361910B2 (en) Pretreatment processes within a batch ALD reactor
JP5813281B2 (ja) バッチaldリアクタのための処理プロセス
JP4281082B2 (ja) 堆積前の表面調整方法
US8343279B2 (en) Apparatuses for atomic layer deposition
US7629270B2 (en) Remote plasma activated nitridation
KR101149380B1 (ko) 반도체 장치의 제조 방법 및 기판 처리 장치
US7202166B2 (en) Surface preparation prior to deposition on germanium
JP5307513B2 (ja) Ald法又はcvd法による金属含有膜の調製
WO2007030673A2 (en) Vapor deposition of hafnium silicate materials with tris(dimethylamido)silane
CN101529599A (zh) 用于栅极叠层结构的集群顺序处理的方法
JP2010506408A (ja) 金属シリケート膜のald
JP2009021608A (ja) 不揮発性メモリデバイス用インターポリ誘電体を形成するための統合スキーム
JP4007044B2 (ja) 原子層蒸着法を用いた薄膜形成方法
JP4735601B2 (ja) 原子層蒸着法を用いた薄膜形成方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C56 Change in the name or address of the patentee
CP01 Change in the name or title of a patent holder

Address after: American California

Patentee after: Applied Materials Inc.

Address before: American California

Patentee before: Applied Materials Inc.

C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20100901

Termination date: 20120512