CN1971876A - 导体-电介质结构及其制造方法 - Google Patents

导体-电介质结构及其制造方法 Download PDF

Info

Publication number
CN1971876A
CN1971876A CNA2006101635587A CN200610163558A CN1971876A CN 1971876 A CN1971876 A CN 1971876A CN A2006101635587 A CNA2006101635587 A CN A2006101635587A CN 200610163558 A CN200610163558 A CN 200610163558A CN 1971876 A CN1971876 A CN 1971876A
Authority
CN
China
Prior art keywords
layer
plating
seed layer
inculating crystal
sacrificial seed
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2006101635587A
Other languages
English (en)
Other versions
CN100481384C (zh
Inventor
D·L·拉思
杨智超
S·波诺斯
K·K·H·黄
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN1971876A publication Critical patent/CN1971876A/zh
Application granted granted Critical
Publication of CN100481384C publication Critical patent/CN100481384C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76868Forming or treating discontinuous thin films, e.g. repair, enhancement or reinforcement of discontinuous thin films
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • H01L2221/1073Barrier, adhesion or liner layers
    • H01L2221/1084Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L2221/1089Stacks of seed layers

Abstract

通过以下步骤制造导体-电介质互连结构:提供包括其中具有已构图部件的介电层的结构;在所述已构图部件中所述介电层上沉积镀敷籽晶层;在过孔中所述镀敷籽晶层上沉积牺牲籽晶层;通过转向镀敷减小所述牺牲籽晶层的厚度;以及在所述已构图部件中所述牺牲籽晶层上镀敷导电金属。并且提供其中具有过孔的介电层;在已构图部件中所述介电层上镀敷籽晶层;以及位于所述已构图部件中的不连续牺牲籽晶层。

Description

导体-电介质结构及其制造方法
技术领域
本公开涉及导体-电介质结构及其制造方法。具体地,本公开涉及制造BEOL(后段制程)互连结构的方法。本公开一般涉及减少金属化(metallization)内不连续,例如空隙或裂缝的产生的新工艺。根据本公开,采用牺牲籽晶层以防止下面的镀敷籽晶层被氧化。
背景技术
在半导体技术的制造期间,在半导体衬底例如硅衬底上依次沉积和构图各种材料的膜。对于后段制程(BEOL)处理,这些材料包括用于互连结构的金属化层、用于绝缘和覆盖的介电层,以及用于防止互连氧化和扩散的阻挡层。对互连金属化的当前选择是以双镶嵌方法制造的铜。电介质材料包括通过使用硅烷(SiH4)或原硅酸四乙酯(TEOS)前体的等离子体增强化学气相沉积(PECVD)沉积的氧化硅,或通过用于高性能互连应用的化学气相沉积(CVD)沉积的有机硅酸盐玻璃或硼磷硅酸盐玻璃(BPSG)。有机硅酸盐玻璃可以是其致密形式或包括孔隙的形式。
阻挡层的选择包括钽、氮化钽、氮化钨、钌、铱和钛以及这些金属的合金。
随着临界尺寸(CD)的减小,对于扩散阻挡和Cu镀敷籽晶沉积来说,由物理气相沉积(PVD)技术导致的保形和覆盖问题变得更差。这反过来会在镀敷期间导致填充问题例如中心和边缘空隙,其会引起可靠性问题和成品率下降。关于该问题的一种方法是减小PVD材料的总厚度,并利用用作扩散阻挡和镀敷籽晶的衬里(liner)材料的单层。关于上述问题的另一方法是使用化学气相沉积(CVD)或原子层沉积(ALD),与常规PVD技术相比它们得到更好的阶梯覆盖和保形。
这种材料的一个实例是钌(O.Chyan et al,“Electrodeposition ofCopper Thin Film on Ruthenium:A Potential Diffusion Barrier forCopper Interconnects”,J.Electrochem.Soc.,150(5),p.C347,2003)。然而,对于Ru上镀敷Cu存在的问题是在暴露到空气时Ru表面被氧化的趋向,这会引起电导率(以及可能地附着力)降低,导致差的镀敷。除了已构图的结构极差的填充之外,Cu与表面氧化物的不充分附着还产生电迁移和应力可靠性问题。已经建议通过使用例如形成气体和氢等离子体暴露以在镀敷前减少表面氧化物的工艺,来解决这个问题。这些技术的缺点包括:1)在再次生长表面氧化物之前必须被镀敷的还原晶片内存在时间窗口(等待时间),以及2)由还原工艺所需的仪器(tooling)引起的提高了的制造成本,以及增加了的原始工艺时间。
希望有与现有的镀敷工具和镀敷工艺兼容的可以消除或至少最小化在互连内部的镀敷空隙的方法。
发明内容
本公开可以至少最小化在互连部件内部的镀敷空隙。
具体地,本公开的一个方面涉及一种制造互连结构的方法,包括以下步骤:提供包括其中具有已构图部件的介电层的结构;在过孔中所述介电层上沉积镀敷籽晶层;在所述过孔中所述镀敷籽晶层上沉积牺牲籽晶层;通过转向(reverse)镀敷减小所述牺牲籽晶层的厚度;以及在所述已构图部件中所述牺牲籽晶层上镀敷导电金属。
本发明的另一方面涉及一种结构,其包括其中具有已构图部件的介电层;在所述已构图部件中所述介电层上的镀敷籽晶层;以及位于所述镀敷籽晶层上所述已构图部件中的不连续牺牲籽晶层。
通过下面详细的说明,本公开的其它目的和优点对于本领域技术人员将变得显而易见,在下面详细的说明中,通过对最佳实施方式的示例,仅仅示出和描述了优选实施例。应认识到,本公开能够采用其它和不同的实施例,且其多个细节能够在各方面进行修改,而不脱离本公开。因此,本说明书被认为是说明性的而不是限制性的。
附图说明
相信本公开的特征是新颖的,且本公开的基本特征将在所附权利要求中具体提出。附图仅仅用于说明的目的。然而,就其组织和操作方法而言,本公开本身可以参考结合附图的进行的以下详细说明来得到更好的理解,在这些附图中:
图1-5、7和8是本公开的制造工艺的各阶段的结构的示意性截面图。
图6是示例了对电阻率的原位监测的曲线图。
图9是示例了由在未来节点中减小的CD引起的空隙的示意性截面图。
具体实施方式
为了便于理解本公开,参考附图。
考虑到在现有技术中的问题和不足,本公开提供了一种可以减少金属化内的不连续例如空隙或裂缝的产生的结构。
图1示例了一种结构,其包括层间电介质16、位于在电介质16中的槽中的阻挡层18,以及位于阻挡层18上方的导电层17。标号10和20表示分别位于层间电介质材料14中的单镶嵌蚀刻断面和双镶嵌蚀刻断面。标号11表示在单镶嵌蚀刻断面10中的沟槽。标号12表示在双镶嵌蚀刻断面20中的沟槽,而标号13表示过孔。帽层15存在于层间电介质16上、在阻挡层18和部分导电层17上方。
典型的绝缘或电介质材料14和16包括二氧化硅(SiO2)、磷硅酸盐玻璃(PSG)、硼掺杂的PSG(BDPSG)或原硅酸四乙酯(TEOS),更典型地为其介电常数小于3.9的低k电介质例如SILK(从Dow Chemical可得)、SiCH(商品名为BLOK从AMAT可得)、SiCOH(商品名为Coral从Novellus可得、商品名为Black Diamond从AMAT可得、以及商品名为Auora从ASM可得),SiCHN(商品名为N Blok从IBM可得)、CVD碳掺杂的氧化物、多孔CVD碳掺杂的氧化物、多孔和非多孔有机硅酸盐、多孔和非多孔有机旋涂聚合物。
典型的帽层的实例是SiCOH、Blok、SiO2、NBlok、Si3N4和旋涂倍半硅氧烷(silsesquioxanes)。帽层约为10nm至60nm。帽层15的功能是用于防止互连材料17扩散到介电层14中。
一些阻挡层18的实例是钽、氮化钽、钛、氮化钛、钨、氮化钨、钌、铼、钴、钼、铬、铱、铂、硅、碳、锆、铌、铑、钯;它们的混合物和它们的合金。以上金属的合金可以包括各种合金材料例如但不局限于O、S、N、B和P。并且,阻挡层18可以包括多个相同和/或不同组分的层。
更典型的阻挡层是钨、钛、钽、它们的氮化物、Ru和它们的合金。阻挡层18典型地通过化学气相沉积(CVD)或溅射例如物理气相沉积(PVD)或离子化的物理气相沉积(IPVD)沉积而成。
阻挡层典型地具有约8nm到80nm的厚度。
导电材料典型地是Cu、Cu合金、Al、Al合金、Ag、Ag合金、Au、Au合金、W或W合金,更典型地是含Cu的导电材料(例如Cu和Cu合金)。典型地,在美国专利公开2004/178078 A1中公开了用于Cu和Cu合金的镀敷槽,这里引入其内容作为参考。
参考图2,其中在层间电介质(ILD)14和导电层17的暴露部分上方的结构上沉积扩散阻挡层和镀敷籽晶层21。扩散阻挡和镀敷籽晶层21典型地包括钌和/或铱。并且,层21可以包括多个层例如Ru和Ta和/或TaN;Ir和Ta和/或TaN;Ru和TiSiN;以及Ir和TiSiN。
典型地通过用于Ru、Ir和TaN的CVD或ALD沉积层21。虽然PVD沉积技术也可用于Ru、Ir和TaN的沉积。
层21典型地具有约2nm到80nm,更典型地约4nm到20nm的厚度。
在镀敷籽晶层21上方沉积如图3中示出的相对厚的牺牲籽晶层31。牺牲籽晶层31典型地为Cu或Cu合金,并典型地通过PVD沉积。
提供层31以防止或至少最小化对层21的氧化。在与镀敷层21相同的真空下操作的平台上沉积牺牲籽晶层31,以便在两种膜的沉积之间没有空气暴露,从而在沉积的层21的表面上没有氧。平台包括几个沉积室,其中室之间的晶片运输在真空下进行,其间没有空气暴露。
牺牲籽晶层31典型地具有约3nm到约100nm,更典型地约5nm到约50nm的厚度。其需要足够厚,以最小化不连续和/或针孔的几率,这些不连续和针孔可使下伏层21被氧化。
在单个平台中沉积层21和31以后,然后将晶片移动到用于牺牲层31的厚度减薄工艺和将导电材料沉积为已构图部件的另一平台。在厚度减薄工艺和导电材料沉积工艺期间,整个晶片被完全地浸入镀敷槽中。
如图4中所示,通过使用转向镀敷工艺减小牺牲籽晶31的厚度以产生减薄的层41。转向镀敷工艺包括使镀敷槽中的电流转向。在授予Datta并转让给该申请的受让人国际商业机器公司的美国专利5,486,282中描述了典型的转向镀敷工艺,这里引入其全部内容作为参考。减薄包括化学蚀刻,从而在一个实例中,二价铜离子与铜反应以形成亚铜离子。因为牺牲籽晶层31的厚度减薄工艺和导电层71的沉积工艺在同一镀敷槽内进行,并且在这些工艺期间晶片被完全浸入镀敷槽中,因此完全地防止了下伏层21暴露到空气中。
减薄的牺牲层典型地小于层31的50%,更典型地小于层31的20%。
在更典型的工艺中,可以继续转向镀敷以产生不连续的牺牲籽晶层51。不连续的牺牲籽晶层51典型地为1nm到约10nm厚,并覆盖下伏层21的至少约30%。
如图6中所示,在转向镀敷工艺期间可以监测晶片的电阻率,以确定何时获得层41的希望厚度。
然后在图7中所示,典型地通过无电或电镀敷覆盖沉积导电互连71以填充开口11、12和13。典型的互连材料71是Cu、Cu合金如CuAl、Al、Al合金如AlCu、Ag、Ag合金、Au、Au合金、W和W合金,其中Cu和Cu合金更典型。适当的无电和电镀敷槽为已知的,不需要在此描述。(然而,如果可能,可以提供一些参考)。在授予Andricacos等的美国序列号No.09/348,632和美国专利号No.6,331,237 B1中公开了典型的技术,这里引入其整个内容作为参考。因为牺牲籽晶层31的厚度减薄工艺和导电层71的沉积工艺在同一镀敷槽中进行,并且在这些工艺期间晶片被完全浸入镀敷槽中,因此完全防止了下伏层21暴露到空气中。
如图8中所示,然后例如通过化学机械抛光(CMP)平坦化该结构以除去互连材料71的多余部分。用于CMP的典型浆料包含研磨颗粒例如氧化铝、氧化硅、氧化铈、氧化锆或二氧化钛,以及氧化剂例如硝酸铁、碘酸钾、硝酸铈铵、铁氰化钾、硝酸银、次氯酸钠、高氯酸钾、高锰酸钾或过氧化氢。
前述说明书示例和描述了本公开。另外,本公开仅仅示出和描述了优选实施例,但如上所述,应理解,本公开可以在各种其它组合、修改和环境中使用,且可以在这里表达的发明构思的范围内,进行与以上内容和/或相关领域的技术或知识相应的修改和变化。这里描述的上述实施例还旨在解释申请人所知的最佳模式,并使得本领域的技术人员能够利用在这些或其它实施例中并具有具体应用或其用途所需的各种修改的公开。因此,本发明并不旨在将本发明限制为在此公开的形式。并且,所附的权利要求旨在被解释为包括可选实施例。
在该说明书中引用的所有公开和专利申请都被引入作为参考,并且为了任何和所有目的,好像每个单独的公开或专利申请都被具体地和单独地标明作为参考而被引入。

Claims (20)

1.一种制造导体-电介质互连结构的方法,包括以下步骤:提供包括其中具有已构图部件的介电层的结构;在所述已构图部件中所述介电层上沉积镀敷籽晶层;在所述已构图部件中所述镀敷籽晶层上沉积牺牲籽晶层;通过转向镀敷减小所述牺牲籽晶层的厚度;以及在所述已构图部件中所述牺牲籽晶层上沉积导电材料。
2.根据权利要求1的方法,其中所述结构包括单或双镶嵌结构或两者。
3.根据权利要求1的方法,其中所述镀敷籽晶层包括Ru或Ir或两者。
4.根据权利要求1的方法,其中所述牺牲籽晶层包括Cu或Cu合金。
5.根据权利要求1的方法,其中所述镀敷籽晶层包括Ru和Ta和/或TaN;Ir和Ta和/或TaN;Ru和TiSiN;以及Ir和TiSiN。
6.根据权利要求1的方法,其中所述牺牲籽晶层的厚度为约3nm到约100nm。
7.根据权利要求1的方法,其中将所述牺牲籽晶层的厚度减小到原厚度的至少50%。
8.根据权利要求1的方法,其中减小所述牺牲籽晶层的厚度以提供不连续的牺牲籽晶层。
9.根据权利要求1的方法,其中所述导电材料选自Cu、Al、Ag、Au、W以及它们的合金。
10.根据权利要求1的方法,其中所述导电材料包括Cu或Cu合金。
11.根据权利要求1的方法,还包括平坦化所述导电材料。
12.根据权利要求1的方法,其中所述镀敷籽晶层通过化学气相沉积(CVD)或原子层沉积(ALD)技术沉积。
13.根据权利要求1的方法,其中所述牺牲籽晶层通过物理气相沉积(PVD)或化学气相沉积(CVD)或原子层沉积(ALD)技术沉积。
14.根据权利要求13的方法,其中所述牺牲籽晶层在沉积所述镀敷籽晶层的同一平台中沉积。
15.根据权利要求1的方法,其中所述导电材料通过无电或电镀敷沉积,并在其中所述牺牲层被减薄的同一镀敷槽中进行。
16.根据权利要求1的方法,其中所述结构还包括位于所述介电层和阻挡层上方的帽层。
17.一种结构,包括其中具有已构图部件的介电层;在所述已构图部件中所述介电层上的镀敷籽晶层;以及位于所述镀敷籽晶层上所述已构图部件中的不连续牺牲籽晶层。
18.根据权利要求17的结构,其中所述镀敷籽晶层包括Ru或Ir或两者。
19.根据权利要求17的结构,其中所述牺牲籽晶层包括Cu或Cu合金。
20.根据权利要求17的结构,还包括在所述牺牲籽晶层上的导电互连。
CNB2006101635587A 2005-11-23 2006-11-14 导体-电介质结构及其制造方法 Expired - Fee Related CN100481384C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/286,093 2005-11-23
US11/286,093 US20070117377A1 (en) 2005-11-23 2005-11-23 Conductor-dielectric structure and method for fabricating

Publications (2)

Publication Number Publication Date
CN1971876A true CN1971876A (zh) 2007-05-30
CN100481384C CN100481384C (zh) 2009-04-22

Family

ID=38054117

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2006101635587A Expired - Fee Related CN100481384C (zh) 2005-11-23 2006-11-14 导体-电介质结构及其制造方法

Country Status (3)

Country Link
US (2) US20070117377A1 (zh)
JP (1) JP5255198B2 (zh)
CN (1) CN100481384C (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101889332B (zh) * 2007-12-17 2012-06-27 日矿金属株式会社 基板和其制造方法

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7402883B2 (en) * 2006-04-25 2008-07-22 International Business Machines Corporation, Inc. Back end of the line structures with liner and noble metal layer
EP2224472B8 (en) 2007-12-17 2014-03-19 JX Nippon Mining & Metals Corporation Substrate and method for manufacturing the same
US7776740B2 (en) * 2008-01-22 2010-08-17 Tokyo Electron Limited Method for integrating selective low-temperature ruthenium deposition into copper metallization of a semiconductor device
US8390123B2 (en) * 2008-01-23 2013-03-05 Nippon Mining & Metals Co., Ltd. ULSI micro-interconnect member having ruthenium electroplating layer on barrier layer
JP2010171398A (ja) * 2008-12-26 2010-08-05 Toshiba Corp 半導体装置の製造方法
US7745324B1 (en) 2009-01-09 2010-06-29 International Business Machines Corporation Interconnect with recessed dielectric adjacent a noble metal cap
US8399350B2 (en) * 2010-02-05 2013-03-19 International Business Machines Corporation Formation of air gap with protection of metal lines
TW201230245A (en) * 2011-01-14 2012-07-16 Nat Applied Res Laboratories Method for synchronously forming diffusion barrier layer and electroplating seed layer of silver interconnects
US10490448B2 (en) * 2017-12-29 2019-11-26 Texas Instruments Incorporated Method of using a sacrificial conductive stack to prevent corrosion
US11096271B1 (en) * 2020-04-09 2021-08-17 Raytheon Company Double-sided, high-density network fabrication

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5567300A (en) * 1994-09-02 1996-10-22 Ibm Corporation Electrochemical metal removal technique for planarization of surfaces
JP3409831B2 (ja) * 1997-02-14 2003-05-26 日本電信電話株式会社 半導体装置の配線構造の製造方法
WO1999026758A1 (en) * 1997-11-25 1999-06-03 John Hopkins University Electrochemical-control of abrasive polishing and machining rates
TW494443B (en) * 1998-05-12 2002-07-11 Semitool Inc Process and manufacturing tool architecture for use in the manufacture of one or more metallization levels on a workpiece
US6709565B2 (en) * 1998-10-26 2004-03-23 Novellus Systems, Inc. Method and apparatus for uniform electropolishing of damascene ic structures by selective agitation
KR100289739B1 (ko) * 1999-04-21 2001-05-15 윤종용 전기 도금 방법을 이용한 샐프얼라인 스택 커패시터의 제조방법
US6399479B1 (en) * 1999-08-30 2002-06-04 Applied Materials, Inc. Processes to improve electroplating fill
JP2001148383A (ja) * 1999-11-18 2001-05-29 Hitachi Ltd 半導体集積回路装置およびその製造方法
JP2002075994A (ja) * 2000-08-24 2002-03-15 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
US6495443B1 (en) * 2001-06-05 2002-12-17 Advanced Micro Devices, Inc. Method of re-working copper damascene wafers
US7081673B2 (en) * 2003-04-17 2006-07-25 International Business Machines Corporation Multilayered cap barrier in microelectronic interconnect structures
US6848977B1 (en) * 2003-08-29 2005-02-01 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Polishing pad for electrochemical mechanical polishing
US7189292B2 (en) * 2003-10-31 2007-03-13 International Business Machines Corporation Self-encapsulated silver alloys for interconnects
JP4423359B2 (ja) * 2004-01-30 2010-03-03 株式会社荏原製作所 めっき方法
TWI253714B (en) * 2004-12-21 2006-04-21 Phoenix Prec Technology Corp Method for fabricating a multi-layer circuit board with fine pitch

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101889332B (zh) * 2007-12-17 2012-06-27 日矿金属株式会社 基板和其制造方法

Also Published As

Publication number Publication date
US7960276B2 (en) 2011-06-14
US20070117377A1 (en) 2007-05-24
US20080284019A1 (en) 2008-11-20
JP5255198B2 (ja) 2013-08-07
CN100481384C (zh) 2009-04-22
JP2007150298A (ja) 2007-06-14

Similar Documents

Publication Publication Date Title
CN100481384C (zh) 导体-电介质结构及其制造方法
US8039966B2 (en) Structures of and methods and tools for forming in-situ metallic/dielectric caps for interconnects
US8975749B2 (en) Method of making a semiconductor device including barrier layers for copper interconnect
EP2382658B1 (en) Redundant metal barrier structure for interconnect applications
US8124524B2 (en) Methods of forming metal interconnection structures
CN100442474C (zh) 制造半导体器件的方法
US6528884B1 (en) Conformal atomic liner layer in an integrated circuit interconnect
US9112004B2 (en) Barrier layer for copper interconnect
US7304388B2 (en) Method and apparatus for an improved air gap interconnect structure
CN100378953C (zh) 在层间介质互连中形成低电阻和可靠过孔的方法
EP1780788A1 (en) Semiconductor device and method for manufacturing same
CN1316590C (zh) 用于在具有帽盖层的半导体互连结构上沉积金属层的方法
US7879720B2 (en) Methods of forming electrical interconnects using electroless plating techniques that inhibit void formation
CN1591856A (zh) 内联机结构及其制造方法
US7199045B2 (en) Metal-filled openings for submicron devices and methods of manufacture thereof
WO2007044305A2 (en) Plating seed layer including an oxygen/nitrogen transition region for barrier enhancement
US7666782B2 (en) Wire structure and forming method of the same
US7682989B2 (en) Formation of a silicon oxide interface layer during silicon carbide etch stop deposition to promote better dielectric stack adhesion
US6544886B2 (en) Process for isolating an exposed conducting surface
US20200294911A1 (en) Metal Interconnect Structures with Self-Forming Sidewall Barrier Layer
US11270910B2 (en) Interconnect structure with partial sidewall liner

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090422

Termination date: 20181114