CN1983524A - 高介电常数介电层的形成方法、半导体装置及其制造方法 - Google Patents

高介电常数介电层的形成方法、半导体装置及其制造方法 Download PDF

Info

Publication number
CN1983524A
CN1983524A CNA2006101640284A CN200610164028A CN1983524A CN 1983524 A CN1983524 A CN 1983524A CN A2006101640284 A CNA2006101640284 A CN A2006101640284A CN 200610164028 A CN200610164028 A CN 200610164028A CN 1983524 A CN1983524 A CN 1983524A
Authority
CN
China
Prior art keywords
dielectric layer
dielectric constant
layer
high dielectric
metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2006101640284A
Other languages
English (en)
Other versions
CN100517601C (zh
Inventor
张世勋
颜丰裕
林秉顺
金鹰
陶宏远
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN1983524A publication Critical patent/CN1983524A/zh
Application granted granted Critical
Publication of CN100517601C publication Critical patent/CN100517601C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28079Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31645Deposition of Hafnium oxides, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • H01L29/4958Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo with a multiple layer structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET

Abstract

本发明提供一种高介电常数介电层的形成方法、半导体装置及其制造方法。所述高介电常数介电层的形成方法,包括:于衬底上形成一第一介电层;于第一介电层上形成一金属材料层;于金属材料层上形成一第二介电层;以及于一氧化环境中对衬底实施一退火处理,并持续退火处理直到第一介电层、金属材料层及第二介电层结合形成均质的该高介电常数介电层。通过本发明可以提高金属氧化物半导体场效应晶体管的性能。

Description

高介电常数介电层的形成方法、半导体装置及其制造方法
技术领域
本发明涉及一种半导体装置的制造方法,且特别涉及一种具有高介电常数(high-k)的栅极介电层的制造方法。
背景技术
随着集成电路的特征尺寸(feature size)缩小,场效晶体管(FETs)的栅极介电层的厚度也将变得更薄。其原因是当所有的元件皆微型化时,例如栅极宽度缩小,其它元件也必须随之缩小以维持各元件大小的适当比例,才能使元件正常运作。另一原因是若栅极介电层的厚度越小,则晶体管漏极的电流将会增加。施加于栅极的电压可在晶体管的沟道区引发电荷,并且受引发的电荷数量与晶体管漏极的电流量成比例。由栅极介电层两侧的电位差引发的电荷是形成电容的原因。
为了使电容量增加,常见技术通常利用较薄的氧化物作为栅极介电层,例如厚度约10的氧化硅(SiOx)。然而,如此薄的栅极氧化层将使栅极与沟道间的漏电流增加。为了解决该漏电流的问题,常见技术使用具有更高介电常数的材料作为栅极介电层,例如介电常数大于3.9的材料。或者,使用过渡金属的氧化物,其介电常数大于20。使用高介电常数的介电层可在其厚度较厚的情况下仍具有高电容值。因此,利用高介电常数材料可避免许多关于栅极介电层厚度太薄引发的问题。
然而,制作高介电常数的栅极介电层存在许多问题。举例而言,制作高介电常数材料的过程是处于含氧的高温下,此将导致结晶的生成以及界面层的产生。生成结晶的高介电常数介电层,其表面变得粗糙,并且亦造成不规则分布的晶界(grain boundary)。若栅极介电层的表面粗糙,会使邻近介电层附近的沟道区的电场不均匀。而不规则分布的晶界,则发生栅极漏电流的变化。上述的问题皆导致金属氧化物半导体场效应晶体管的性能降低。
例如,当高介电常数材料受到损害后,其比以热氧化法成长的氧化硅具有更多的整体缺陷(bulk trap)及界面缺陷(interface trap)。这些缺陷对亚阈值斜率(sub-threshold slope)及阈值电压(threshold voltage,Vt)造成不利的影响。高缺陷密度亦导致夫伦克尔-普尔(Frenkel-Poole)穿遂漏电流及偏置(bias)温度的不稳定性。并且,平带电压(flatband voltage,Vfb)也因缺陷而产生改变。
基于上述的种种问题,目前需要一种能克服常见缺点的高介电常数栅极介电层的结构及制造方法。
发明内容
有鉴于此,本发明提供一种于高介电常数介电层的形成方法,包括:于衬底上形成一第一介电层;于第一介电层上形成一金属材料层;于金属材料层上形成一第二介电层;以及于一氧化环境中对衬底实施一退火处理,并持续退火处理直到第一介电层、金属材料层及第二介电层结合形成均质的该高介电常数介电层。
根据所述的高介电常数介电层的形成方法,其中该第一介电层及该第二介电层的形成方法包括一非等离子体沉积法。
根据所述的高介电常数介电层的形成方法,其中该非等离子体沉积法包括原子层沉积法及化学气相沉积法。
根据所述的高介电常数介电层的形成方法,其中该金属材料层的形成方法包括等离子体气相沉积法。
根据所述的高介电常数介电层的形成方法,其中该金属材料层包括选自下列群组的至少两种材料:钛、钽、锆、镧及其组合。
根据所述的高介电常数介电层的形成方法,其中该第一介电层及该第二介电层包括HfSiON、Ta2O5、TiO2、Al2O3、ZrO2、HfO2、Y2O3、HfSiOx、HfAlOx、La2O3、DyScO3、PbTiO3、SrTiO3、PbZrO3、稀土元素氧化物、稀土元素钪化物、稀土元素铝酸盐(aluminates)、稀土元素硅酸盐(silicates)或其组合。
根据所述的高介电常数介电层的形成方法,还包括于该衬底及该均质的高介电常数介电层之间形成一界面层,其中该界面层包括SiN、SiO、SiO2、SiON、Si、Hf、Al、Zr、Ti、Ta、La、Y或其组合。
根据所述的高介电常数介电层的形成方法,其中该氧化环境包括O2、O3、N2O、NOx或其组合。
根据所述的高介电常数介电层的形成方法,其中该均质的高介电常数介电层为非晶质。
根据所述的高介电常数介电层的形成方法,其中该金属材料层包括一第一金属及一第二金属,且该第一金属及该第二金属的原子比及厚度比约为3∶7至7∶3。
本发明另提供一种半导体装置的制造方法,包括:于一衬底上形成一界面层;以及于界面层上形成一均质的高介电常数介电层,其中均质的高介电常数介电层包括一第一金属氧化物及一第二金属氧化物,第一及第二金属氧化物的原子比大致相同。
根据所述的半导体装置的制造方法,其中该均质的高介电常数介电层还包括一第三金属氧化物,并且该第一、第二及第三氧化物具有大致相同的原子比。
本发明还提供一种半导体装置,其具有一高介电常数介电层,该半导体装置包括:一界面层,形成于一衬底上;以及一均质的高介电常数介电层,形成于该界面层上,其中该均质的高介电常数介电层包括一第一金属氧化物及一第二金属氧化物,且该均质的高介电常数介电层中的该第一与第二金属氧化物的原子比大致相同。
根据所述的半导体装置,其中该均质的高介电常数介电层还包括一第三金属氧化物,且该第一、第二及第三氧化物具有大致相同的原子比。
根据所述的半导体装置,其中该第二金属氧化物与该第三金属氧化物的原子比约介于3∶7至7∶3。
根据所述的半导体装置,其中该第二及第三金属氧化物包括钛、钽、锆或其组合。
通过本发明可以提高金属氧化物半导体场效应晶体管的性能。
附图说明
图1a是表示根据本发明实施例的金属氧化物半导体场效应晶体管的制作方法的侧视图;
图1b是表示根据本发明实施例的金属氧化物半导体场效应晶体管的制作方法的侧视图;
图2是表示根据本发明实施例的金属氧化物半导体场效应晶体管的制作方法的侧视图;
图3a是表示HfO2/Ti/HfO2于退火前后的角析x射线光电子光谱;
图3b是表示HfO2/Ti于退火前后的角析x射线光电子光谱;
图4是表示总介电常数值与原子比(Ti+Ta)/(Hf+Ti+Ta)的函数关系图;
图5a-5d是表示各种退火前后及各种退火温度的不同例子的x射线绕射仪光谱;
图6是表示根据本发明实施例的金属氧化物半导体场效应晶体管的制作方法的侧视图;
图7a是表示根据本发明实施例的包含多腔反应室的集结式机台301;
图7b是表示根据本发明实施例的晶片在集结式机台301的制程流程图;
图8a是表示根据本发明另一实施例的包含多腔反应室(multi-chamber)的集结式机台301a;
图8b是表示根据本发明实施例的晶片在集结式机台301a的制程流程图。
其中,附图标记说明如下:
101衬底
110第一介电层
120第二介电层
105界面层
115金属层
115x第一金属层
115y第二金属层
125退火
130均质的高介电常数介电层
133峰值
135峰值
137界面
141介电常数值
143最适曲线
147绕射峰值
201MOSFET
203有源区
205阱区
207STI
215轻掺杂源/漏极区
255重掺杂源/漏极区
211栅极电极
220侧壁绝缘层
301集结式机台
305第一非物理气相沉积腔
310金属沉积腔
315第二非物理气相沉积腔
320高温退火腔
322传输舱
325冷却室/承载器
327前开式通用晶片匣
329流程图
305第一非物理气相沉积
310金属沉积腔
315第二非物理气相沉积腔
320高温退火腔
301a集结式机台
330金属栅极沉积腔
335非物理气相沉积腔
329a流程图
335第一非物理气相沉积
310金属沉积腔
335第一非物理气相沉积腔
320高温退火腔
330金属栅极沉积腔
具体实施方式
本较佳实施例的操作及制作方法将在以下作详细说明。然而以下实施例并非本发明的唯一应用,以下的实施例仅是说明本发明特定的做法及应用,其非用以限定本发明的范围。
根据本发明实施例制作的金属氧化物半导体场效应晶体管(MOSFET)的结构及制程将在以下作说明。虽然下述的实施例将说明一系列的制程步骤,但其是用以说明而不是作为限制的目的。例如,在不脱离本发明的精神下有些步骤是可以改变顺序。并且,不需实施所有实施例的步骤才能执行本发明。另外,本发明实施例提供的结构及方法亦可应用于其它未举例说明的半导体结构。
本发明的较佳实施例提供一种于衬底上形成高介电常数介电层的制造方法,并以金属氧化物半导体场效应晶体管的制程作为示例。图1a是表示于中间制程阶段的金属氧化物半导体场效应晶体管的侧视图。一半导体衬底101可包括硅、锗、硅锗、应变硅(strained Si)、应变硅锗、应变锗、三五族元素(如砷化镓)、硅覆盖绝缘层(SOI)、锗覆盖绝缘层(GOI)、其组合或叠层排列的层(例如Si/SiGe)。半导体衬底101为硅晶片较佳。
经由一沉积步骤或晶片清洗步骤于衬底101上形成一界面层(interfacelayer,IL)105。界面层105可包括氮化硅(SiN)、一氧化硅(SiO)、二氧化硅(SiO2)、氮氧化硅(SiON)、硅(Si)、铪(Hf)、铝(Al)、锆(Zr)、钛(Ti)、钽(Ta)、镧(La)、钇(Y)或其组合。该沉积步骤可包括热氧化法(thermal oxidation)、化学气相沉积法、等离子体增强化学气相沉积法(PECVD)、等离子体增强氧化法(plasma-enhanced oxidation)或其它方法。界面层105的厚度以约小于30为佳,并且约小于10较佳。在一实施例中,界面层105是为二氧化硅(SiO2),其形成方法是将硅衬底101暴露于高温氧气或氧化溶液中以分别形成热氧化层(thermal oxide)或化学氧化层。或者,在另一实施例中,界面层105为金属氧化物,其形成方法可通过一非等离子体沉积法(non-plasma deposition),例如原子层沉积法(ALD)及化学气相沉积法,沉积金属氧化物于硅衬底101上;或者,可于后续的氧化退火(oxidizing annealing)制程125中,氧化由金属层115扩散出的金属杂质以形成金属氧化物界面层105。
接着,形成一第一介电层110于界面层105上或是衬底101上(当界面层105不形成时)。第一介电层110较佳为高介电常数的介电层,例如介电常数值较二氧化硅大或约大于3.9的材料。其可包括五氧化二钽(Ta2O5)、二氧化钛(TiO2)、三氧化二铝(Al2O3)、二氧化锆(ZrO2)、二氧化铪(HfO2)、三氧化二钇(Y2O3)、三氧化二镧(La2O3)、三氧化钪镝(DyScO3)、稀土元素氧化物、稀土元素钪化物、稀土元素的铝酸盐(aluminates)、稀土元素的硅酸盐(silicates)或其组合。其它可作为第一介电层110的高介电常数材料亦包括氧化硅铪(HfSiOx)、氧化铝铪(HfAlOx)、氮氧化硅铪(HfSiON)、钡锶化合物(例如钡锶钛氧化物BST)、含铅化合物(例如PbTiO3)、三氧化钛钡(BaTiO3)、三氧化钛锶(SrTiO3)、三氧化锆铅(PbZrO3)、铅锶钛氧化物(PST)、铅锌氮化物(PZN)、铅锆钛氧化物(PZT)、铅镁铌(PMN)、金属氧化物、金属硅酸盐、金属氮化物及其组合。第一介电层110另可包括硅、锗、氟、碳、硼、氧、铝、钛、钽、镧、铈(Ce)、铋(Bi)、钨或锆。
一般而言,第一介电层110的厚度约为1~100,较佳为约小于50。第一介电层110的形成方法是以非等离子体沉积法较佳,如此可避免等离子体对衬底表面造成损害而形成缺陷。举例而言,以蒸镀(evaporationdeposition)、化学气相沉积法、金属有机气相沉积法(MOCVD)、原子层沉积法形成第一介电层110为佳。例如,在一金属有机气相沉积制程中,首先蒸发液态的金属有机前体(precursor)形成气体,并且前体气体提供衬底的气压约0.25~10托(Torr),较佳为100~500毫托(mTorr)。该金属有机气相沉积制程是处于一氧化环境中以形成具有高介电常数的金属氧化物,并且温度约于350~800℃较佳。
另一实施例是通过一原子层沉积法(ALD)形成第一介电层110。首先,先沉积金属前体的分子层,例如金属有机前体或金属卤化物前体,接着控制金属有机物或金属卤化物分子层的配位交换以形成介电层。该制程可不断重复直到希望形成的厚度为止。
随后,于该第一介电层110上形成一金属层115。该金属层可包括铪(Hf)、铝(Al)、锆(Zr)、钛(Ti)、钽(Ta)、镧(La)及/或其合金。其可通过化学气相沉积(CVD)、物理气相沉积(PVD)、等离子体增强化学气相沉积法(PECVD)或原子层沉积(ALD)法形成。并且,可部分沉积金属层115或先全面沉积再图案化该金属层115。该金属层115以物理气相沉积法或原子层沉积法形成为佳。并且,金属层115的厚度约小于100,较佳为约小于50。
金属层115可包括由一种或多种金属组合成的单一层,如图1a所示。然而,再另一实施例中,金属层115亦可为多层,例如第一金属层115x及第二金属层115y,如图1b所示。举例而言,第一金属层可包括钛(Ti),第二金属层115y可包括钽(Ta)。第一金属层115x及第二金属层115y的厚度比约为3∶7至7∶3。
请接续图1a,于金属层115上形成一第二介电层120。第二介电层120可以通过使用与第一介电层110相同的方法和规格形成。然而,与第一介电层110不同的是,第二介电层120的形成方法并不限定于非等离子体沉积法。由于在衬底101上的第一介电层110及金属层115可充分保护衬底表面,因此能避免衬底表面在实施如等离子体增强化学气相沉积(PECVD)法或等离子体增强原子层沉积(PEALD)时受到等离子体的损害。在一实施例中,第一介电层110及第二介电层120具有不同的介电常数。
接着对图1a的中间结构实施一退火制程,请参照图2,该退火制程以箭头125标记。该退火制程125包括实施一快速加热退火(rapid thermal anneal)于氧化环境中以将第一、第二介电层110、120及金属层115转变为均质(homogeneous)的高介电常数介电层130。在退火制程125中,金属层115受到氧化而形成金属氧化物,并且该金属氧化物的介电常数等于或大于第一介电层的介电常数。在一实施例中,均质的高介电常数介电层130的厚度约介于10至100。
在本发明的较佳实施例中,高介电常数介电层130其内部实质上无退火前存在的界面。并且,第二介电层120可控制金属层105内的金属的氧化及传输作用。在一实施例中,以ALD/PVD/ALD方法形成介电层/金属/介电层的三层结构,可获得较佳的高介电常数介电层130。
举例而言,第一及第二介电层110、120皆为厚度约17的二氧化铪(HfO2),金属层105为厚度约19的钛(Ti)。经由退火制程125可产生厚度约48的均质氧化钛铪(HfTiO)130。
请参照第3a及3b图。图3a是表示HfO2/Ti/HfO2于退火前后的角析x射线光电子光谱(angle-resolved x-ray photoelectron spectroscopy,AR-XPS)。图3b是表示HfO2/Ti于退火前后的角析x射线光电子光谱。如图3b所示,在实施退火前,双层结构的HfO2/Ti具有Ti的峰值(peak)133及Hf的峰值135,并且峰值133及峰值135明显的被一界面137所分开。然而,经过退火步骤后仍然存在此相同特征。因此,双层结构的HfO2/Ti并不能透过退火制程形成均质的介电层。由于HfO2/Ti双层结构在实施退火后仍具有界面且非均质,故造成导体元件性能的不佳。
反之,请参照图3a,由HfO2/Ti/HfO2所组成的三层结构在退火步骤前与HfO2/Ti所组成的双层结构同样具有Ti的峰值(peak)133及Hf的峰值135。然而,经过退火处理后,由角析x射线光电子光谱的结果显示其内部的界面137已消失而形成均质的高介电常数介电层130。
在另一实施例中,金属层115是包括Ti及Ta两种掺杂(co-doping)。当原子比(Ti+Ta)/(Hf+Ti+Ta)由0%增加至61%时,高介电常数介电层130与界面层105的总介电常数(apparent dielectric constant)可由13增加至38。请参照图4,其是表示总介电常数值与原子比(Ti+Ta)/(Hf+Ti+Ta)的函数关系图。标号141标记在不同原子比下所测量的总介电常数值,虚线143为介电常数值141的最适曲线(fit curve)。
并且,在本例中,具有Ti及Ta两种掺杂的金属层115亦可提升高介电常数介电层130的热稳定性,避免非晶质(amorphous)的介电层130发生结晶。请参阅图5a-5d,其是表示各种退火前后及各种退火温度的不同例子的x射线绕射仪光谱(x-ray diffraction spectra,XRD),其以温度和Ti/Ta掺杂为函数。XRD光谱中的(111)绕射峰值147显示介电层130形成结晶态。而非晶质的介电层130则于光谱中不具有(111)绕射峰值147。
参阅图5a,HfO2未实施退火前及经过500℃退火后皆出现(111)绕射峰值147。参阅图5b,HfTiO2在退火前后仍具有(111)绕射峰值147,但其峰值较HfO2出现的峰值减低。由于HfTiO2掺杂Ti原子可增加非晶质的介电层的稳定性,故其结晶的程度较未掺杂Ti的HfO2小。参阅图5c,HfTaO具有更小的(111)绕射峰值147,因为掺杂Ta原子比掺杂Ti原子更有效的抑制介电层发生结晶现象。
参阅图5d,其表示Ti/Ta+Ti原子比约为0.55的HfTiTaO的x射线绕射仪光谱。HfTiTaO具有Ti/(Ta+Ti)约55%情况下,当退火温度到达1000℃时仍未出现(111)绕射峰值代表结晶态未形成。在一实施例中,HfTiTaO经过1000℃退火后仍维持非晶质态,其Ti∶Ta的原子比为3∶7至7∶3较佳。
在另一实施例中,HfTiTaO经过1000℃退火后能维持非晶质态,Ti∶Ta的原子比及/或厚度比为4∶6至6∶4较佳。
在说明本发明实施例时,申请人并不以提出的操作理论限制本发明,所提供的理论仅为了有助于了解本发明,并非用以限定本发明的范围。在本发明实施例的三层结构中,第二介电层于退火制程期间限制了氧的传输。由于氧传输的受限,使金属在被氧化形成金属氧化物前有足够的时间从金属层扩散至邻近的介电层中。相反的,在常见的双层结构中,金属层中的金属原子很快的在退火的过程中被氧化形成金属氧化物。由于金属氧化物的扩散速度较金属慢,故双层结构在退火制程中难以形成均质的介电层。
在较佳实施例中,退火制程125包括一高温及氧化环境。该氧化环境可包含O2、O3、N2O、NOx或其组合作为氧化剂。在对衬底101实施退火125形成介电层130的过程中,由于介电层较佳是非结晶态,因此制程温度应充分控制在不使之形成结晶态的温度下为佳。退火温度约在1000℃以下为佳,较佳为400℃至800。退火条件较佳为在氮中含氧约0.1%,温度约700℃实施约80秒。
请参阅图6,在完成图2的高介电常数介电层130后,接着继续进行金属氧化物半导体场效应晶体管(MOSFET)201的制作步骤。金属氧化物半导体场效应晶体管201包括一有源区203,形成于衬底101内,其是元件形成的区域。有源区203内包含一阱区(well region)205,该阱区205具有与MOS元件形成的沟道区不同的掺杂型态,其掺杂浓度约为1E20cm-3。在有源区203上,形成本发明实施例的均质高介电常数介电层130。在衬底101内形成作为隔离结构的浅沟槽隔离区(STI)207以将有源区205绝缘,浅沟槽隔离区(STI)207的形成方法可通过化学沉积及图案化制程形成。在均质的高介电常数介电层130上形成一栅极电极211,栅极电极211可包括以化学气相沉积法形成厚度约500~2000的多晶硅。栅极电极211可具有与沟道区不同的掺杂型态,其掺杂浓度约为1E20cm-3。掺杂的栅极电极211可有效改善漏电流(off current,Ioff)性能、漏极饱和电流(drain saturation current,Idsat)及短沟道效应(short channel effect,SCE)。在其它实施例中,栅极电极211可为金属栅极,其可通过物理气相沉积、原子层沉积或等离子体增强化学沉积法形成。该金属栅极可包括金属或合金如钌(Ru)、钨(W)、氮化钛(TiN),或金属化合物如氮化钽(TaN)、碳化钽(TaC)、氮化钼(Mo2N),或金属硅化物如硅化镍(NiSi)及硅化钼(MoSi)等导电材料。
在衬底101内形成轻掺杂源/漏极区(LDS/LDD)215,其深度约为100至1000,较佳为200至400。轻掺杂源/漏极区215的形成方法可通过离子注入掺杂剂(dopant)于衬底101内,并以栅极电极211作为离子注入的掩模。举例而言,n型轻掺杂源/漏极区215的形成方法可通过离子注入浓度为1E13ion/cm2至5E14ion/cm2的磷或砷,能量约为30KeV至80KeV。经过退火后,轻掺杂源/漏极区215内的磷或砷浓度约为5E16atoms/cm3至1E19atoms/cm3
在栅极电极211及介电层130的侧壁形成侧壁绝缘层220作为保护电极的用途,其为非高介电常数的介电层。侧壁绝缘层220可为含氮的氧化物、氮化硅、氧化物或其叠层组合。其可通过化学沉积法形成,例如低压化学气相沉积、等离子体增强化学沉积、遥式等离子体化学气相沉积(remote plasmaCVD,RPCVD)。侧壁绝缘层220亦可包括宽度约大于40nm的氮化物或氧化物。在一实施例中,侧壁绝缘层220是为SiOxNy、氮化物或其叠层组合。
在衬底101内形成重掺杂源/漏极区255,其形成方法可使用栅极电极211及侧壁绝缘层220为掩模实施离子注入步骤。举例而言,n型重掺杂源/漏极区255可离子注入浓度约为1E14ion/cm2至1E16ion/cm2的磷或砷,能量约为10KeV至80KeV。经过退火后,磷或砷的浓度约为5E18atoms/cm3至5E20atoms/cm3
之后,可在栅极电极211及重掺杂源/漏极区255上形成硅化物(silicide)(图中未表示),以及在后续制程中形成触点(contacts)(图中未表示)以完成金属氧化物半导体场效应晶体管的制程。在本发明的实施例中,金属氧化物半导体场效应晶体管201具有均质的高介电常数介电层130,其能有效增加半导体元件的性能。
为帮助任何属于本领域的普通技术人员了解本发明,申请人总结以下几个根据本发明实施例的N型金属氧化物半导体场效应晶体管的提升作为示例。发生于掺杂Ti/Ta的HfO2的应力导致(stress-induced)阈值电压(Vt)偏移较发生于未掺杂的HfO2的应力导致阈值电压偏移小约数十至数百倍。当Ti/(Ta十Ti)原子比约为38%及55%时,会导致较小的阈值电压偏移(2mV),其显示电子缺陷因APAC(ALD/PCD/ALD co-doping)明显减少。HfO2的电子迁移率(mobility)由于掺杂Ti/Ta而明显增加(增加约70~170%峰值迁移率)。掺杂Ti/Ta的HfO2在1MV/cm的高电场迁移率(high-field mobility)约为万有曲线(universal curve)的74至96%,约为未掺杂的HfO2(约42%)的两倍。由于Ti/(Ta+Ti)原子比在阈值电压偏移及迁移率上的效果一致,因此可以从抑制电子缺陷来促进迁移率。
在一实施例中,是在一集结式机台(cluster tool)301中形成第一及第二介电层110、120及金属层115或其它层。请参阅图7a,其是表示根据本发明实施例的包含多腔反应室(multi-chamber)的集结式机台301。集结式机台301可包括第一非物理气相沉积(non-PVD)腔305、金属沉积腔310、第二非物理气相沉积腔315、高温退火腔320、传输舱322、冷却室/承载器(loadlock/intercooling station)325及/或前开式通用晶片匣(FOUP)327。第一非物理气相沉积腔305,例如原子层沉积腔,其用于形成第一介电层110。金属沉积腔310,例如物理气相沉积腔,其用于形成金属层115。第二非物理气相沉积腔315,例如原子层沉积腔,其用于形成第二介电层120。高温退火腔320,例如快速热退火(RTA)腔,其较佳为具有氧化环境,如氧气。传输舱322可使晶片在移动至各腔室期间仍保持真空状态。
前开式通用晶片匣(FOUP)327可防止传输舱322或其它反应室直接暴露于空气。其气压大致为1atm,与周围环境相同。而传输舱322的气压约接近真空(例如小于10托(Torr))。承载器325的气压在前开式通用晶片匣327及传输舱322的气压间循环。并且承载器325亦提供晶片在传送至前开式通用晶片匣327前冷却的作用。
请参阅图7b,其是表示根据本发明实施例的晶片于集结式机台301的制程流程图。根据图7a提供的集结式机台301,晶片的流程329可包括:第一,第一非物理气相沉积腔305(沉积第一介电层);第二,金属沉积腔310(沉积金属层);第三,第二非物理气相沉积腔315(沉积第二介电层);第四,高温退火腔320(实施退火)。
请参阅图8a,其是表示根据本发明另一实施例的包含多腔反应室(multi-chambered)的集结式机台301a。在另一实施例中,是在一集结式机台(cluster tool)301a中形成第一及第二介电层110、120及金属层115。集结式机台301a与图7a的集结式机台301大致相同,但不同的是,其具有一金属栅极沉积腔330。该金属栅极沉积腔330可包含原子层沉积腔、化学气相沉积腔及物理气相沉积腔。在集结式机台301或301a中,可于同一反应室实施多个制程。举例而言,第一及第二介电层110、120的形成可发生于相同的非物理气相沉积腔335。
请参阅图8b,其是表示根据本发明实施例的晶片于集结式机台301a的制程流程图。根据图8a提供的集结式机台301a,晶片的流程329a可包括:第一,第一非物理气相沉积腔335(沉积第一介电层);第二,金属沉积腔310(沉积金属层);第三,第一非物理气相沉积腔335(沉积第二介电层);第四,高温退火腔320(实施退火);第五,金属栅极沉积腔330。
虽然本发明的较佳实施例公开如上,然而其并非用以限定本发明,任何所属领域普通技术人员,在不脱离本发明的精神和范围内,当可作改动与润饰,因此本发明的保护范围当视后附的专利申请范围所界定的范围为准。

Claims (16)

1.一种高介电常数介电层的形成方法,包括:
于衬底上形成一第一介电层;
于所述第一介电层上形成一金属材料层;
于所述金属材料层上形成一第二介电层;以及
于一氧化环境中对所述衬底实施一退火处理,并持续所述退火处理直到所述第一介电层、所述金属材料层及所述第二介电层结合形成均质的所述高介电常数介电层。
2.如权利要求1所述的高介电常数介电层的形成方法,其特征在于,所述第一介电层及所述第二介电层的形成方法包括一非等离子体沉积法。
3.如权利要求2所述的高介电常数介电层的形成方法,其特征在于,所述非等离子体沉积法包括原子层沉积法及化学气相沉积法。
4.如权利要求1所述的高介电常数介电层的形成方法,其特征在于,所述金属材料层的形成方法包括等离子体气相沉积法。
5.如权利要求1所述的高介电常数介电层的形成方法,其特征在于,所述金属材料层包括选自下列群组的至少两种材料:钛、钽、锆、镧及其组合。
6.如权利要求1所述的高介电常数介电层的形成方法,其特征在于,所述第一介电层及所述第二介电层包括HfSiON、Ta2O5、TiO2、Al2O3、ZrO2、HfO2、Y2O3、HfSiOx、HfAlOx、La2O3、DyScO3、PbTiO3、SrTiO3、PbZrO3、稀土元素氧化物、稀土元素钪化物、稀土元素铝酸盐、稀土元素硅酸盐或其组合。
7.如权利要求1所述的高介电常数介电层的形成方法,特征在于,还包括形成一界面层于所述衬底及所述均质的高介电常数介电层之间,其中所述界面层包括SiN、SiO、SiO2、SiON、Si、Hf、Al、Zr、Ti、Ta、La、Y或其组合。
8.如权利要求1所述的高介电常数介电层的形成方法,其特征在于,所述氧化环境包括O2、O3、N2O、NOx或其组合。
9.如权利要求1所述的高介电常数介电层的形成方法,其特征在于,所述均质的高介电常数介电层为非晶质。
10.如权利要求1所述的高介电常数介电层的形成方法,其特征在于,所述金属材料层包括一第一金属及一第二金属,且所述第一金属及所述第二金属的原子比及厚度比约为3∶7至7∶3。
11.一种半导体装置的制造方法,包括:
于一衬底上形成一界面层;以及
于所述界面层上形成一均质的高介电常数介电层,其中所述均质的高介电常数介电层包括一第一金属氧化物及一第二金属氧化物,所述第一及第二金属氧化物的原子比大致相同。
12.如权利要求11所述的半导体装置的制造方法,其特征在于,所述均质的高介电常数介电层还包括一第三金属氧化物,并且所述第一、第二及第三氧化物具有大致相同的原子比。
13.一种半导体装置,其具有一高介电常数介电层,所述半导体装置包括:
一界面层,形成于一衬底上;以及
一均质的高介电常数介电层,形成于所述界面层上,其中所述均质的高介电常数介电层包括一第一金属氧化物及一第二金属氧化物,且所述均质的高介电常数介电层中的所述第一与第二金属氧化物的原子比大致相同。
14.如权利要求13所述的半导体装置,其特征在于,所述均质的高介电常数介电层还包括一第三金属氧化物,且所述第一、第二及第三氧化物具有大致相同的原子比。
15.如权利要求14所述的半导体装置,其特征在于,所述第二金属氧化物与所述第三金属氧化物的原子比约介于3∶7至7∶3。
16.如权利要求14所述的半导体装置,其特征在于,所述第二及第三金属氧化物包括钛、钽、锆或其组合。
CNB2006101640284A 2005-12-05 2006-12-05 高介电常数介电层的形成方法、半导体装置及其制造方法 Expired - Fee Related CN100517601C (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US74224205P 2005-12-05 2005-12-05
US60/742,242 2005-12-05
US11/328,933 2006-01-10

Publications (2)

Publication Number Publication Date
CN1983524A true CN1983524A (zh) 2007-06-20
CN100517601C CN100517601C (zh) 2009-07-22

Family

ID=38165949

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2006101640284A Expired - Fee Related CN100517601C (zh) 2005-12-05 2006-12-05 高介电常数介电层的形成方法、半导体装置及其制造方法

Country Status (2)

Country Link
US (1) US7824990B2 (zh)
CN (1) CN100517601C (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102201447A (zh) * 2011-05-13 2011-09-28 湖北大学 高介电常数栅介质场效应晶体管及其制作方法
CN102956695A (zh) * 2011-08-19 2013-03-06 台湾积体电路制造股份有限公司 具有高k栅极介电层的半导体器件及其制造方法
CN103311120A (zh) * 2013-06-03 2013-09-18 中国科学院微电子研究所 一种生长高介电常数电介质叠层的方法
CN107316809A (zh) * 2016-04-27 2017-11-03 台湾积体电路制造股份有限公司 半导体器件的制造方法、高k介电结构及其制造方法

Families Citing this family (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7588988B2 (en) 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US7592251B2 (en) * 2005-12-08 2009-09-22 Micron Technology, Inc. Hafnium tantalum titanium oxide films
EP1944794A1 (en) * 2007-01-10 2008-07-16 Interuniversitair Microelektronica Centrum (IMEC) A method for controlled formation of a gate dielectric stack
WO2008149446A1 (ja) * 2007-06-07 2008-12-11 Canon Anelva Corporation 半導体製造装置および方法
KR100994995B1 (ko) * 2007-08-07 2010-11-18 삼성전자주식회사 DySc03 막을 포함하는 반도체 박막의 적층 구조 및 그 형성방법
US7648868B2 (en) * 2007-10-31 2010-01-19 International Business Machines Corporation Metal-gated MOSFET devices having scaled gate stack thickness
US20090321707A1 (en) * 2008-06-25 2009-12-31 Matthew Metz Intersubstrate-dielectric nanolaminate layer for improved temperature stability of gate dielectric films
CN102005479A (zh) * 2010-10-19 2011-04-06 复旦大学 一种具有吸氧钛盖帽层的砷化镓mos器件及其制备方法
US8765561B2 (en) 2011-06-06 2014-07-01 United Microelectronics Corp. Method for fabricating semiconductor device
US8477006B2 (en) 2011-08-30 2013-07-02 United Microelectronics Corp. Resistor and manufacturing method thereof
US8921238B2 (en) 2011-09-19 2014-12-30 United Microelectronics Corp. Method for processing high-k dielectric layer
US8741784B2 (en) 2011-09-20 2014-06-03 United Microelectronics Corp. Process for fabricating semiconductor device and method of fabricating metal oxide semiconductor device
US8426277B2 (en) 2011-09-23 2013-04-23 United Microelectronics Corp. Semiconductor process
US9000568B2 (en) 2011-09-26 2015-04-07 United Microelectronics Corp. Semiconductor structure and fabrication method thereof
US8633549B2 (en) 2011-10-06 2014-01-21 United Microelectronics Corp. Semiconductor device and fabrication method thereof
US8802579B2 (en) 2011-10-12 2014-08-12 United Microelectronics Corp. Semiconductor structure and fabrication method thereof
US9006092B2 (en) 2011-11-03 2015-04-14 United Microelectronics Corp. Semiconductor structure having fluoride metal layer and process thereof
US8440511B1 (en) 2011-11-16 2013-05-14 United Microelectronics Corp. Method for manufacturing multi-gate transistor device
US8987096B2 (en) 2012-02-07 2015-03-24 United Microelectronics Corp. Semiconductor process
CN102592974B (zh) * 2012-03-20 2014-07-02 中国科学院上海微系统与信息技术研究所 一种高k介质薄膜的制备方法
US9865690B2 (en) * 2012-04-10 2018-01-09 Qorvo Us, Inc. Methods for fabricating a metal structure for a semiconductor device
US9478627B2 (en) 2012-05-18 2016-10-25 United Microelectronics Corp. Semiconductor structure and process thereof
US8501636B1 (en) 2012-07-24 2013-08-06 United Microelectronics Corp. Method for fabricating silicon dioxide layer
US8835273B2 (en) * 2012-09-19 2014-09-16 Intermolecular, Inc. High temperature ALD process of metal oxide for DRAM applications
US9012300B2 (en) 2012-10-01 2015-04-21 United Microelectronics Corp. Manufacturing method for a shallow trench isolation
US9117878B2 (en) 2012-12-11 2015-08-25 United Microelectronics Corp. Method for manufacturing shallow trench isolation
US8981466B2 (en) 2013-03-11 2015-03-17 International Business Machines Corporation Multilayer dielectric structures for semiconductor nano-devices
US8951884B1 (en) 2013-11-14 2015-02-10 United Microelectronics Corp. Method for forming a FinFET structure
US20150140838A1 (en) * 2013-11-19 2015-05-21 Intermolecular Inc. Two Step Deposition of High-k Gate Dielectric Materials
US9530853B2 (en) 2014-03-10 2016-12-27 Qorvo Us, Inc. Semiconductor device with reduced leakage current and method for making the same
CN104934468B (zh) * 2014-03-17 2018-07-20 中芯国际集成电路制造(上海)有限公司 栅极及其制作方法
US10367071B2 (en) 2014-12-19 2019-07-30 Nxp Usa, Inc. Method and structure for a large-grain high-k dielectric
US9590063B2 (en) 2014-12-19 2017-03-07 Nxp Usa, Inc. Method and structure for a large-grain high-K dielectric
KR102434987B1 (ko) 2015-04-23 2022-08-22 삼성전자주식회사 박막 형성 방법 및 반도체 소자의 제조 방법
US20210399104A1 (en) * 2020-06-17 2021-12-23 Taiwan Semiconductor Manufacturing Co., Ltd. Triple Layer High-K Gate Dielectric Stack for Workfunction Engineering
US20220310776A1 (en) * 2021-03-23 2022-09-29 Applied Materials, Inc. Integrated platform for tin pvd and high-k ald for beol mim capacitor

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5708302A (en) * 1995-04-26 1998-01-13 Symetrix Corporation Bottom electrode structure for dielectric capacitors
US6051458A (en) * 1998-05-04 2000-04-18 Taiwan Semiconductor Manufacturing Company Drain and source engineering for ESD-protection transistors
US6407435B1 (en) * 2000-02-11 2002-06-18 Sharp Laboratories Of America, Inc. Multilayer dielectric stack and method
US7371633B2 (en) * 2001-02-02 2008-05-13 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
US6867101B1 (en) * 2001-04-04 2005-03-15 Advanced Micro Devices, Inc. Method of fabricating a semiconductor device having a nitride/high-k/nitride gate dielectric stack by atomic layer deposition (ALD) and a device thereby formed
US6620702B2 (en) * 2001-06-25 2003-09-16 Taiwan Semiconductor Manufacturing Co., Ltd. Method of producing low thermal budget high dielectric constant structures
US6706581B1 (en) * 2002-10-29 2004-03-16 Taiwan Semiconductor Manufacturing Company Dual gate dielectric scheme: SiON for high performance devices and high k for low power devices
US7071066B2 (en) * 2003-09-15 2006-07-04 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure for forming high-k gates
US7303996B2 (en) * 2003-10-01 2007-12-04 Taiwan Semiconductor Manufacturing Co., Ltd. High-K gate dielectric stack plasma treatment to adjust threshold voltage characteristics
JP2005175415A (ja) * 2003-12-05 2005-06-30 Taiwan Semiconductor Manufacturing Co Ltd 集積回路デバイスとその製造方法
US7282773B2 (en) * 2004-09-14 2007-10-16 Advanced Micro Devices Inc. Semiconductor device with high-k dielectric layer
US7138680B2 (en) * 2004-09-14 2006-11-21 Infineon Technologies Ag Memory device with floating gate stack
US20060105530A1 (en) * 2004-11-12 2006-05-18 Nanya Technology Corporation Method for fabricating semiconductor device

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102201447A (zh) * 2011-05-13 2011-09-28 湖北大学 高介电常数栅介质场效应晶体管及其制作方法
CN102956695A (zh) * 2011-08-19 2013-03-06 台湾积体电路制造股份有限公司 具有高k栅极介电层的半导体器件及其制造方法
CN103311120A (zh) * 2013-06-03 2013-09-18 中国科学院微电子研究所 一种生长高介电常数电介质叠层的方法
CN107316809A (zh) * 2016-04-27 2017-11-03 台湾积体电路制造股份有限公司 半导体器件的制造方法、高k介电结构及其制造方法

Also Published As

Publication number Publication date
CN100517601C (zh) 2009-07-22
US20070128736A1 (en) 2007-06-07
US7824990B2 (en) 2010-11-02

Similar Documents

Publication Publication Date Title
CN100517601C (zh) 高介电常数介电层的形成方法、半导体装置及其制造方法
US6911707B2 (en) Ultrathin high-K gate dielectric with favorable interface properties for improved semiconductor device performance
US7947591B2 (en) Semiconductor devices with dual-metal gate structures and fabrication methods thereof
US7091119B2 (en) Encapsulated MOS transistor gate structures and methods for making the same
US7952118B2 (en) Semiconductor device having different metal gate structures
US9281373B2 (en) Semiconductor device having tungsten gate electrode and method for fabricating the same
CN1670965B (zh) 源极及漏极中具含掺质金属的晶体管
US7446380B2 (en) Stabilization of flatband voltages and threshold voltages in hafnium oxide based silicon transistors for CMOS
KR101166437B1 (ko) 반도체 전계효과 트랜지스터와 그 제조
US20050070123A1 (en) Method for forming a thin film and method for fabricating a semiconductor device
US7473994B2 (en) Method of producing insulator thin film, insulator thin film, method of manufacturing semiconductor device, and semiconductor device
US8802519B2 (en) Work function adjustment with the implant of lanthanides
US8288833B2 (en) Semiconductor device and manufacturing method thereof
US7939396B2 (en) Base oxide engineering for high-K gate stacks
JP2005079223A (ja) 半導体装置及び半導体装置の製造方法
US20150221559A1 (en) Semiconductor device with transistor and method of fabricating the same
US20100178744A1 (en) MANUFACTURE METHOD FOR SEMICONDUCTOR DEVICE WHOSE GATE INSULATING FILM CONTAINS Hf AND O
US20020001932A1 (en) Method for forming a gate for semiconductor devices
JP2008311661A (ja) 半導体素子及びそのゲート形成方法
US20230138009A1 (en) Method for forming a semiconductor structure
JP4719422B2 (ja) 半導体装置の製造方法
US20080242114A1 (en) Thermal anneal method for a high-k dielectric

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090722

CF01 Termination of patent right due to non-payment of annual fee