DE10056541B4 - Verfahren zum Reinigen von Quarzsubstraten unter Verwendung von leitenden Lösungen - Google Patents

Verfahren zum Reinigen von Quarzsubstraten unter Verwendung von leitenden Lösungen Download PDF

Info

Publication number
DE10056541B4
DE10056541B4 DE10056541A DE10056541A DE10056541B4 DE 10056541 B4 DE10056541 B4 DE 10056541B4 DE 10056541 A DE10056541 A DE 10056541A DE 10056541 A DE10056541 A DE 10056541A DE 10056541 B4 DE10056541 B4 DE 10056541B4
Authority
DE
Germany
Prior art keywords
quartz substrate
solution
substrate
rinsing
water
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE10056541A
Other languages
English (en)
Other versions
DE10056541A1 (de
Inventor
Nadipuram V. Vijava Los Altos Raghavan
Elaine Lai-Yee Fremont Leung
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Agilent Technologies Inc
Original Assignee
Agilent Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Agilent Technologies Inc filed Critical Agilent Technologies Inc
Publication of DE10056541A1 publication Critical patent/DE10056541A1/de
Application granted granted Critical
Publication of DE10056541B4 publication Critical patent/DE10056541B4/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/39Organic or inorganic per-compounds
    • C11D3/3947Liquid compositions
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C23/00Other surface treatment of glass not in the form of fibres or filaments
    • C03C23/0075Cleaning of glass
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/06Hydroxides
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/02Inorganic compounds
    • C11D7/04Water-soluble compounds
    • C11D7/08Acids
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/02Pretreatment of the material to be coated
    • C23C14/021Cleaning or etching treatments
    • C11D2111/22
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S134/00Cleaning and liquid contact with solids
    • Y10S134/902Semiconductor wafer

Abstract

Verfahren zum Bearbeiten eines Quarzsubstrats (74) mit folgenden Schritten:
Anwenden einer Lösung (34), die aus Wasser und Ammoniumhydroxid besteht, auf das Quarzsubstrat;
Behandeln des Quarzsubstrats mit einer Lösung (36), die Wasserstoffperoxid und eine Säure aufweist;
Spülen des behandelten Quarzsubstrats (38) mit deionisiertem Wasser, das mit CO2 versetzt ist;
Anwenden einer Lösung (40), die Wasser und Ammoniumhydroxid aufweist, auf das gespülte Quarzsubstrat; und
erneutes Spülen des Quarzsubstrats mit deionisiertem Wasser (42), das mit CO2 versetzt ist.

Description

  • Die Erfindung betrifft ein Verfahren zum Bearbeiten eines Quarzsubstrats und bezieht sich insbesondere auf Verfahren zum Reinigen von Quarzsubstraten und auf Verfahren zum Herstellen von Laserabtragungsmasken bzw. Laserablationsmasken.
  • Laserablation bzw. Laserabtragung ist ein verfügbares Verfahren zum Ausbilden von Merkmalen auf der Oberfläche einer Komponente oder zum Ausbilden von Durchgangsausnehmungen in Komponenten. Ausgewählte Abschnitte der Oberfläche der Komponente werden einer hochenergetischen Laserbestrahlung ausgesetzt, die ein chemisches Zusammenbrechen der Bindungen innerhalb des ausgesetzten Materials bewirkt. Es tritt eine örtlich begrenzte Ausdehnung als Folge des Bruchs der chemischen Bindungen auf. Das Material, das sich ausgedehnt hat, kann unter Verwendung herkömmlicher Verfahren, wie beispielsweise einem chemischen Ätzen, entfernt werden.
  • Eine Laserabtragungsmaske ("laser ablation mask") wird typischerweise verwendet, um das Belichtungsmuster auf der Oberfläche der Komponente zu steuern. Die Laserabtragungsmaske verwendet ein transparentes Substrat, auf dem eine oder mehrere Schichten ausgebildet und mit einem Muster versehen bzw. strukturiert sein können, um eine Beschichtung vorzusehen, die das Belichtungsmuster definiert. Die Materialien zum Ausbilden der Beschichtung werden derart ausgewählt, um widerstandsfähig gegenüber einer Beschädigung als Folge einer Belichtung bzw. Bestrahlung durch die Laserenergie zu sein. Das Substrat und die Beschichtung sollten eine Widerstandsfähigkeit gegenüber einer durch einen Laser hervorgerufenen Beschädigung während Abtragungsoperationen aufweisen, bei denen ein Laser eine Stärke größer als 150 mJ/cm2 hat. Ein geeignetes Substratmaterial ist Quarz. Die Beschichtung auf dem Quarzsubstrat kann eine einzelne Metallschicht, wie beispielsweise eine Chrom- oder Aluminium-Schicht sein. Alternativ dazu kann die Beschichtung aus mehreren dielektrischen Schichten ausgebildet sein, die abwechselnd hohe und niedrige Brechungsindizes aufweisen. Das U.S.-Patent Nr. 4,923,772 von Kirch beschreibt eine Laserabtragungsmaske, die aus mehreren dielektrischen Schichten ausgebildet ist, welche derart mit einem Muster versehen sind, um das Belichtungsmuster zu definieren.
  • 1 zeigt eine schematische Darstellung der Verwendung einer Laserabtragung in dem Verfahren zum Herstellen von Tintenstrahldruckköpfen bzw. Tintenköpfen. Das Verfahren ist ausführlicher in dem U.S.-Patent Nr. 5,408,738 von Schantz u.a. beschrieben, das der Anmelderin der vorliegenden Erfindung zugewiesen ist. Ein kontinuierliches Netz bzw. eine Endlosbahn 10 aus Polymermaterial wird von einer Rolle 12 in einer gesteuerten Art und Weise entfernt. Das Bahnmaterial kann das Polymer sein, das von der 3M-Corporation unter der Marke KAPTON verkauft wird. Perforationen bzw. Lochungen 14 entlang der entgegengesetzten Seiten der Bahn können dazu verwendet werden, die Bewegung des Bahnmaterials relativ zu einer Laserquelle 16, wie beispielsweise einem Excimer-Laser, genau zu steuern. Während es in 1 nicht gezeigt ist, befindet sich die Laserquelle typischerweise innerhalb einer Laserbearbeitungskammer. Eine oder mehrere Laserabtragungsmasken 18 können mit einem Muster versehen sein, um alle Merkmale zu definieren, die innerhalb der Endlosbahn 10 ausgebildet werden sollen. Die Abtragung wird in bzw. bei einem gesteuerten Intervall wiederholt, so daß Duplikat-Komponenten für einen Tintenstrahldruckkopf von der Bahn ausgebildet werden können, nachdem die Bahn geschnitten bzw. zerschnitten wird. In 1 ist die Maske 18 mit einem Muster versehen, um ein Array bzw. Feld von Verdampfungskammern zu definieren. Zusätzlich zu dem schrittweisen Durchführen der Bewegung der Endlosbahn 10 kann ein schrittweises Vorgehen bezüglich der Laserquelle 16 durchgeführt werden. Das Schritt- und Wiederhol-Verfahren ("step-and-repeat process") wird fortgeführt, bis ein Düsenelement ausgebildet ist. Eine Optik bzw. ein Optiksystem 20 kann verwendet werden, um die Laserenergie, die sich durch die Maske 18 hindurch ausbreitet, zu fokussieren.
  • Der behandelte bzw. bearbeitete Abschnitt der Bahn schreitet dann zu einer Reinigungsstation (nicht dargestellt) weiter, bei der jegliche Abriebteilchen bzw. Verschleißteilchen von der Bahn entfernt werden. Die nächste Station ist eine Kontaktierungsstation, bei der Heizersubstrate 22 auf der Bahn bei Positionen befestigt werden, die den Feldern der Verdampfungskammern entsprechen. Jedes Heizersubstrat kann eine Siliziumplatte sein, auf der Widerstände in einem Feld bzw. Array ausgebildet sind, das mit dem Feld der Verdampfungskammern übereinstimmt, so daß eine Eins-zu-Eins-Übereinstimmung zwischen den Feldern besteht. Das Band kann dann geschnitten werden, um einzelne Druckköpfe 24 zu schaffen, die an anderen Komponenten angebracht werden, um Tintenstrahlpatronen bzw. Tintenstrahlmagazine auszubilden.
  • Es sei wieder auf die Laserabtragungsmaske 18 zurückgekehrt, die in der Abtragungsstation verwendet wird, bei der eine Anzahl von gleich wichtigen Maskenherstellungsschritten vorhanden sind. Das Material des Maskensubstrats sollte auf der Grundlage seiner optischen Eigenschaften ausgewählt werden, da die Laserenergie durch das Substrat hindurch tritt bzw. sich durch dieses hindurch ausbreitet. Quarz ist ein bevorzugtes Substratmaterial. Das Substrat sollte gründlich gereinigt werden, bevor die Beschichtung auf zumindest einer Oberfläche des Substrats ausgebildet wird. Das Reinigungsverfahren entfernt organische Spurenschichten, wie beispielsweise Reste von Verbindungen, die dafür verwendet werden, um das Quarzsubstrat zu polieren. Derartige Verunreinigungen können die Lebenszeit der Laserabtragungsmaske stark beeinflussen. Das Reinigungsverfahren wird unten ausführlicher beschrieben werden.
  • Die Beschichtung wird dann auf das Substrat aufgebracht. Herkömmliche Techniken des physikalischen Abscheidens aus der Gasphase (PVD; PVD = Physical Vapor Deposition) können verwendet werden. Ein PVD-Verfahren erfordert, daß das Substrat in einer Vakuumkammer angeordnet wird, und daß die Kammer evakuiert wird. Es werden Materialien in die Vakuumkammer eingebracht, um Schichten aus der Gasphase abzuscheiden. Wie es oben angemerkt wurde, kann die Beschichtung auf dem Substrat eine einzelne Schicht aus einem Metall oder einem zusammengesetzten Metall sein, oder kann eine dielektrische Schichtung bzw. Stapelung sein. Die dielektrische Schichtung umfaßt Schichten, die abwechselnd hohe und niedrige Brechungsindizes aufweisen. Eine Absorption von Laserenergie durch die Maskenbeschichtung ist ein Hauptgrund für eine Ver schlechterung der Maske. Deshalb sollte die Maskenbeschichtung bezüglich eines Lichts reflektierend sein, das die Wellenlänge der Laserenergie aufweist. Eine Reflexion von der dielektrischen Schichtung ist eine Folge der konstruktiven und destruktiven Interferenz bei den Grenzflächen angrenzender Schichten. Jede Schicht hat vorzugsweise eine Dicke von ungefähr einem Viertel der Wellenlänge der Laserenergie, der sie ausgesetzt ist bzw. mit der sie bestrahlt wird. Jedes Paar von dielektrischen Schichten reflektiert einen Prozentsatz des einfallenden Lichts. Durch Abscheiden einer ausreichenden Anzahl von Schichtpaaren wird nahezu die gesamte Laserenergie reflektiert.
  • Die Beschichtung kann mit einem Muster versehen bzw. strukturiert werden, wobei herkömmliche Techniken verwendet werden. Beispielsweise können ein reaktives Ionenätzen (RIE; RIE = reactive ion etching) oder ein Ionenstrahlätzen (IBE; IBE = ion beam etching) verwendet werden. Während die sich daraus ergebende Maske für ihren Bestimmungszweck gut arbeiten kann, ist die Betriebslebenszeit der Abtragungsmaske beschränkt. Ein durch einen Laser herbeigeführter Schaden an den Abtragungsmasken hängt kritisch von dem Niveau bzw. Grad einer Beschichtungsdefektdichte ("coating defect density") ab. Das bedeutet, daß der Schaden, der als Folge eines Aussetzens der hochenergetischen Laserstrahlung auftritt, mit zunehmender Defektdichte zunehmen wird. Bei jeder ausgefallenen bzw. fehlerhaften Maske muß Zeit aufgewendet werden, um die Maske auszutauschen. Die Stillstandzeit bzw. Ausfallzeit der Anlage, die erforderlich ist, um die Masken auszutauschen, verringert den Herstellungsdurchsatz in einem Herstellungsverfahren für Tintenstrahldruckköpfe.
  • Es sei wieder auf die Beschreibung des Verfahrens zum Reinigen des Quarzsubstrats zurückgekehrt, wobei das U.S.-Patent Nr. 5,259,888 von McCoy die Verwendung einer Lösung aus Wasser und quartärem Ammoniumhydroxid beschreibt, um eine Quarzoberfläche zu reinigen. Die Temperatur und die Aussetzzeit werden derart gesteuert, um anorganische Substanzen von der Quarzoberfläche zu entfernen. Ein wohl bekannter Ansatz, Siliziumwafer (im Vergleich zu Quarzsubstraten) zu reinigen, wird als eine "RCA-Reinigung" bezeichnet. Das Verfahren ist in dem U.S.-Patent Nr. 5,489,557 von Jolley beschrieben. Das Siliziumsubstrat wird erst einer Lösung aus Schwefelsäure und Wasserstoffperoxid ausgesetzt, um organische Verunreinigungen zu entfernen. Hierauf folgt eine Anwendung einer Lösung aus Ammoniumhydroxid und Wasserstoffperoxid. Das Siliziumsubstrat wird dann mit deionisiertem Wasser abgespült.
  • In dem nächsten Schritt der RCA-Reinigung wird das Siliziumdioxid, das in den vorhergehenden Schritten gebildet wurde, abgestreift bzw. abgezogen ("stripped"). Die Oxid-Abziehlösung enthält Fluorwasserstoffsäure. Die Fluorwasserstoffsäure wird dann unter Verwendung von deionisiertem Wasser von dem Substrat abgespült. Wie es in dem Patent von Jolley bemerkt wird, wird das abgespülte Substrat typischerweise nicht getrocknet. Statt dessen wird das nasse Substrat in eine Lösung von Chlorwasserstoffsäure und Wasserstoffperoxid überführt. Die Lösung aus Chlorwasserstoffsäure und Wasserstoffperoxid soll jegliches Metall lösen, das auf der Oberfläche des Substrats existieren bzw. bestehen kann. Während es nicht in dem Patent beschrieben wird, bestehen die letzten Schritte der RCA-Reinigung typischerweise darin, das Substrat mit deionisiertem Wasser abzuspülen und das Siliziumsubstrat mittels Schleudern zu trocknen.
  • Der RCA-Reinigungsansatz funktioniert für Siliziumwafer gut. Jedoch sind die bekannten Ansätze zum Reinigen von Quarzsubstraten für Laserabtragungsmasken und ähnliche Anwendungen weniger zufriedenstellend. Da die Verunreinigungen auf einer vorbeschichteten Oberfläche von Quarz die Betriebslebenszeit einer Laserabtragungsmaske sowie die Endproduktqualität der Maske stark beeinflussen können, besteht die Aufgabe der vorliegenden Erfindung darin, ein Verfahren zum wirksamen Reinigen eines Quarzsubstrats zu schaffen, das eine niedrige Defektdichte erfordert.
  • Aus der DE 19844443 A1 ist ein Verfahren zur Reinigung von Quarzsubstraten für die Lithographie mit folgenden Schritten bekannt: Anwenden einer Mischung aus Schwefelsäure und Wasserperoxid auf ein Substrat; Entfernen von Schwefelsäureresten von der Oberfläche des Substrats; Entfernen von anhaftenden Fremdkörpern von der Oberfläche des Substrats; und Trocknen des Substrats. Bei dem Schritt des Entfernens von anhaftenden Schwefelsäureresten wird u.a. Ammoniak-haltiges Wasser eingesetzt.
  • Aus der Fachveröffentlichung Proceedings-Electrochemical Society (1998), Band 97-35, Seiten 23 bis 30 ist der Einsatz von Ammoniak-haltigen wässrigen Lösungen nach der Behandlung von Siliziumwafern in einem Schwefelsäure/Wasserstoffperoxid-Bad bekannt. Dabei wird die Ablagerung von Sulfat enthaltenden Partikeln durch Zugabe von Ammoniak reduziert.
  • Aus der EP 867924 A2 ist es bekannt, zum Spülen Wasser zu verwenden, daß CO2 enthält.
  • Diese Aufgabe wird durch ein Verfahren zum Bearbeiten eines Quarzsubstrats gemäß Anspruch 1 gelöst. Vorteilhafte Ausgestaltungen der Erfindung sind Gegenstand der Unteransprüche.
  • Ein Reinigungsverfahren für ein Quarzsubstrat umfaßt das Versetzen der Spüllösung mit CO2, die in mehr als einem Schritt innerhalb des Verfahrens verwendet wird. Ferner wird eine Reinigungslösung gemischt, um Wasser und Ammoniumhydroxid zu enthalten, so daß die Reinigungslösung elektrisch leitend ist. Als Folge davon, daß sowohl die Spüllösung als auch die Reinigungslösung elektrisch leitend bzw. leitfähig sind, ist das Verfahren weniger anfällig gegenüber einer Oberflächenbeschädigung, die durch elektrostatische Entladung während des Reinigungsverfahrens verursacht wird.
  • Der erste Schritt in dem Reinigungsverfahren ist vorzugsweise ein Spülen bzw. Abspülen mit der Spüllösung. Die Spüllösung ist mit CO2 versetzt, so daß jegliche statische Ladung als Folge der Leitfähigkeit der Lösung dissipiert bzw. verstreut wird. Die Spüllösung ist vorzugsweise deionisiertes Wasser, das mit CO2 in dem Bereich von 1 bis 10 Gewichtsprozent verdünnt wird. Ein nächster Schritt besteht darin, lockere bzw. lose Verunreinigungen, wie beispielsweise jene, die vom Absetzen von in der Luft befindlichen Verunreinigungen herrühren, zu entfernen. Die Reinigungslösung wird vorzugsweise in einem mit Druck beaufschlagten Zustand angewendet bzw. aufgebracht. Ein Druck von 2,07 ⋅ 106 N/m2 bis 6,895 ⋅ 106 N/m2 (entsprechend 300 PSI (PSI = pounds per square inch) bis 1.000 PSI) wird bevorzugt, ist jedoch nicht kritisch. Das Ammoniumhydroxid sieht eine relativ hohe Leitfähigkeit vor, die derart wirkt, daß jegliche statische Ladung dissipiert bzw. verstreut wird. In dem nächsten Schritt werden organische Verunreinigungen von der Oberfläche des Quarzsubstrats entfernt. Eine starke Oxidationsumgebung wird durch Anwenden bzw. Aufbringen einer Lösung mit Wasserstoffperoxid gebildet. Die Lösung enthält ebenso eine Säure, vorzugsweise Schwefelsäure. Ein annehmbares Verhältnis von Schwefelsäure zu Wasserstoffperoxid ist 2:1. Die mit CO2 versetzte Spüllösung wird dann auf das Quarzsubstrat angewendet.
  • Die Reinigungslösung aus Wasser und Ammoniumhydroxid wird wiederum angewendet, um jegliche Reste der Säure zu neutralisieren. Dieser Schritt schafft ferner eine hydrophile bzw. Wasser anziehende Oberfläche, die von bedeutendem Vorteil bei der darauffolgenden Abscheidung von Schichten, wie beispielsweise einer dielektrischen Schichtung ("dielectric stack") für eine Laserabtragungsmaske, sein kann. Das Quarzsubstrat wird wieder mit der CO2 versetzten Spüllösung abgespült. An diesem Punkt wird das Quarzsubstrat getrocknet, wie beispielsweise durch einen Schritt des Schleudertrocknens ("spin dry step").
  • Das getrocknete Quarzsubstrat fährt dann mit der nächsten Reihe von Schritten fort. Wie es vorher erwähnt worden ist, ist die bevorzugte Ausführungsform derart gestaltet, daß eine dielektrische Schichtung auf der Oberfläche des Quarzsubstrats ausgebildet und mit einem Muster versehen bzw. strukturiert wird, um eine Laserabtragungsmaske herzustellen.
  • Ein Vorteil der Erfindung besteht darin, daß das Reinigungsverfahren organische Spurenmaterialien wirksam und gründlich entfernt, beispielsweise jene, die während des Polierens des Quarzsubstrats zugeführt bzw. vorgesehen wurden. Beispielsweise können Zer-Verbindungen beim Polieren einer Quarzschicht vor dem Reinigungsverfahren verwendet werden. Die Verunreinigungen, die mit der polierten Quarzoberfläche verbunden sind, könnten die Betriebslebenszeit einer Laserabtragungsmaske stark beeinflussen, wenn der Reinigungsprozeß bzw. das Reinigungsverfahren die Verunreinigungen nicht entfernt hat. Weil die karbonisierte Spüllösung und die Ammoniumhydroxid-Reinigungslösung elektrisch leitend sind, werden zusätzlich statische Entladungen verstreut bzw. dissipiert, wodurch die Wahrscheinlichkeit verringert wird, daß Entladungen Defekte bewirken werden. Laserabtragungsmasken, die unter Verwendung einer Kombination des Reinigungsverfahrens und eines Abscheidungsverfahrens einer dielektrischen Schichtung, das unten beschrieben wird, hergestellt worden sind, erzeugten eine Laserabtragungsmaske, die in einer Produktionsumgebung für Tintenstrahldruckköpfe erfolgreich verwendet wurde, wobei die Maske eine Betriebslebenszeit von mehr als sechs Millionen "Schüssen" ("shots") von Laserenergie hatte.
  • Bevorzugte Ausführungsformen der vorliegenden Erfindung werden nachfolgend bezugnehmend auf die beiliegenden Zeichnungen näher erläutert. Es zeigen:
  • 1 eine schematische Darstellung des Verfahrens zum Ausbilden von Tintenstrahldruckköpfen durch eine Laserabtragung gemäß dem Stand der Technik;
  • 2 einen Verfahrensablauf von Schritten zum Ausbilden einer Laserabtragungsmaske gemäß der Erfindung;
  • 3 ein Blockschaltbild von Komponenten zur Dampfabscheidung von Schichten auf ein Quarzsubstrat, das unter Verwendung des Verfahrens von 2 gereinigt worden ist; und
  • 4 einen Verfahrensablauf von Schritten zum Abscheiden einer dielektrischen Schichtung auf dem Substrat von 3.
  • Es sei auf 2 verwiesen, in der das Verfahren zum Vorbereiten eines Quarzsubstrats für die Abscheidung von Schichten auf dem Substrat einen optionalen Schritt 26 des Polierens des Quarzsubstrats aufweist. Der Polierschritt kann unter Verwendung herkömmlicher Techniken realisiert werden. Bei der bevorzugten Ausführungsform wird das Reinigungsverfahren, das unten beschrieben werden soll, dazu verwendet, ein Quarzsubstrat für eine Anwendung bzw. ein Aufbringen einer dielektrischen Schichtung vorzubereiten, die mit einem Muster versehen bzw. strukturiert wird, um ein Belichtungsmuster zum Herstel len von Tintenstrahldruckköpfen zu definieren, wie es mit Bezug auf 1 beschrieben wurde.
  • Eine Spüllösung wird in Schritt 28 gemischt. Die Spüllösung ist Wasser, das eine vorbestimmte Menge einer Karbonisation bzw. Anreicherung mit Kohlensäure aufweist. Vorzugsweise beträgt die Karbonisation 1 bis 10 Gewichtsprozent der Spüllösung. Die Karbonisation schafft eine Leitfähigkeit, die das Risiko einer Beschädigung verringert, die als Folge von statischen Ladungen auftritt, welche während des Reinigungsverfahrens erzeugt werden.
  • Wenn sie unter Verwendung eines im Handel erhältlichen Leitfähigkeitsmeßgeräts, das für derartige Messungen ausgelegt ist, gemessen wird, liegt die Leitfähigkeit der Spüllösung vorzugsweise in dem Bereich von 5 kOhm (KiloOhm) bis 50 kOhm. Die Leitfähigkeit schafft eine Ladungsverbreitung bzw. Ladungsdissipation, insbesondere wenn die Spüllösung in einer Weise angewendet bzw. aufgebracht wird, bei der eine vollständige Bedeckung des Quarzsubstrats, das gereinigt wird, erreicht wird.
  • In Schritt 30 wird eine Reinigungslösung gemischt. Die Reinigungslösung umfaßt Wasser und Ammoniumhydroxid (NH4OH) . In der gleichen Weise wie die Karbonisation in der Spüllösung schafft das Ammoniumhydroxid ein Niveau bzw. einen Grad an Leitfähigkeit, der das Risiko einer Beschädigung verringert, die durch statische Ladungen hervorgerufen wird, welche während des Reinigungsverfahrens erzeugt werden. Ein annehmbarer Grad an Ammoniumhydroxid innerhalb der Wasserbasis liegt bei 0,05% bis 3%. Obwohl es nicht kritisch ist, kann das Ammoni umhydroxid TEAH (Tetraethylammoniumhydroxid) oder TMAH (Tetramethylammoniumhydroxid) sein. Die Reihenfolge der Mischungsschritte 28 und 30 und des optionalen Polierschritts 26 ist für die Erfindung nicht wichtig.
  • Ein Spülschritt 32 setzt das Quarzsubstrat der Spüllösung aus. Das Spülverfahren bzw. die Spültechnik ist nicht kritisch, umfaßt vorzugsweise jedoch eine vollständige Bedeckung der Substratoberfläche, die gereinigt werden soll. Die vollständige Bedeckung des Substrats unter Verwendung der karbonisierten Spüllösung ist dafür ausgelegt, jegliche statische Ladungen zu verstreuen bzw. abzuleiten, die erzeugt worden sein können. Es können bekannte Verfahren bzw. Techniken verwendet werden, um Schritt 32 durchzuführen, wie beispielsweise ein fünfminütiges Spülen in einer Rührwerk-Spülvorrichtung ("bubbler rinse device").
  • Im Schritt 34 wird die Reinigungslösung auf das Quarzsubstrat aufgebracht bzw. angewendet. Vorzugsweise ist die Anwendung eine Anwendung unter Druck. Es wird ein Druck innerhalb des Bereichs von 2,07 ⋅ 106 N/m2 bis 6,895 ⋅ 106 N/m2 (300 PSI bis 1.000 PSI) bevorzugt. Die Reinigungslösung entfernt lose bzw. lockere Verunreinigungen, wie beispielsweise in der Luft befindliche Teilchen, die sich auf der Oberfläche des Quarzsubstrats abgesetzt haben.
  • Ein Schritt 36 zum Entfernen organischer Verunreinigungen umfaßt das Anwenden bzw. Aufbringen von Säure und Wasserstoffperoxid auf das Quarzsubstrat. Das Wasserstoffperoxid schafft eine starke Oxidationsumgebung, die ein Entfernen der organischen Verunreinigungen erleichtert. Die Säure ist vorzugswei se Schwefelsäure, wobei jedoch andere Säuren verwendet werden können. Dieser Schritt entfernt organische Spurenmaterialien, wie beispielsweise jene, die während des Polierschritts 26 aufgebracht bzw. vorgesehen wurden. Polierende Verbindungen bzw. Polierverbindungen, wie beispielsweise Zer-Verbindungen, werden oft beim Polieren eines Quarzsubstrats oder einer Quarzschicht verwendet. Wenn sie nicht entfernt werden, beeinflussen diese Verunreinigungen die Betriebslebenszeit von Laserabtragungsmasken stark. Ferner erzeugen die Verunreinigungen Defekte, die die Endproduktqualität nachteilig beeinflussen, wie beispielsweise die Qualität der Tintenstrahldruckköpfe, die während des Laserabtragungsprozesses hergestellt werden. Die Lösung, die in Schritt 36 verwendet wird, kann aus zwei Teilen Schwefelsäure zu einem Teil Wasserstoffperoxid bestehen. Es wird ermöglicht, daß die Lösung über die Oberfläche des Quarzsubstrats fließt.
  • Ein Spülschritt 38 wird dazu verwendet, die Lösung, die in Schritt 36 verwendet wird, abzuwaschen. Die karbonisierte Spüllösung, die in Schritt 28 gemischt wurde, wird dazu verwendet. Wiederum besteht die bevorzugte Anwendung darin, die Oberfläche des Quarzsubstrats vollständig zu bedecken, um das Risiko einer Beschädigung zu verringern, die von jeglichen statischen Ladungen herrührt.
  • Die Reste von jeglicher Säure von Schritt 36 werden in Schritt 40 neutralisiert. Die Reinigungslösung von Schritt 30 wird bei hohem Druck angewendet bzw. aufgebracht, um Restsäure zu entfernen und zu neutralisieren. Dieser Schritt erzeugt ferner eine hydrophile bzw. Wasser anziehende Oberfläche, die bei darauffolgenden Schichtabscheidungsschritten vorteilhaft ist.
  • Das Quarzsubstrat wird bei Schritt 42 wieder gespült, wobei die karbonisierte Spüllösung verwendet wird. Dieser Schritt kann eine Duplizierung der Spülschritte 32 und 38 sein. Daraufhin wird das Substrat bei Schritt 44 getrocknet. Es können bekannte Trocknungstechniken bzw. Trocknungsverfahren verwendet werden, wie beispielsweise ein Schleudertrocknen.
  • Die Schritte 26 – 44 bereiten das Quarzsubstrat für die Abscheidung von einer oder mehreren Schichten vor, wie es bei Schritt 46 gezeigt ist. Die Abscheidung einer dielektrischen Schichtung wird mit Bezug auf die 3 und 4 beschrieben werden.
  • Es sei auf 3 verwiesen, in der ein System 48 zum Herstellen einer Laserabtragungsmaske für die Tintenstrahldruckkopfindustrie gezeigt ist, wobei es eine Vakuumkammer 50 aufweist. Während das System derart beschrieben werden wird, wie es für ein physikalisches Dampfabscheidungs-(PVD)-Verfahren ausgelegt ist, sind die Kammerevakuierungstechniken und Schichtherstellungstechniken für andere Verfahren anwendbar.
  • Ein Anschluß bzw. eine Verbindung zu der Vakuumkammer 50 ist eine Verbindung zu einer Abscheidungsanlage bzw. Abscheidungsvorrichtung 52. Es kann eine Vorrichtung verwendet werden, die momentan verfügbar ist. Es gibt ferner drei Evakuierungsverbindungen 54, 56 und 58 zu der Vakuumkammer. Wie es ausführlicher unten beschrieben werden wird, werden die drei Evakuierungsverbindungen unabhängig aktiviert und deaktiviert, um ein mehrstufiges Entleeren der Kammer vorzusehen.
  • Jede der Verbindungen weist ein Ventil 60, 62 und 64 auf. Das Umleitungsventil bzw. Bypassventil 60 zu der ersten Evakuierungsverbindung 54 wird anfänglich aktiviert. Das Hauptgrobventil 62 wird dann aktiviert, nachdem der Druck innerhalb der Kammer 50 einen vorgewählten Sollwert erreicht. Die maximale Rate der Evakuierung der ersten Verbindung 54 ist geringer als die maximale Evakuierungsrate der zweiten Verbindung 56. Somit wird die anfängliche Stufe bzw. das anfängliche Stadium des Grobverfahrens weniger Turbulenz bzw. Wirbelbewegung erzeugen, als ob die zweite Verbindung 56 bei der anfänglichen Stufe verwendet würde. Da die Kammer zu Beginn der Evakuierung anfälliger für durch Turbulenz hervorgerufene nachteilige Effekte ist, kann die verringerte Kapazität der ersten Verbindung ausgewählt werden, um eine geringere Defektdichte für Laserabtragungsmasken zu erreichen. Bei einer Ausführung hat die zweite Evakuierungsverbindung 56 eine Öffnung, die mit einer herkömmlichen Grobevakuierung konsistent ist bzw. mit dieser übereinstimmt, während die erste Verbindung 54 eine Öffnung aufweist, die unkonventionell klein ist.
  • Das Umleitungsventil 60 und das Grobventil 62 werden derart gezeigt, daß sie mit einer oder mehreren Grobpumpen 66 verbunden sind. Bei einer Ausführungsform sind die Ventile mit separaten Pumpen verbunden. Bei der bevorzugten Ausführungsform sind die Ventile jedoch mit der gleichen Pumpe verbunden, wodurch der Aufwand bzw. die Kosten für das System 48 verringert sind. Die Grobpumpe kann eine herkömmliche mechanische Pumpe sein, die in bekannten PVD-Systemen verwendet wird.
  • Die dritte Evakuierungsverbindung 58 ist mit dem Hochvakuumventil 64 verbunden, das mit einer Hochvakuumpumpe 68 verbun den ist. Das Ventil und die Pumpe können herkömmliche Vorrichtungen sein. Beispielsweise kann die Hochvakuumpumpe eine Diffusionspumpe sein, wobei jedoch Kryopumpen oder Turbomolekularpumpen verwendet werden können. Die dritte Verbindung 58 wird aktiviert, nachdem die ersten und zweiten Verbindungen 54 und 56 den Druck innerhalb der Kammer 50 auf einen zweiten Aktivierungssollwert verringern. Das Grobverfahren evakuiert die Kammer auf ein niedriges Vakuum, während die Diffusionspumpe in der Lage ist, den Kammerdruck auf einen Hochvakuumzustand zu verringern. Ein geeigneter Drucksollwert zur Aktivierung des Hochvakuumventils 64 ist 20,0 Pa (150 mTorr).
  • Der Druck innerhalb der Kammer 50 kann unter Verwendung eines Pirani-Meßgeräts 70 überwacht werden. Es können jedoch andere bekannte Überwachungsvorrichtungen verwendet werden. Das Meßgerät 70 ist mit einer Steuereinrichtung 72 verbunden, die die Aktivierung der drei Ventile 60, 62 und 64 regelt. Die Steuereinrichtung umfaßt einen Schaltungsschaltkreis bzw. Umschaltschaltkreis und kann ferner den Signalverarbeitungsschaltkreis zum Bestimmen des Kammerdrucks auf der Grundlage von Signalen von dem Meßgerät 70 aufweisen. Der Umschaltschaltkreis kann in einer Ausführungsform realisiert sein, die eine Überlappung in den Druckbereichen zum Aktivieren der drei Verbindungen 54, 56 und 58 erlaubt. Alternativ dazu kann die Realisierung bzw. Ausführung derart sein, daß die drei Bereiche gegenseitig ausgeschlossen sind.
  • 4 zeigt einen Verfahrensablauf von Schritten zum Ausbilden einer Laserabtragungsmaske, die in dem System von 1 zum Ausbilden von Tintenstrahldruckköpfen verwendet werden kann. Der Anfangsschritt 76 besteht darin, ein transparentes Substrat 74 in der Vakuumkammer 50 von 3 anzuordnen. Das Substrat ist vorzugsweise aus Quarz hergestellt, wobei jedoch andere Substratmaterialien (wie beispielsweise Silica bzw. Siliziumdioxid) für die Herstellung von Laserabtragungsmasken bekannt sind. In Schritt 78 wird die erste Stufe des Grobverfahrens begonnen. In 3 wird die erste Grobevakuierungsverbindung 54 aktiviert, um das Entleeren der Vakuumkammer 50 zu beginnen. Das Umleitungsventil bzw. Bypassventil hat eine relativ geringe Maximalrate der Evakuierung, so daß eine Turbulenz bzw. Wirbelbewegung innerhalb der Kammer gesteuert wird. Folglich besteht ein Vorteil der Verwendung des Bypassventils darin, daß das System weniger anfällig für das Auftreten von Schwebeteilchen bzw. Teilchen und/oder Wasser ist, die derart auftreten, daß sie sich auf der Oberfläche des Substrats niederlassen. Das Einführen bzw. Vorsehen von Verunreinigungen oder einer Flüssigkeit auf der Oberfläche des Substrats erhöht die Dichte der Defekte, wodurch die Betriebslebenszeit der Laserabtragungsmaske verringert wird. Auf der anderen Seite besteht eine Sorge bzw. ein Problem bei der Verwendung der unkonventionell kleinen ersten Verbindung darin, daß die Zeit, die für die Herstellung der Laserabtragungsmaske erforderlich ist, bedeutend verlängert wird. Deshalb wird die zweite Stufe des Grobverfahrens verwendet.
  • In Schritt 80 endet das Verfahren zum Überwachen des Drucks innerhalb der Vakuumkammer mit der Erfassung, daß der erste Aktivierungssollwert erreicht ist. Bei diesem Druck wird die zweite Evakuierungsverbindung 56 durch Betätigen des Hauptgrobventils 62 aktiviert, wie es in Schritt 82 gezeigt ist. Die zweite Evakuierungsverbindung 56 mit höherer Rate verringert die Zeit, die für das Grobverfahren erforderlich ist.
  • Bei einer nicht-überlappenden Ausführungsform einer Steuereinrichtung fällt die Erfassung des ersten Aktivierungssollwerts und die Initiierung der zweiten Stufe des Grobverfahrens (d. h. die Schritt 80 und 82) mit der Deaktivierung der ersten Verbindung 54 zusammen. In der bereichsüberlappenden Ausführungsform überlappen die Aktivierungsbereiche der ersten und zweiten Verbindungen 54 und 56 jedoch. Diese überlappende Ausführungsform erfordert die zusätzlichen Schritte 84 und 86 der Erfassung, wenn der erste Deaktivierungssollwert erreicht ist, und das Deaktivieren der ersten Verbindung 54.
  • In Schritt 88 wird der zweite Aktivierungs/Deaktivierungs-Sollwert erreicht. Dies leitet die Deaktivierung der zweiten Evakuierungsverbindung 56 ein. Gleichzeitig wird die Hochvakuumverbindung 58 bei Schritt 90 aktiviert. Wenn der Druck innerhalb der Vakuumkammer das angemessene bzw. geeignete Niveau erreicht, beginnt der Abscheidungsvorgang. Optional kann eine Adhäsionsschicht oder eine strukurierte Abhebemaskierungsschicht bzw. Lift-Off-Maskierungsschicht auf das Substrat vor der dielektrischen Schichtung angewendet werden, wie es im Stand der Technik bekannt ist. Die Schichten von größtem Interesse für die Erfindung sind jedoch die Schichten, die verwendet werden, um die dielektrische Schichtung auszubilden. Die dielektrische Schichtung ist eine abwechselnde Struktur aus einem Material mit hohem Brechungsindex und einem Material mit niedrigem Brechungsindex. Tabelle 1 zeigt eine Ausführungsform einer dielektrischen Schichtung. Das Schichtmaterial (H) mit hohem Brechungsindex kann HfO2 sein, während das Schichtmaterial (L) mit niedrigem Brechungsindex vorzugsweise SiO2 ist. Messungen der Schichtdicke basierten auf einer Sycon-Rate-Steuerung bzw, einem Sycon-Rate-Controller. Die Beschichtungstemperatur betrug 275°C und der Sauerstoffpartialdruck betrug 0,013 Pa (1,0 ⋅ 10–4 Torr) für alle Materialien. Die resultierende Beschichtung war eine 18 Schichten umfassende Schichtung bzw. Stapelung, die bei 248 nm und einem normalen Einfall auf ein Quarzsubstrat in hohem Maße reflektierend war.
  • Figure 00200001
  • In Schritt 92 von 4 wurde eine erste Schicht (H) mit hohem Index abgeschieden. Die Abscheidungsrate zum Ausbilden der 18 Schichten umfassenden Schichtung von Tabelle 1 betrug 2,0 Å/Sekunde unter Verwendung von 3,0 Gramm/Kubikzentimeter (g/cm3) für HfO2. Die Abscheidungsrate der Schichten mit hohem Brechungsindex ist weniger wichtig als die Abscheidungsrate des SiO2 .
  • Im Schritt 94 wurde die erste SiO2-Schicht der Beschichtung ausgebildet. Die optimale Abscheidungsrate beträgt 1 Å/Sekunde bis 3 Å/Sekunde. Aufgrund der eigentümlichen bzw. inhärenten Fluktuationen bei der Abscheidungsrate beträgt der bevorzugte praktische Bereich 1,6 Å/Sekunde bis 2,4 Å/Sekunde. Idealerweise liegt die Abscheidungsrate bei ungefähr 2,0 Å/Sekunde. Eine Abscheidungsrate oberhalb des optimalen Bereichs definiert ein Verfahren, das anfällig für eine hohe Beschichtungsdefektdichte ist. Auf der anderen Seite kann eine Abscheidungsrate unterhalb des Bereichs zu einer schlechten Adhäsion einer SiO2-Schicht an einer benachbarten bzw. angrenzenden Schicht führen.
  • Der Entscheidungsschritt 96 ist ein Schritt, bei dem bestimmt wird, ob die Schicht, die bei Schritt 94 abgeschieden wurde, die Endschicht in der dielektrischen Schichtung ist. Wenn die Schichtung nicht fertiggestellt bzw. vervollständigt worden ist, kehrt das Verfahren zurück zu den Schritten 92 und 94, um ein weiteres Schichtpaar auszubilden. Wenn bei dem Entscheidungsschritt 96 eine bejahende bzw. positive Antwort erzeugt wird, fährt das Verfahren weiter mit Schritt 98 zum Strukturieren bzw. zum Versehen der Laserabtragungsmaske mit einem Muster, um das gewünschte Belichtungsmuster auszubil den. In der bevorzugten Ausführungsform ist das Belichtungsmuster für die Herstellung von Tintenstrahldruckköpfen ausgelegt, wie sie mit Bezug auf 1 beschrieben wurde.
  • Offenbart ist ein Reinigungsverfahren für ein Quarzsubstrat, das ein Mischen einer Spüllösung und ein Mischen einer Reinigungslösung aufweist, so daß die Lösungen elektrisch leitend bzw. leitfähig sind. Die Spüllösung wird karbonisiert und in mehr als einem Schritt innerhalb des Verfahrens verwendet.
  • Die Reinigungslösung umfaßt Ammoniumhydroxid. Als Folge der elektrischen Leitfähigkeit der Lösungen ist das Reinigungsverfahren weniger anfällig für eine Oberflächenbeschädigung, die durch elektrostatische Entladung bewirkt wird. Die Reihenfolge der Schritte umfaßt ein Spülen des Quarzsubstrats mit der karbonisierten Spüllösung, ein Entfernen von losen Verunreinigungen durch eine Hochdruckanwendung der Reinigungslösung und ein Entfernen von organischen Verunreinigungen in einer starken Oxidationsumgebung unter Verwendung einer Lösung von Schwefelsäure und Wasserstoffperoxid. Die karbonisierte Spüllösung wird wieder angewendet, gefolgt von einer weiteren Hochdruckanwendung der Reinigungslösung und einem Endspülvorgang mit der karbonisierten Spüllösung. In der bevorzugten Ausführungsform wird das gereinigte Quarzsubstrat verwendet, um eine Laserabtragungsmaske durch Abscheiden von dielektrischen Schichten zu bilden, die mit einem Muster versehen bzw. strukturiert sind, um eine Belichtungsmaske zu definieren. In einer sehr bevorzugten Ausführungsform wird die Laserabtragungsmaske bei der Herstellung von Tintenstrahldruckköpfen verwendet.

Claims (9)

  1. Verfahren zum Bearbeiten eines Quarzsubstrats (74) mit folgenden Schritten: Anwenden einer Lösung (34), die aus Wasser und Ammoniumhydroxid besteht, auf das Quarzsubstrat; Behandeln des Quarzsubstrats mit einer Lösung (36), die Wasserstoffperoxid und eine Säure aufweist; Spülen des behandelten Quarzsubstrats (38) mit deionisiertem Wasser, das mit CO2 versetzt ist; Anwenden einer Lösung (40), die Wasser und Ammoniumhydroxid aufweist, auf das gespülte Quarzsubstrat; und erneutes Spülen des Quarzsubstrats mit deionisiertem Wasser (42), das mit CO2 versetzt ist.
  2. Verfahren gemäß Anspruch 1, bei dem die Schritte des Spülens (38) und des erneuten Spülens (42) des Substrats (74) jeweils ein Verdünnen von CO2 in deionisiertem Wasser (28) aufweisen, derart, daß CO2 in einem Bereich von 1 bis 10 Gewichtsprozent innerhalb der Lösung vorliegt.
  3. Verfahren gemäß Anspruch 1 oder 2, das ferner einen Schritt des Spülens (32) des Quarzsubstrats (74) mit CO2 versetztem Wasser vor den Schritten des Anwendens der Lösung (34) und des Behandelns des Quarzsubstrats (36) aufweist.
  4. Verfahren gemäß einem der Ansprüche 1 bis 3, bei dem die Schritte des Anwendens der Lösungen (34 und 40), die aus Wasser und Ammoniumhydroxid besteht, ein Vorsehen eines Flusses der Lösungen entlang einer Oberfläche des Quarzsubstrats (74) unter Druck umfassen.
  5. Verfahren gemäß Anspruch 4, bei dem die Schritte, die das Vorsehen des Flusses (34 und 40) unter Druck umfassen, ferner ein Festsetzen einer Druckbeaufschlagung in dem Bereich von 2,07 ⋅ 106 N/m2 bis 6,895 ⋅ 106 N/m2 (300 bis 1.000 PSI) aufweisen.
  6. Verfahren gemäß einem der Ansprüche 1 bis 5, bei dem der Schritt des Behandelns (36) des Quarzsubstrats (74) ein Herstellen einer wässrigen Lösung aus Schwefelsäure und Wasserstoffperoxid aufweist.
  7. Verfahren gemäß einem der Ansprüche 1 bis 6, das ferner einen Schritt des Schleudertrocknens (44) des Quarzsubstrats (74) aufweist, der dem Schritt des erneuten Spülens (42) des Quarzsubstrats folgt.
  8. Verfahren gemäß einem der Ansprüche 1 bis 7, das ferner einen Schritt des Bildens eines mit einem Muster versehenen Materials (46) auf dem Quarzsubstrat (74) aufweist, derart, daß eine Laserabtragungsmaske (18) geschaffen wird.
  9. Verfahren gemäß einem der Ansprüche 1 bis 8 dadurch gekennzeichnet, daß das Ammoniumhydroxid der Lösung (34, 40), die aus Wasser und Ammoniumhydroxid besteht, Tetraethylammoniumhydroxid (TEAH) oder Tetramethylammoniumhydroxid (TMAH) ist.
DE10056541A 1999-11-16 2000-11-15 Verfahren zum Reinigen von Quarzsubstraten unter Verwendung von leitenden Lösungen Expired - Fee Related DE10056541B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/441,716 US6162302A (en) 1999-11-16 1999-11-16 Method of cleaning quartz substrates using conductive solutions
US09/441,716 1999-11-16

Publications (2)

Publication Number Publication Date
DE10056541A1 DE10056541A1 (de) 2001-06-07
DE10056541B4 true DE10056541B4 (de) 2004-03-04

Family

ID=23754008

Family Applications (1)

Application Number Title Priority Date Filing Date
DE10056541A Expired - Fee Related DE10056541B4 (de) 1999-11-16 2000-11-15 Verfahren zum Reinigen von Quarzsubstraten unter Verwendung von leitenden Lösungen

Country Status (5)

Country Link
US (1) US6162302A (de)
JP (1) JP3410720B2 (de)
DE (1) DE10056541B4 (de)
FR (1) FR2802130B1 (de)
TW (1) TWI229706B (de)

Families Citing this family (101)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3550507B2 (ja) * 1999-03-25 2004-08-04 Necエレクトロニクス株式会社 被洗浄体のすすぎ方法およびその装置
US6537707B1 (en) * 2000-03-15 2003-03-25 Agilent Technologies, Inc. Two-stage roughing and controlled deposition rates for fabricating laser ablation masks
US6841309B1 (en) 2001-01-11 2005-01-11 Dupont Photomasks, Inc. Damage resistant photomask construction
US7513262B2 (en) * 2002-09-30 2009-04-07 Lam Research Corporation Substrate meniscus interface and methods for operation
US20050000549A1 (en) * 2003-07-03 2005-01-06 Oikari James R. Wafer processing using gaseous antistatic agent during drying phase to control charge build-up
US20060048798A1 (en) * 2004-09-09 2006-03-09 Honeywell International Inc. Methods of cleaning optical substrates
DE102005009073B4 (de) * 2005-02-28 2009-09-10 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Nachbehandlung einer Halbleiterstruktur
KR100679669B1 (ko) 2005-07-25 2007-02-06 주식회사 피케이엘 포토마스크 세정방법
DE102009032297A1 (de) * 2009-07-09 2011-01-13 Qsil Ag Quarzschmelze Ilmenau Verfahren zur Herstellung eines hochreinen Quarz-Granulates
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US20140311581A1 (en) * 2013-04-19 2014-10-23 Applied Materials, Inc. Pressure controller configuration for semiconductor processing applications
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10950500B2 (en) 2017-05-05 2021-03-16 Applied Materials, Inc. Methods and apparatus for filling a feature disposed in a substrate
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5259888A (en) * 1992-02-03 1993-11-09 Sachem, Inc. Process for cleaning quartz and silicon surfaces
EP0867924A2 (de) * 1997-02-14 1998-09-30 Interuniversitair Micro-Elektronica Centrum Vzw Verfahren zur Entfernung organischer Kontamination von einer Halbleiteroberfläche
DE19844443A1 (de) * 1997-12-02 1999-06-17 Mitsubishi Electric Corp Verfahren und Vorrichtung zur Reinigung einer Fotomaske

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4626316A (en) * 1985-09-30 1986-12-02 The United States Of America As Represented By The Secretary Of The Army Method of chemically polishing quartz crystal blanks
US4923772A (en) * 1986-10-29 1990-05-08 Kirch Steven J High energy laser mask and method of making same
US5291226A (en) * 1990-08-16 1994-03-01 Hewlett-Packard Company Nozzle member including ink flow channels
FR2679225B1 (fr) * 1991-07-19 1993-12-24 Electronique Piezo Electricite Dissolution controlee du quartz.
WO1995004372A1 (en) * 1993-07-30 1995-02-09 Semitool, Inc. Methods for processing semiconductors to reduce surface particles
JP3338134B2 (ja) * 1993-08-02 2002-10-28 株式会社東芝 半導体ウエハ処理方法
US5637151A (en) * 1994-06-27 1997-06-10 Siemens Components, Inc. Method for reducing metal contamination of silicon wafers during semiconductor manufacturing
US5853491A (en) * 1994-06-27 1998-12-29 Siemens Aktiengesellschaft Method for reducing metal contamination of silicon wafers during semiconductor manufacturing
JPH08264500A (ja) * 1995-03-27 1996-10-11 Sony Corp 基板の洗浄方法
JP3036478B2 (ja) * 1997-08-08 2000-04-24 日本電気株式会社 ウェハの洗浄及び乾燥方法
US6346505B1 (en) * 1998-01-16 2002-02-12 Kurita Water Industries, Ltd. Cleaning solution for electromaterials and method for using same
US5932022A (en) * 1998-04-21 1999-08-03 Harris Corporation SC-2 based pre-thermal treatment wafer cleaning process

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5259888A (en) * 1992-02-03 1993-11-09 Sachem, Inc. Process for cleaning quartz and silicon surfaces
EP0867924A2 (de) * 1997-02-14 1998-09-30 Interuniversitair Micro-Elektronica Centrum Vzw Verfahren zur Entfernung organischer Kontamination von einer Halbleiteroberfläche
DE19844443A1 (de) * 1997-12-02 1999-06-17 Mitsubishi Electric Corp Verfahren und Vorrichtung zur Reinigung einer Fotomaske

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
Clews, V.J. et al., Minimizing sulfur contami- nation and rinse water volume required following sulfuric acid/hydrogen peroxide clean by per- forming a chemically basic rinse. In: Proceedings- electrochemical society Vol. 97-35, 1998 (cleaning Technology in Semiconductor Device Manufacturing), S. 23-30
Clews, V.J. et al., Minimizing sulfur contami- nation and rinse water volume required following sulfuric acid/hydrogen peroxide clean by per- forming a chemically basic rinse. In: Proceedings-electrochemical society Vol. 97-35, 1998 (cleaningTechnology in Semiconductor Device Manufacturing),S. 23-30 *

Also Published As

Publication number Publication date
FR2802130A1 (fr) 2001-06-15
JP3410720B2 (ja) 2003-05-26
TWI229706B (en) 2005-03-21
JP2001212968A (ja) 2001-08-07
DE10056541A1 (de) 2001-06-07
FR2802130B1 (fr) 2003-03-07
US6162302A (en) 2000-12-19

Similar Documents

Publication Publication Date Title
DE10056541B4 (de) Verfahren zum Reinigen von Quarzsubstraten unter Verwendung von leitenden Lösungen
DE10061248B4 (de) Verfahren und Vorrichtung zur In-situ-Dekontamination eines EUV-Lithographiegerätes
DE10112524A1 (de) Zweistufiges Grobverfahren und gesteuerte Aufdampfraten zum Herstellen von Laserablationsmasken
DE2429026A1 (de) Verfahren zum kopieren von duennfilmmustern auf einem substrat und vorrichtung zur durchfuehrung des verfahrens
DE3140890C2 (de) Photolithographisches Verfahren zum Herstellen einer integrierten Schaltungsvorrichtung
DE4102422A1 (de) Verfahren zur herstellung einer in mehreren ebenen angeordneten leiterstruktur einer halbleitervorrichtung
EP2313913A1 (de) Verfahren zum elektronenstrahlinduzierten ätzen von mit gallium verunreinigten schichten
DE69835765T2 (de) Plasma-Verfahren
DE102015204308A1 (de) Reflektives optisches Element und Verfahren zum Betrieb einer EUV-Lithographievorrichtung mit einem reflektiven optischen Element
DE10195157B4 (de) Reinigungsvorrichtung zum Reinigen von für das Polieren von Halbleiterwafern verwendeten Poliertüchern
EP1129823B1 (de) Verfahren zur Regenerierung von Halbleiterscheiben
DE102011080409A1 (de) Entfernen von Schichten einer EUV-Strahlung reflektierenden Beschichtung von einem Substrat
DE2613490C3 (de) Verfahren zum Entfernen von Vorsprüngen von der Oberfläche einer Halbleiterschicht
EP3592501A1 (de) Verfahren zur herstellung einer technischen maske
DE102012207141A1 (de) Verfahren zur Reparatur von optischen Elementen sowie optisches Element
DE19935495A1 (de) Verfahren zur Herstellung einer elektronischen Vorrichtung
DE102005024518B4 (de) Verfahren und Anordnung zum Beschichten eines Substrates
DE60207619T2 (de) Verfahren zum Aufdampfen eines dicken dielektrischen Films
DE2225366B2 (de) Verfahren zum Entfernen von Vorsprüngen an Epitaxie-Schichten
WO2022148742A1 (de) Verfahren zum reinigen einer oberfläche eines bauteils für ein euv-lithographiesystem
DE102008029193A1 (de) Verfahren zur Ausbildung einer Justiermarke eines Halbleiterbauelements
WO2019243064A1 (de) Verfahren zum lokalen entfernen und/oder modifizieren eines polymermaterials auf einer oberfläche
WO1999011433A1 (de) Vorrichtung und verfahren zum reinigen von polierpads, beispielsweise poliertüchern, insbesondere für das polieren von wafern
DE102023111715B3 (de) Verfahren und Trägersubstrat zur Herstellung eines Bauelementes
DE102007061141B3 (de) Verfahren zum Schutz eines Waferrandes

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8364 No opposition during term of opposition
8327 Change in the person/name/address of the patent owner

Owner name: AGILENT TECHNOLOGIES, INC. (N.D.GES.D. STAATES, US

8339 Ceased/non-payment of the annual fee