DE102005033141A1 - Passivierung eines Mehrschichtspiegels für extreme Ultraviolettlithografie - Google Patents

Passivierung eines Mehrschichtspiegels für extreme Ultraviolettlithografie Download PDF

Info

Publication number
DE102005033141A1
DE102005033141A1 DE102005033141A DE102005033141A DE102005033141A1 DE 102005033141 A1 DE102005033141 A1 DE 102005033141A1 DE 102005033141 A DE102005033141 A DE 102005033141A DE 102005033141 A DE102005033141 A DE 102005033141A DE 102005033141 A1 DE102005033141 A1 DE 102005033141A1
Authority
DE
Germany
Prior art keywords
contraption
substrate
nanometers
cover layer
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Ceased
Application number
DE102005033141A
Other languages
English (en)
Inventor
Siegfried Schwarzl
Stefan Austin Wurm
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Infineon Technologies AG
Original Assignee
Infineon Technologies AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Infineon Technologies AG filed Critical Infineon Technologies AG
Publication of DE102005033141A1 publication Critical patent/DE102005033141A1/de
Ceased legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7095Materials, e.g. materials for housing, stage or other support having particular properties, e.g. weight, strength, conductivity, thermal expansion coefficient
    • G03F7/70958Optical materials or coatings, e.g. with particular transmittance, reflectance or anti-reflection properties
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70316Details of optical elements, e.g. of Bragg reflectors, extreme ultraviolet [EUV] multilayer or bilayer mirrors or diffractive optical elements
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70916Pollution mitigation, i.e. mitigating effect of contamination or debris, e.g. foil traps
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K1/00Arrangements for handling particles or ionising radiation, e.g. focusing or moderating
    • G21K1/06Arrangements for handling particles or ionising radiation, e.g. focusing or moderating using diffraction, refraction or reflection, e.g. monochromators
    • G21K1/062Devices having a multilayer structure
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/12All metal or with adjacent metals
    • Y10T428/12493Composite; i.e., plural, adjacent, spatially distinct metal components [e.g., layers, joint, etc.]
    • Y10T428/1266O, S, or organic compound in metal component
    • Y10T428/12667Oxide of transition metal or Al

Abstract

Erläuterrt wird unter anderem eine reflektierende Vorrichtung 100, die zur Verwendung in extremen Ultraviolett- oder weichen Röntgenstrahl-Anwendungen geeignet ist. Die Vorrichtung 100 enthält ein Substrat 110, einen Mehrschichtreflektor 112, der an dem Substrat 110 ausgebildet ist, und eine Deckschicht 114, die an dem Mehrschichtreflektor 112 ausgebildet ist und die ein Oxid enthält, das chemisch inert in einer oxidierenden Umgebung ist. Somit widersteht die Vorrichtung 100 Verunreinigungen.

Description

  • Die vorliegende Erfindung bezieht sich allgemein auf die Halbleiterherstellung und spezieller auf EUV-Lithografie (Extreme Ultra Violet).
  • Im Allgemeinen verwenden Fotolithografietechniken, die in der Herstellung von Halbleiteranordnungen genutzt werden, ein Abbildungssystem, das Strahlung auf eine Maske richtet, um ein Muster zu bilden. Das Muster wird auf einen Halbleiterwafer projiziert, der mit einem strahlungsempfindlichen bzw. lichtempfindlichen Fotoresist bedeckt ist. Sobald das Fotoresistmaterial bestrahlt ist, kann es entwickelt werden, um überschüssiges Fotoresistmaterial zu beseitigen. Das verbleibende Fotoresistmaterial dient als eine Ätzmaske für einen Ätzprozess, der zum Strukturieren des unterliegenden Halbleiterwafers genutzt wird.
  • Laufende Verbesserungen in der Lithografie haben es erlaubt, die Größe von integrierten Schaltkreisen (IC) auf Halbleiterbasis zu verkleinern, wodurch Anordnungen mit höherer Dichte und besseren Leistungsmerkmalen ermöglicht wurden. Ein vielversprechendes Lithografiesystem verwendet Strahlung in dem extremen Ultraviolett(EUV)wellenlängenbereich. Allgemein verwendet die EUV-Lithografie (EUVL) Strahlung mit Wellenlängen im Bereich von etwa 10 Nanometern bis etwa 15 Nanometern, der zwischen dem Wellenlängenbereich der weichen Röntgenstrahlung und der Vakuumultraviolettstrahlung (VUV) liegt.
  • Allgemein sind EUVL-Abbildungssysteme reflektierende Systeme. EUV-reflektierende Systeme, die als Beleuchtungseinrichtung, Projektionsoptik, reflektierende Optiksysteme, Kondensoroptiksysteme, reflektierende Fotomasken usw. genutzt werden können, nutzen Mehrschicht, Dünnfilmbeschichtungen, die als verteilte Bragg-Reflektoren bekannt sind. Die Mehrschichtbeschichtungen umfassen typisch 40 bis 70 oder mehr, Molybdän/Silizium Doppelschichten, wobei die Doppelschichtendicke etwa die Hälfte der verwendeten entsprechenden EUV-Wellenlänge ist. Alternative Materialien sind beispielsweise Doppelschichten aus Galliumarsenid und Aluminiumarsenid.
  • Während der Verwendung wird jedoch die Oberfläche der EUVreflektierenden Optik, einschließlich der reflektierenden Masken, die auch als optische Elemente betrachtet werden, häufig verunreinigt. Oberflächenoxidation und Kohlenstoffablagerungen sind besonders störend und können die Nutzungsdauer der EUV-reflektierenden Optik derart verkürzen, dass die Nutzung einer EUV-reflektierenden Optik nicht kommerziell praktikabel ist. Kohlenstoffablagerungen treten aufgrund der Absorption von Kohlenwasserstoff enthaltenden Molekülen an der Optikoberfläche aus Restgasen in der Vakuumumgebung auf, oder der Absorption von Kohlenstoff enthaltenden Molekülen, wie z.B. Kohlenmonoxid oder Kohlendioxid, und folgender Photon- oder Sekundärelektronen induzierter Dissoziation und Desorptionsreaktionen. Resistausgasen kann auch zu Kohlenstoffablagerung auf den Spiegelflächen durch Fotodissoziation oder durch Elektronen induzierte Dissoziation von Photonen erzeugten Sekundärelektronen der Kohlenwasserstoffe führen. Die Oberflächenoxidation kann von Restwasserdampf durch Absorption von Wasser und folgende Photon induzierte oder Sekundärelektronen induzierte Dissoziation des Wassers resultieren, wobei der Sauerstoff an der Oberfläche verbleibt und der Wasserstoff desorbiert.
  • Kohlenstoffverunreinigungen können umgekehrt entfernt werden durch das gesteuerte Einlassen eines oxidierenden Gases, wie z.B. H2O. Jedoch müssen die Partialdrücke des Kohlenwasserstoff enthaltenden Gases und der Wasserdampfdruck innerhalb eines sehr kleinen Prozessfensters genau gesteuert werden, das eine Oxidation verhindert, ohne zu viel Kohlenstoff auf der Oberfläche zu hinterlassen. Der Prozess wird weiter kom pliziert, weil EUV-Optiksysteme in einem EUV-Belichtungswerkzeug mit verschiedenen EUV-Intensitäten belichtet werden und das Prozessfenster für jeden Spiegel anders sein kann. Außerdem wird die Oxidation während der EUV-Bestrahlung durch die Erzeugung von hochreaktiven Radikalen, z.B. O, OH, über die Dissoziation von Gasphasenmolekülen durch die intensive EUV-Strahlung über den Spiegelflächen gefördert. Die Erzeugung von Radikalen kann unterschiedlich für unterschiedliche Lichtintensitäten sein und deshalb für unterschiedliche Spiegel.
  • Anstrengungen wurden unternommen, um dieses Oxidationsproblem durch das Zufügen von Deckschichten aus Silizium, Ruthenium und Schichten aus Silizium und Ruthenium, die durch Zufügen von beispielsweise Stickstoff modifiziert sind, über der Oberfläche der EUV-Optikstruktur zu lösen. Jedoch wurde festgestellt, dass diese Deckschichten nicht oxidationsfest waren und keine effektive Barrierenschicht gegen die Diffusion von Oxiden, z.B. O2, O, OH usw. bilden, so dass die Deckschichten von diesen Molekülen und/oder Atomen durchdrungen wurden, die dann den Mehrschichtstapel unter der Deckschicht oxidierten, was Änderungen der optischen Konstanten und der Dicken der einzelnen Schichten verursacht.
  • Deshalb gibt es ein Bedürfnis für eine EUV-Optikstruktur, die einer Verunreinigung durch Kohlenstoff und Oxidation widersteht.
  • Diese und andere Probleme werden durch die Erfindung und ihre Weiterbildungen gelöst bzw. umgangen, wobei generell technische Vorteile erreicht werden. Die Erfindung sieht eine EUVreflektierende Optikstruktur vor, die beispielsweise für die EUV-Lithografie verwendet werden kann.
  • Gemäß einem Aspekt der vorliegenden Erfindung wird eine reflektierende Vorrichtung angegeben, die für die Nutzung in extremem Ultraviolett oder weichen Röntgenstrahl-Anwendungen geeignet ist. Die reflektierende Vorrichtung enthält ein Substrat mit einem Mehrschichtreflektor. Der Mehrschichtreflektor ist bspw. direkt angrenzend an das Substrat angeordnet oder an einer Schicht bzw. an einem Schichtstapel, die bzw. der zwischen dem Substrat und dem Mehrfachreflektor liegt. Eine Deckschicht ist über dem Mehrschichtreflektor ausgebildet, um Oxidation zu verhindern. Die Deckschicht ist bspw. direkt angrenzend an den Mehrschichtreflektor angeordnet oder an einer Schicht bzw. an einem Schichtstapel, die bzw. der zwischen dem Mehrschichtreflektor und der Deckschicht angeordnet sind. Die Deckschicht enthält ein inertes Oxid, insbesondere ein Metalloxid, wie z.B. Al2O3, HfO2, ZrO2, Ta2O5, Y2O3-stabilisiertes ZrO2 oder ähnliches. Das Oxid ist insbesondere in molekularem Sauerstoff inert.
  • Gemäß einem anderen Aspekt der vorliegenden Erfindung wird ein Verfahren zum Ausbilden einer reflektierenden Vorrichtung angegeben, die für die Nutzung in extremem Ultraviolett oder in weichen Röntgenstrahl-Anwendungen geeignet ist. Das Verfahren beinhaltet das Ausbilden einer Deckschicht über einem Mehrlagenreflektor auf bzw. an einem Substrat. Die Deckschicht kann ausgebildet werden durch reaktives Sputtern in einer Sauerstoffumgebung, durch nicht-reaktives Sputtern, bei dem die Materialien direkt von dem entsprechenden Oxidtarget gesputtert werden, durch nicht-reaktives Sputtern der Metallschicht gefolgt von einer vollständigen oder teilweisen Oxidation, z.B. durch natürliche Oxidation, durch Oxidation in sauerstoffhaltigem Plasma bzw. sauerstoffhaltigen Plasmen, durch Oxidation in Ozon (O3) oder ähnlichem, durch Atomlagenabscheidung, z.B. ALCVD (Atomic Layer Chemical Vapor Deposition), oder ähnlichem.
  • Gemäß einem dritten Aspekt der vorliegenden Erfindung wird ein Verfahren zum Strukturieren einer Halbleitervorrichtung angegeben. Das Verfahren verwendet ein EUVL-System mit einer oder mehreren reflektierenden Vorrichtungen, worin mindestens eine der reflektierenden Vorrichtungen eine Deckschicht hat, die über einem Mehrlagenreflektor ausgebildet ist. Die Deckschicht verhindert oder reduziert die Oxidation des Mehrschichtreflektors während des Betriebs.
  • Für ein vollständigeres Verständnis der vorliegenden Erfindung und deren Vorteile wird nun Bezug genommen auf die folgenden Beschreibungen gemeinsam mit den anliegenden Zeichnungen, in denen:
  • 1 eine EUV-Optikstruktur gemäß einem Ausführungsbeispiel der vorliegenden Erfindung zeigt, und
  • 2 ein EUVL-System gemäß einem Ausführungsbeispiel der vorliegenden Erfindung zeigt.
  • Das Herstellen und Verwenden der vorliegenden bevorzugten Ausführungsbeispiele wird im Detail unten diskutiert. Selbstverständlich sind jedoch auch Verallgemeinerungen möglich.
  • Nun bezugnehmend auf 1 wird ein Querschnitt einer EUV-Mehrschichtreflektorvorrichtung 100 gemäß einem Ausführungsbeispiel der vorliegenden Erfindung gezeigt. Die EUV-Mehrschichtreflektorvorrichtung 100 umfasst ein Substrat 110, einen Mehrschichtreflektor 112 und eine Deckschicht 114. Vorzugsweise ist das Substrat 110 aus einem Material mit einem kleinen thermischen Ausdehnungskoeffizienten (LTEM – Low Thermal Expansion Material), wie z.B. ULE-Glas (Ultra Low Expansion) der Corning Incorporation. Alternativ können andere Materialien genutzt werden, wie Zerodur®, hergestellt von Schott Glas Technologie oder ähnliche.
  • Der Mehrschichtreflektor 112 enthält abwechselnd Schichten eines Materials mit einer großen Kernladungszahl Z, z.B. größer als 30, und einem Material mit einer kleinen Kernladungszahl Z, z.B. kleiner als 30, wobei beide Materialien nach einem kleinen Absorptionsindex ausgewählt sind und vorzugsweise etwa 40 bis 70 Paare aus wechselnden Schichten vorhanden sind. Bei einem Ausführungsbeispiel ist oder enthält das Material mit der großen Kernladungszahl Z Molybdän, und das Material mit der kleinen Kernladungszahl Z ist oder enthält Silizium. In diesem Ausführungsbeispiel ist es bevorzugt, dass das Paar der Molybdän- und Siliziumschichten eine Dicke im Bereich von etwa 15 Nanometern bis etwa 7,5 Nanometern hat, d.h. etwa die Hälfte der EUV-Wellenlänge von etwa 10 Nanometern bis 15 Nanometern. Somit kann die Dicke des Mehrschichtreflektors 112 im Bereich von etwa 200 Nanometern bis etwa 525 Nanometern liegen. Bei einem bevorzugten Ausführungsbeispiel, bei dem Plasmaquellen verwendet werden, die eine Wellenlänge von etwa 13,5 Nanometern haben, hat jedes Paar von abwechselnden Molybdän- und Siliziumschichten eine Dicke von etwa 6, 8 Nanometern. Es soll angemerkt werden, dass die bevorzugte Dicke abhängig vom Einfallswinkel der EUV-Strahlung auf den speziellen Mehrschichtreflektor ist. Andere Dicken, Materialien und eine andere Anzahl von Schichten können verwendet werden.
  • Die Deckschicht 114 ist bevorzugt ausgebildet aus einer oder mehreren dünnen Schichten eines Materials, das gute Diffusionsbarriereeigenschaften gegen Oxidation des unterliegenden Mehrschichtreflektors hat und das das effektive Entfernen von Kohlenstoffverunreinigungen erlaubt. In einem bevorzugten Ausführungsbeispiel besteht die Deckschicht aus oder enthält Aluminiumoxid Al2O3, mit einer Dicke im Bereich von etwa 1 Nanometer bis etwa 5 Nanometer, welches durch reaktives Sputtern in einer Sauerstoffumgebung gebildet wurde. Geeignete Verfahren zum Ausbilden der Deckschicht schließen ein Gleichstrom- oder Wechselstrommagnetronsputtern oder Ionenstrahlabscheidung ein. Das Erste ist ein Diodensputterverfahren, bei dem magnetische Felder an dem Target (Kathode) eine intensive lokale Plasmadichte hervorrufen und somit große Sputterraten. In Ionenstrahlsputter-Abscheidungssystemen werden Ionenstrahlen von einer gesonderten Ionenquelle schräg auf das entfernte Target gerichtet. Das reaktive Sputtern in beiden Verfahren beinhaltet das Sputtern eines metallischen Targets, im Fall von Al2O3 ist dies metallisches Aluminium. Prozessgase, die verwendet werden können, schließen Argon ein mit einem Zusatz von etwa 10 Prozent bis etwa 20 Prozent Sauerstoff, der mit den aus der Targetoberfläche heraustretenden Aluminiumatomen reagiert. Es wird angestrebt, eine genaue Steuerung des Partialdrucks des Sauerstoffs zu haben, um eine Vergiftung bzw. Oxidation des Targets zu vermeiden, die große Schwankungen der Sputterraten zur Folge hat. Beide Arten von Sputtersystemen enthalten bevorzugt Einzel- oder Mehrfachtargetsystemen, UHV-Design (z.B. kleiner als 10-7 Torr, 10-8 Torr oder sogar 10-9 Torr), Einzelsubstratverfahren, und dynamische oder statische Abscheidungsarten. In dynamischen Systemen wird das Substrat gedreht und/oder entlang eines geraden oder gekrümmten Weges, z.B. unter Verwendung eines Planetensystems, bewegt, um die notwendige Gleichmäßigkeit der Abscheiderate zu erreichen, beispielsweise kleiner als 3 Prozent bzw. kleiner als 3 Sigma. Formplatten können verwendet werden, um die Gleichmäßigkeit zu optimieren. Auf diese Weise wurde festgestellt, ist die Al2O3-Deckschicht unter Verwendung relativ kleiner Prozesstemperaturen herzustellen, z.B. kleiner als etwa 200°C, und hat dennoch geeignete Diffusionsbarriereeigenschaften bis zu etwa 700°C, einer Temperatur, die häufig als oberhalb der maximalen Mehrfachreflektor-Temperaturen liegend betrachtet wird, die während der Herstellung dieser Reflektoren oder während des Betriebs des EUV-Bestrahlungswerkzeugs auftreten.
  • Andere inerte bzw. reaktionsträge Oxide, wie z.B. HfO2, ZrO2, Ta2O5, Y2O3-stabilisiertes ZrO2, und ähnliche, können ebenfalls verwendet werden. Andere Prozesse können ebenfalls verwendet werden, wie z.B. nicht-reaktives Sputtern, bei dem die Materialien direkt von dem entsprechenden Oxidtarget gesputtert werden, nicht-reaktives Sputtern der metallischen Schicht gefolgt von einer vollständigen oder teilweisen Oxidation, z.B. durch natürliche Oxidation, durch Oxidation in sauerstoffhaltigen Plasmen, durch Oxidation in Ozon (O3) oder ähnlichen, Atomlagenabscheidung, z.B. AlCVD (Atomic Layer Chemical Vapor Deposition) oder ähnliche.
  • Es wurde festgestellt, dass aus diesen Materialien gebildete Deckschichten eine kleine Oberflächenrauhigkeit, beispielsweise kleiner als 0,2 Nanometer, und eine große Dickengleichmäßigkeit liefern, z.B. in der Größenordnung von 10-2 Nanometern bis 5 × 10-2 Nanometern. Dies schafft eine hochreflektierende Oberfläche, mit kleinen Abbildungsfehlern, die für EUVL-Technologien geeignet sind und für Designs einer minimalen Strukturbreite von 50 Nanometern und darunter. Ein Fachmann wird auch einsehen, dass die kleinen Prozesstemperaturen, die zur Ausbildung der Deckschicht verwendet werden, die Interdiffusion bzw. das Ausmaß der Interdiffusion zwischen den Schichten der Reflektorschicht verhindern oder reduzieren.
  • Es wurde festgestellt, dass es ein auf diese Weise hergestellter EUV-Reflektor gestattet, Kohlenstoffverunreinigungen ohne die Probleme von Oxidation zu entfernen. Beispielsweise können die Kohlenstoffverunreinigungen durch Einlassen eines oxidierenden Gases, wie z.B. H2O beseitigt werden. Bisher blieb Sauerstoff von dem Einlass des oxidierenden Gases an der Oberfläche des EUV-Reflektors, durchdrang die Deckschicht, falls eine vorhanden war, und oxidierte die Bragg-Reflektoren, wobei die Leistungsmerkmalcharakteristiken sanken. Im Gegenteil dazu verhindern oder reduzieren die Ausführungsbeispiele der vorliegenden Erfindung das Eindringen von atomarem und molekularem Sauerstoff, wobei die Oberfläche des Bragg-Reflektors geschützt wird. Die Deckschicht verhindert die Oxidation oder reduziert auch das Ausmaß der Oxidation, die an der Oberfläche der Deckschicht auftreten kann.
  • Nun bezugnehmend auf 2 ist ein EUVL-System 200 gezeigt, worin die optischen Systeme EUV-Optiksysteme verwenden, die gemäß einem Ausführungsbeispiel der vorliegenden Erfindung ausgebildet sind. Das EUVL-System 200 umfasst einen Laser 210 oder eine andere Strahlungsquelle, Kondensorspiegel 212, eine reflektierende Maske 214, Verkleinerungsspiegel 216 und einen Halbleiterwafer 218. Jeder der Spiegel kann einen Spiegel enthalten, der eine Deckschicht hat, die gemäß einem Ausführungsbeispiel der vorliegenden Erfindung hergestellt ist. Ausführungsbeispiele der vorliegenden Erfindung können in anderen Abbildungssystemen und Anordnungen verwendet werden.
  • Im Betrieb sammeln und fokussieren die Kondensorspiegel 212 die vom Laser 210 erzeugte Strahlung auf der reflektierenden Maske 214. Die reflektierende Maske 214, die typischerweise abgetastet wird, reflektiert das angestrebte Muster auf die Verkleinerungsspiegel 216. Die Verkleinerungsspiegel 216 verkleinern die Größe des Maskenbildes bzw. der Maske und projizieren das Maskenbild bzw. die Maske mit der angestrebten Größe auf den Halbleiterwafer 218. Wie oben erläutert, bietet die Verwendung der EUV-Optiksysteme gemäß einem Ausführungsbeispiel der vorliegenden Erfindung eine Deckschicht, die eine Oxidationsbarrierenschicht bietet, die eine Oxidation der unterliegenden Bragg-Reflektoren verhindert, wobei ein besseres Reflektionsvermögen und eine längere Nutzungsdauer geboten werden.
  • Obwohl die vorliegende Erfindung und ihre Vorteile im Detail beschrieben worden sind, sollte klar sein, dass verschiedene Änderungen, Ersetzungen und Abänderungen darin gemacht werden können. Wie ein Fachmann schnell von der Offenbarung der vorliegenden Erfindung aus einsieht, können gemäß der vorliegenden Erfindung auch Prozesse, Maschinen, Herstellung, Zusammensetzungen von Stoffen, Mittel, Verfahren oder Schritte, die gegenwärtig vorhanden sind oder später entwickelt werden, verwendet werden, die im Wesentlichen die gleiche Funktion oder im Wesentlichen das gleiche Ergebnis wie die entsprechenden hierin beschriebenen Ausführungsbeispiele erreichen.
  • 100
    Mehrschichtspiegelvorrichtung
    110
    Substrat
    112
    Mehrschichtreflektor
    114
    Deckschicht
    200
    EUVL-System
    210
    Laser
    212
    Kondensorspiegel
    214
    reflektierende Maske
    216
    Verkleinerungsspiegel
    218
    Halbleiterwafer

Claims (29)

  1. Eine reflektierende Vorrichtung (100), die zur Nutzung in extremen Ultraviolett oder weichen Röntgenstrahl-Anwendungen geeignet ist, die reflektierende Vorrichtung (100) enthält: ein Substrat (110), einen Mehrschichtreflektor (112), der an dem Substrat (110) ausgebildet ist, und eine Deckschicht (114), die an dem Mehrschichtreflektor (112) ausgebildet ist und die ein Oxid enthält oder aus einem Oxid besteht, das chemisch inert in einer oxidierenden Umgebung ist.
  2. Vorrichtung (100) nach Anspruch 1, dadurch gekennzeichnet, dass das Substrat (110) ein Material mit einem kleinen thermischen Ausdehnungskoeffizienten (LTEM) enthält oder aus einem solchen Material besteht, insbesondere einem thermischen Ausdehnungskoeffizienten kleiner als 0,10 × 10-6 K-1, vorzugsweise im Temperaturbereich von 0°C bis 50°C.
  3. Vorrichtung (100) nach Anspruch 1 oder 2, dadurch gekennzeichnet, dass das Substrat ein Glas mit einem ultra-kleinen Ausdehnungskoeffizienten (ULE) enthält oder aus einem solchen Glas besteht.
  4. Vorrichtung (100) nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass das Substrat (110) Zerodur® enthält oder aus Zerodur® besteht.
  5. Vorrichtung (100) nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass der Mehrschichtreflektor (112) abwechselnd Schichten aus Material mit einer großen Kernladungszahl und aus Material mit einer kleinen Kernladungszahl enthält oder aus solchen Schichten besteht.
  6. Vorrichtung (100) nach Anspruch 5, dadurch gekennzeichnet, dass das Material mit der großen Kernladungszahl Molybdän enthält oder Molybdän ist.
  7. Vorrichtung (100) nach Anspruch 5 oder 6, dadurch gekennzeichnet, dass das Material mit der kleinen Kernladungszahl Silizium enthält oder Silizium ist.
  8. Vorrichtung (100) nach einem der Ansprüche 5 bis 7, dadurch gekennzeichnet, dass jedes Schichtpaar eine Dicke im Bereich von 5 Nanometern bis 7,5 Nanometern hat, insbesondere eine Dicke von 6, 8 Nanometern.
  9. Vorrichtung (100) nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass die Deckschicht (114) Aluminiumoxid, Hafniumoxid, Zirkoniumoxid, Tantaloxid, Yttriumoxidstabilisiertes Zirkoniumoxid, eine Kombination daraus enthält oder aus diesen Materialien besteht, insbesondere Al2O3, HfO2, ZrO2, Ta2O5, Y2O3-stabilisiertes ZrO2 oder eine Kombination daraus.
  10. Vorrichtung (100) nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass die Deckschicht eine Vielzahl Schichten enthält.
  11. Vorrichtung (100) nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass die Deckschicht (114) eine Dicke im Bereich von 1 Nanometer bis 5 Nanometern hat.
  12. Verfahren zum Ausbilden einer reflektierenden Vorrichtung (100), die für die Nutzung in extremem Ultraviolett oder weichen Röntgenstahl-Anwendungen geeignet ist, das Verfahren enthält die Schritte: Bereitstellen eines Substrats (110) mit einem daran ausgebildeten Mehrschichtreflektor (112), und Ausbilden einer Deckschicht (114) über dem Mehrschichtreflektor (112), wobei die Deckschicht (114) ein Oxid enthält oder aus einem Oxid besteht, das chemisch inert in einer oxidierenden Umgebung ist.
  13. Verfahren zum Strukturieren einer Halbleiteranordnung mit den Schritten: Bereitstellen eines Halbleiterwafers (218), Aufbringen eines Fotoresistmaterials, und Bestrahlen eines Teils des Fotoresistmaterials, wobei die Bestrahlung unter Nutzung einer reflektierenden Vorrichtung (100) durchgeführt wird, die zur Nutzung in extremem Ultraviolett oder weichen Röntgenstrahl-Applikationen geeignet ist, wobei die reflektierende Vorrichtung (100) eine Deckschicht (114) über einem Mehrfachreflektor (112) hat, und wobei die Deckschicht (114) ein Oxid enthält oder aus einem Oxid besteht, das chemisch inert in einer oxidierenden Umgebung ist.
  14. Verfahren nach Anspruch 12 oder 13, dadurch gekennzeichnet, dass das Substrat (110) ein Material mit einem kleinen thermischen Ausdehnungskoeffizienten (LTEM) enthält oder aus einem solchen Material besteht, insbesondere einem thermischen Ausdehnungskoeffizienten kleiner als 0,10 × 10-6 K-1, vorzugsweise im Temperaturbereich von 0°C bis 50°C.
  15. Verfahren nach einem der Ansprüche 12 bis 14, dadurch gekennzeichnet, dass das Substrat ein Glas mit einem ultrakleinen Ausdehnungskoeffizienten (ULE) enthält oder aus einem solchen Glas besteht.
  16. Verfahren nach einem der Ansprüche 12 bis 15, dadurch gekennzeichnet, dass das Substrat (110) Zerodur® enthält oder aus Zerodur® besteht.
  17. Verfahren nach einem der Ansprüche 12 bis 16, dadurch gekennzeichnet, dass der Mehrschichtreflektor (112) abwechselnd Schichten aus Material mit einer großen Kernladungszahl und aus Material mit einer kleinen Kernladungszahl enthält oder aus solchen Schichten besteht.
  18. Verfahren nach Anspruch 17, dadurch gekennzeichnet, dass das Material mit der großen Kernladungszahl Molybdän enthält oder Molybdän ist.
  19. Verfahren nach Anspruch 17 oder 18, dadurch gekennzeichnet, dass das Material mit der kleinen Kernladungszahl Silizium enthält oder Silizium ist.
  20. Verfahren nach einem der Ansprüche 17 bis 19, dadurch gekennzeichnet, dass jedes Schichtpaar eine Dicke im Bereich von 5 Nanometern bis 7,5 Nanometern hat, insbesondere eine Dicke von 6, 8 Nanometern.
  21. Verfahren nach einem der Ansprüche 12 bis 20, dadurch gekennzeichnet, dass die Deckschicht (114) Aluminiumoxid, Hafniumoxid, Zirkoniumoxid, Tantaloxid, Yttriumoxidstabilisiertes Zirkoniumoxid, eine Kombination daraus enthält oder aus diesen Materialien besteht, insbesondere Al2O3, HfO2, ZrO2, Ta2O5, Y2O3-stabilisiertes ZrO2 oder eine Kombination daraus.
  22. Verfahren nach einem der Ansprüche 12 bis 21, dadurch gekennzeichnet, dass die Deckschicht eine Vielzahl Schichten enthält.
  23. Verfahren nach einem der Ansprüche 12 bis 22, dadurch gekennzeichnet, dass die Deckschicht (114) eine Dicke im Bereich von 1 Nanometer bis 5 Nanometern hat.
  24. Verfahren nach Anspruch 12 oder einem vom Anspruch 12 abhängigen Anspruch, dadurch gekennzeichnet, dass das Ausbilden den Schritt enthält oder aus dem Schritt besteht: Ausführen eines reaktiven Sputterprozesses in einer Sauerstoffatmosphäre unter Verwendung eines metallischen Sputtertargets.
  25. Verfahren nach Anspruch 12 oder einem vom Anspruch 12 abhängigen Anspruch, dadurch gekennzeichnet, dass das Ausbilden den Schritt enthält oder aus dem Schritt besteht: Ausführen eines nicht-reaktiven Sputterprozesses, wobei das inerte Oxid direkt von einem entsprechenden Oxidtarget gesputtert wird.
  26. Verfahren nach Anspruch 12 oder einem vom Anspruch 12 abhängigen Anspruch, dadurch gekennzeichnet, dass das Ausbilden den Schritt enthält oder aus dem Schritt besteht: Ausführen eines nicht-reaktiven Sputterprozesses einer metallischen Schicht und vollständige oder teilweise Oxidation der metallischen Schicht.
  27. Verfahren nach Anspruch 12 oder einem vom Anspruch 12 abhängigen Anspruch, dadurch gekennzeichnet, dass das Ausbilden den Schritt enthält oder aus dem Schritt besteht: Durchführen eines Atomlagenabscheidungsprozesses.
  28. Verfahren zum Reinigen einer Vorrichtung (100) nach einem der Ansprüche 1 bis 11, dadurch gekennzeichnet, dass die Vorrichtung (100) einem oxidierenden Gas ausgesetzt wird.
  29. Verfahren nach Anspruch 28, dadurch gekennzeichnet, dass das oxidierende Gas Wasserdampf ist.
DE102005033141A 2004-07-28 2005-07-15 Passivierung eines Mehrschichtspiegels für extreme Ultraviolettlithografie Ceased DE102005033141A1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/901,627 2004-07-28
US10/901,627 US20060024589A1 (en) 2004-07-28 2004-07-28 Passivation of multi-layer mirror for extreme ultraviolet lithography

Publications (1)

Publication Number Publication Date
DE102005033141A1 true DE102005033141A1 (de) 2006-03-23

Family

ID=35732657

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102005033141A Ceased DE102005033141A1 (de) 2004-07-28 2005-07-15 Passivierung eines Mehrschichtspiegels für extreme Ultraviolettlithografie

Country Status (3)

Country Link
US (4) US20060024589A1 (de)
CN (1) CN1737687A (de)
DE (1) DE102005033141A1 (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102012207125A1 (de) 2012-04-27 2013-03-28 Carl Zeiss Smt Gmbh Optisches Element und optisches System für die EUV-Lithographie sowie Verfahren zum Optimieren eines Schutzlagensystems für ein optisches Element

Families Citing this family (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI249651B (en) * 2002-06-14 2006-02-21 Asml Netherlands Bv EUV lithographic projection apparatus comprising an optical element with a self-assembled monolayer, optical element with a self-assembled monolayer, method of applying a self-assembled monolayer, device manufacturing method and device manufactured there
US20060024589A1 (en) * 2004-07-28 2006-02-02 Siegfried Schwarzl Passivation of multi-layer mirror for extreme ultraviolet lithography
US7504185B2 (en) * 2005-10-03 2009-03-17 Asahi Glass Company, Limited Method for depositing multi-layer film of mask blank for EUV lithography and method for producing mask blank for EUV lithography
US7959310B2 (en) * 2006-09-13 2011-06-14 Carl Zeiss Smt Gmbh Optical arrangement and EUV lithography device with at least one heated optical element, operating methods, and methods for cleaning as well as for providing an optical element
US20080266651A1 (en) * 2007-04-24 2008-10-30 Katsuhiko Murakami Optical apparatus, multilayer-film reflective mirror, exposure apparatus, and device
FI20070991L (fi) * 2007-12-19 2009-06-20 Beneq Oy Lasituote, tuotteen käyttö ja valmistusmenetelmä
DE102009032779A1 (de) * 2009-07-10 2011-01-13 Carl Zeiss Smt Ag Spiegel für den EUV-Wellenlängenbereich, Projektionsobjektiv für die Mikrolithographie mit einem solchen Spiegel und Projektionsbelichtungsanlage für die Mikrolithographie mit einem solchen Projektionsobjektiv
DE102010006326A1 (de) 2010-01-29 2011-08-04 Asml Netherlands B.V. Anordnung zur Verwendung in einer Projektionsbelichtungsanlage für die Mikrolithographie mit einem reflektiven optischen Element
DE102010029049B4 (de) * 2010-05-18 2014-03-13 Carl Zeiss Smt Gmbh Beleuchtungsoptik für ein Metrologiesystem für die Untersuchung eines Objekts mit EUV-Beleuchtungslicht sowie Metrologiesystem mit einer derartigen Beleuchtungsoptik
CN102253585A (zh) * 2010-05-20 2011-11-23 鸿富锦精密工业(深圳)有限公司 投影屏幕、投影系统及该投影屏幕的制造方法
JP6236000B2 (ja) * 2011-07-08 2017-11-22 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィパターニングプロセスおよび同プロセス内で使用するレジスト
DE102012202850A1 (de) * 2012-02-24 2013-08-29 Asml Netherlands B.V. Verfahren zum Optimieren eines Schutzlagensystems für ein optisches Element, optisches Element und optisches System für die EUV-Lithographie
US10185234B2 (en) * 2012-10-04 2019-01-22 Asml Netherlands B.V. Harsh environment optical element protection
US9632411B2 (en) 2013-03-14 2017-04-25 Applied Materials, Inc. Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US20140272684A1 (en) 2013-03-12 2014-09-18 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
US9206078B2 (en) * 2013-03-13 2015-12-08 Intermolecular, Inc. Barrier layers for silver reflective coatings and HPC workflows for rapid screening of materials for such barrier layers
KR102109129B1 (ko) * 2013-07-02 2020-05-08 삼성전자주식회사 반사형 포토마스크 블랭크 및 반사형 포토마스크
EP2905637A1 (de) * 2014-02-07 2015-08-12 ASML Netherlands B.V. Optisches EUV-Element mit einer blasenresistenten mehrschichtigen Kappe
WO2016007065A1 (en) * 2014-07-07 2016-01-14 Scint-X Ab Production of a thin film reflector
US9581890B2 (en) 2014-07-11 2017-02-28 Applied Materials, Inc. Extreme ultraviolet reflective element with multilayer stack and method of manufacturing thereof
US9739913B2 (en) * 2014-07-11 2017-08-22 Applied Materials, Inc. Extreme ultraviolet capping layer and method of manufacturing and lithography thereof
US9690016B2 (en) * 2014-07-11 2017-06-27 Applied Materials, Inc. Extreme ultraviolet reflective element with amorphous layers and method of manufacturing thereof
DE102014218084A1 (de) 2014-09-10 2014-11-13 Carl Zeiss Smt Gmbh Verfahren zur herstellung oxid - basierter deckschichten für hochreflektierende euv - multischichten
DE102016208987A1 (de) 2016-05-24 2017-11-30 Carl Zeiss Smt Gmbh Optisches Element und EUV-Lithographiesystem
TWI774375B (zh) 2016-07-27 2022-08-11 美商應用材料股份有限公司 具多層吸收劑的極紫外遮罩坯料及製造方法
US10928569B2 (en) * 2018-04-24 2021-02-23 Palo Alto Research Center Incorporated Angle-insensitive multi-wavelength optical filters with hue control
TW202026770A (zh) 2018-10-26 2020-07-16 美商應用材料股份有限公司 用於極紫外線掩模吸收劑的ta-cu合金材料
TW202028495A (zh) * 2018-12-21 2020-08-01 美商應用材料股份有限公司 極紫外線遮罩吸收器及用於製造的方法
TW202035792A (zh) 2019-01-31 2020-10-01 美商應用材料股份有限公司 極紫外光遮罩吸收體材料
TWI828843B (zh) 2019-01-31 2024-01-11 美商應用材料股份有限公司 極紫外線(euv)遮罩素材及其製造方法
US11249390B2 (en) 2019-01-31 2022-02-15 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
TW202043905A (zh) 2019-03-01 2020-12-01 美商應用材料股份有限公司 物理氣相沉積系統與處理
TWI818151B (zh) 2019-03-01 2023-10-11 美商應用材料股份有限公司 物理氣相沉積腔室及其操作方法
TW202037742A (zh) 2019-03-01 2020-10-16 美商應用材料股份有限公司 物理氣相沉積系統與處理
US11366379B2 (en) 2019-05-22 2022-06-21 Applied Materials Inc. Extreme ultraviolet mask with embedded absorber layer
TW202104666A (zh) 2019-05-22 2021-02-01 美商應用材料股份有限公司 極紫外光遮罩吸收劑材料
TW202104667A (zh) 2019-05-22 2021-02-01 美商應用材料股份有限公司 極紫外光遮罩吸收材料
US11275303B2 (en) 2019-05-22 2022-03-15 Applied Materials Inc. Extreme ultraviolet mask absorber matertals
TW202111420A (zh) 2019-05-22 2021-03-16 美商應用材料股份有限公司 極紫外光遮罩吸收材料
US20220260756A1 (en) * 2019-07-16 2022-08-18 Asml Netherlands B.V. Oxygen-loss resistant top coating for optical elements
US11385536B2 (en) 2019-08-08 2022-07-12 Applied Materials, Inc. EUV mask blanks and methods of manufacture
US11630385B2 (en) 2020-01-24 2023-04-18 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
TWI817073B (zh) 2020-01-27 2023-10-01 美商應用材料股份有限公司 極紫外光遮罩坯體硬遮罩材料
TW202129401A (zh) 2020-01-27 2021-08-01 美商應用材料股份有限公司 極紫外線遮罩坯體硬遮罩材料
TW202131087A (zh) 2020-01-27 2021-08-16 美商應用材料股份有限公司 極紫外光遮罩吸收劑材料
TW202141165A (zh) 2020-03-27 2021-11-01 美商應用材料股份有限公司 極紫外光遮罩吸收材料
US11644741B2 (en) 2020-04-17 2023-05-09 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11300871B2 (en) 2020-04-29 2022-04-12 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
TW202202641A (zh) 2020-07-13 2022-01-16 美商應用材料股份有限公司 極紫外線遮罩吸收劑材料
DE102020212353A1 (de) 2020-09-30 2022-03-31 Carl Zeiss Smt Gmbh Verfahren zur Herstellung eines optischen Elements, optisches Element, Vorrichtung zur Herstellung eines optischen Elements, Sekundärgas und Projektionsbelichtungsanlage
US11609490B2 (en) 2020-10-06 2023-03-21 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11513437B2 (en) 2021-01-11 2022-11-29 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11592738B2 (en) 2021-01-28 2023-02-28 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11815803B2 (en) 2021-08-30 2023-11-14 Applied Materials, Inc. Multilayer extreme ultraviolet reflector materials
US11782337B2 (en) 2021-09-09 2023-10-10 Applied Materials, Inc. Multilayer extreme ultraviolet reflectors

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5958605A (en) * 1997-11-10 1999-09-28 Regents Of The University Of California Passivating overcoat bilayer for multilayer reflective coatings for extreme ultraviolet lithography
US6228512B1 (en) * 1999-05-26 2001-05-08 The Regents Of The University Of California MoRu/Be multilayers for extreme ultraviolet applications
TW561279B (en) * 1999-07-02 2003-11-11 Asml Netherlands Bv Reflector for reflecting radiation in a desired wavelength range, lithographic projection apparatus containing the same and method for their preparation
US6290180B1 (en) * 1999-09-09 2001-09-18 Lockheed Martin Corporation Photocatalytic coatings on optical solar reflectors to decompose organic contaminants
TW515032B (en) 1999-10-06 2002-12-21 Samsung Electronics Co Ltd Method of forming thin film using atomic layer deposition method
DE10016008A1 (de) * 2000-03-31 2001-10-11 Zeiss Carl Villagensystem und dessen Herstellung
DE10150874A1 (de) * 2001-10-04 2003-04-30 Zeiss Carl Optisches Element und Verfahren zu dessen Herstellung sowie ein Lithographiegerät und ein Verfahren zur Herstellung eines Halbleiterbauelements
US6627362B2 (en) * 2001-10-30 2003-09-30 Intel Corporation Photolithographic mask fabrication
EP1324136A1 (de) * 2001-12-28 2003-07-02 ASML Netherlands B.V. Lithographischer Projektionsapparat und Verfahren zur Herstellung eines Artikels
EP1333323A3 (de) * 2002-02-01 2004-10-06 Nikon Corporation Selbstreinigendes optisches Element für Röntgenstrahlenoptik, sowie ein solches Element enthaltende optische und mikrolithographische Systeme
US6759141B2 (en) * 2002-04-30 2004-07-06 The Regents Of The University Of California Oxidation preventative capping layer for deep-ultra-violet and soft x-ray multilayers
DE10223113B4 (de) * 2002-05-21 2007-09-13 Infineon Technologies Ag Verfahren zur Herstellung einer photolithographischen Maske
EP1394815B1 (de) 2002-08-28 2011-06-22 ASML Netherlands B.V. Lithographiegerät mit einem Mo/Si Mehrfachschichtenspiegel mit einer Schutzschicht
DE10258709A1 (de) * 2002-12-12 2004-07-01 Carl Zeiss Smt Ag Schutzsystem für reflektive optische Elemente, reflektives optisches Element und Verfahren zu deren Herstellung
US7567379B2 (en) * 2004-04-29 2009-07-28 Intel Corporation Technique to prevent tin contamination of mirrors and electrodes in an EUV lithography system
US20060024589A1 (en) * 2004-07-28 2006-02-02 Siegfried Schwarzl Passivation of multi-layer mirror for extreme ultraviolet lithography

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102012207125A1 (de) 2012-04-27 2013-03-28 Carl Zeiss Smt Gmbh Optisches Element und optisches System für die EUV-Lithographie sowie Verfahren zum Optimieren eines Schutzlagensystems für ein optisches Element

Also Published As

Publication number Publication date
US8501373B2 (en) 2013-08-06
CN1737687A (zh) 2006-02-22
US8076055B2 (en) 2011-12-13
US20120069311A1 (en) 2012-03-22
US20060024589A1 (en) 2006-02-02
US20100066991A1 (en) 2010-03-18
US7859648B2 (en) 2010-12-28
US20100119981A1 (en) 2010-05-13

Similar Documents

Publication Publication Date Title
DE102005033141A1 (de) Passivierung eines Mehrschichtspiegels für extreme Ultraviolettlithografie
Louis et al. Nanometer interface and materials control for multilayer EUV-optical applications
DE60118024T2 (de) Selbstreinigendes optisches gerät für die euv-lithographie
EP1333323A2 (de) Selbstreinigendes optisches Element für Röntgenstrahlenoptik, sowie ein solches Element enthaltende optische und mikrolithographische Systeme
DE102009045170A1 (de) Reflektives optisches Element und Verfahren zum Betrieb einer EUV-Lithographievorrichtung
DE10155112A1 (de) Reflexionsmaske für die EUV-Lithographie und Herstellungsverfahren dafür
EP3491468B1 (de) Reflektives optisches element für die euv-lithographie
DE102018220629A1 (de) Spiegel für eine Beleuchtungsoptik einer Projektionsbelichtungsanlage mit einem Spektralfilter in Form einer Gitterstruktur und Verfahren zur Herstellung eines Spektralfilters in Form einer Gitterstruktur auf einem Spiegel
DE102011083461A1 (de) Verfahren zum Erzeugen einer Deckschicht aus Siliziumoxid an einem EUV-Spiegel
US7781125B2 (en) Lithography mask blank
DE10223113A1 (de) Photolithographische Maske und Verfahren zu deren Herstellung
DE102017213172A1 (de) Verfahren zum Aufbringen einer Deckschicht und reflektives optisches Element
DE10349087B4 (de) Verfahren zur Herstellung von Halbton-Phasenverschiebungsmasken-Rohlingen
DE112004002199B4 (de) Verfahren zur Herstellung einer Extrem-Ultraviolettstrahlung reflektierenden Maske unter Verwendung von Rasterkraftmikroskop-Lithographie
WO2021037515A1 (de) Optisches element und euv-lithographiesystem
DE102012107757B4 (de) Verfahren zum Herstellen einer lithographischen Maske
DE102018204364A1 (de) Optische Anordnung für die EUV-Lithographie
WO2022263061A1 (de) Verfahren zum abscheiden einer deckschicht, reflektives optisches element für den euv-wellenlängenbereich und euv-lithographiesystem
JP4320578B2 (ja) 多層膜反射鏡の製造方法
DE102015226014A1 (de) Reflektives optisches Element
DE102019206867A1 (de) Optisches Element für eine Projektionsbelichtungsanlage
WO2021228545A1 (de) Optisches element, euv-lithographiesystem und verfahren zum bilden von nanopartikeln
Louis Physics and technology development of multilayer EUV reflective optics
US20220260756A1 (en) Oxygen-loss resistant top coating for optical elements
EP4200879A1 (de) Reflektierendes optisches element, beleuchtungsoptik, projektionsbelichtungsanlage und verfahren zum bilden einer schutzschicht

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8131 Rejection