DE102007004860B4 - Verfahren zur Herstellung einer Kupfer-basierten Metallisierungsschicht mit einer leitenden Deckschicht durch ein verbessertes Integrationsschema - Google Patents

Verfahren zur Herstellung einer Kupfer-basierten Metallisierungsschicht mit einer leitenden Deckschicht durch ein verbessertes Integrationsschema Download PDF

Info

Publication number
DE102007004860B4
DE102007004860B4 DE102007004860A DE102007004860A DE102007004860B4 DE 102007004860 B4 DE102007004860 B4 DE 102007004860B4 DE 102007004860 A DE102007004860 A DE 102007004860A DE 102007004860 A DE102007004860 A DE 102007004860A DE 102007004860 B4 DE102007004860 B4 DE 102007004860B4
Authority
DE
Germany
Prior art keywords
layer
opening
conductive
metal
dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102007004860A
Other languages
English (en)
Other versions
DE102007004860A1 (de
Inventor
Axel Preusse
Michael Friedemann
Robert Seidel
Berit Freudenberg
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Devices Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Priority to DE102007004860A priority Critical patent/DE102007004860B4/de
Priority to US11/761,444 priority patent/US7745327B2/en
Publication of DE102007004860A1 publication Critical patent/DE102007004860A1/de
Application granted granted Critical
Publication of DE102007004860B4 publication Critical patent/DE102007004860B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

Durch geeignetes Gestalten mehrerer Abscheideschritte und dazwischen liegender Sputter-Prozesse kann die Herstellung eines Barrierematerials in einer Kontaktlochöffnung auf der Grundlage einer sehr effizienten Prozessstrategie erreicht werden, die ein effizientes Einbinden von leitenden Deckschichten, die über Metall enthaltenden Gebieten ausgebildet sind, in gut erprobte Prozesssequenzen ermöglicht.

Description

  • Gebiet der vorliegenden Erfindung
  • Im Allgemeinen betrifft die vorliegende Erfindung die Herstellung von Mikrostrukturen, etwa von modernen integrierten Schaltungen, und betrifft insbesondere die Ausbildung leitender Strukturen, etwa von Metallisierungsschichten und Techniken auf Kupferbasis, um die Elektromigration und andere belastungsinduzierte Materialtransporteffekte während des Betriebs zu reduzieren.
  • Beschreibung des Stands der Technik
  • Bei der Herstellung moderner Mikrostrukturen, etwa integrierter Schaltungen, gibt es ein ständiges Bestreben, die Größe von Mikrostrukturelementen zu verringern, um damit die Funktionsvielfalt dieser Strukturen zu verbessern. Beispielsweise in modernen integrierten Schaltungen haben die minimalen Strukturgrößen etwa die Kanallänge von Feldeffekttransistoren, den Bereich weit unter einem Mikrometer erreicht, wodurch das Leistungsverhalten dieser Schaltungen in Bezug auf die Geschwindigkeit und/oder Leistungsaufnahme verbessert wird. Wenn die Größe der einzelnen Schaltungselemente bei jeder neuen Schaltungsgeneration verringert wird, wodurch beispielsweise die Schaltgeschwindigkeit der Transistorelemente verbessert wird, wird auch der verfügbare Platz für Verbindungsleitungen geringer, die die einzelnen Schaltungselemente elektrisch miteinander verbinden. Folglich müssen die Abmessungen dieser Verbindungsleitungen ebenfalls verringert werden, um der geringeren Fläche des verfügbaren Platzes und der größeren Anzahl an Schaltungselementen, die pro Einheitstypfläche vorgesehen sind, Rechnung zu tragen, da typischerweise die Anzahl der erforderlichen Verbindungen schneller anwächst, als die Anzahl der Schaltungselemente. Daher werden hier gewöhnlich mehrere gestapelte „Verdrahtungsschichten" vorgesehen, die auch als Metallisierungsschichten bezeichnet werden, wobei einzelne Metallleitungen einer einzelnen Metallisierungsschicht mit einzelnen Metallleitungen einer darüber liegenden oder darunter liegenden Metallisierungsschicht durch sogenannte Kontaktdurchführungen verbunden sind. Trotz des Vorsehens einer Vielzahl von Metallisierungsschichten sind reduzierte Abmessungen der Verbindungsleitungen erforderlich, um der großen Komplexität von beispielsweise moderne CPUs, Speicherchips, ASIC (anwendungsspezifische ICs) und dergleichen Rechnung zu tragen. Die geringere Querschnittsfläche der Verbindungsstrukturen, möglicherweise in Verbindung mit einem Anwachsen der statischen Leistungsaufnahme äußerst größenreduzierter Transistorelemente, kann zu beträchtlichen Stromdichten in den Metallleitungen führen, die bei künftigen Bauteilgenerationen noch weiter ansteigen kann.
  • Moderne integrierte Schaltungen, die Transistorelemente aufweisen, die eine kritische Abmessung von 0,1 μm und weniger besitzen, werden daher typischerweise bei deutlich höheren Stromdichten bis zu mehreren kA pro cm2 in den einzelnen Verbindungsstrukturen betrieben, obwohl eine relativ große Anzahl von Metallisierungsschichten vorgesehen ist, aufgrund der großen Anzahl an Schaltungselementen pro Einheitsfläche. Das Betreiben der Verbindungsstrukturen bei erhöhten Stromdichten kann jedoch eine Reihe von Problemen nach sich ziehen, die mit einer belastungsabhängigen Beeinträchtigung der Leitung verknüpft sind, was schließlich zu einem vorzeitigen Ausfall der integrierten Schaltung führt. Ein wichtiges Phänomen in dieser Hinsicht ist der Strom hervorgerufene Materialtransport in Metallleitungen und Kontaktdurchführungen, was auch als „Elektromigration" bezeichnet. Die Elektromigration wird durch den Impulsübertrag von Elektronen auf die Ionentrümpfe hervorgerufen, woraus ein resultierender Impuls in Richtung des Elektronenflusses erwächst. Insbesondere bei hohen Stromdichten tritt eine deutliche kollektive Bewegung oder eine gerichtete Diffusion von Atomen mit dem Verbindungsmetall auf, wobei das Vorhandensein entsprechender Diffusionswege einen deutlichen Einfluss auf bewegte Materialmengen ausüben kann, die durch den Impulsübertrag entsteht. Somit kann die Elektromigration zur Ausbildung von Hohlräumen innerhalb und von Materialanhäufungen außerhalb der Metallverbindung führen, woraus sich eine geringere Leistung und Zuverlässigkeit oder ein vollständiger Ausfall des Bauelements ergibt. Zum Beispiel werden Aluminiumleitungen, die in Siliziumdioxid und/oder Siliziumnitrid eingebettet sind, häufig als Metall für Metallisierungsschichten eingesetzt, wobei, wie zuvor erläutert ist, in moderne integrierten Schaltungen mit kritischen Abmessungen von 0,1 μm oder weniger deutlich reduzierte Querschnittsflächen der Metallleitungen erforderlich sind, und somit die Stromdichten weiter erhöht werden, was dazu führt, dass Aluminium für die Herstellung von Metallisierungsschichten unter Umständen nicht mehr geeignet ist.
  • Daher wird Aluminium durch Kupfer und Kupferlegierungen ersetzt, d. h. einem Material mit deutlich geringerem Widerstand und verbesserter Widerstandsfähigkeit gegen Elektromigration, selbst bei deutlich höheren Stromdichten im Vergleich zu Aluminium. Das Einführen von Kupfer in die Herstellung von Mikrostrukturen und integrierte Schaltungen geht mit einer Vielzahl von Problemen einher, die in der Eigenschaft des Kupfers begründet sind, leicht in Siliziumdioxid und in einer Vielzahl von dielektrischen Materialen mit kleinem ε zu diffundieren, die typischerweise in Verbindung mit Kupfer eingesetzt werden, damit die parasitäre Kapazität in komplexen Metallisierungsschichten zu reduzieren. Um die erforderliche Haftung zu erreichen und um das unerwünschte Diffundieren von Kupferatomen in empfindliche Bauteilgebiete zu verhindern, ist es daher für gewöhnlich erforderlich, eine Barrierenschicht zwischen dem Kupfer und dem dielektrischen Material, in dem die Kupfer-basierten Verbindungsstrukturen eingebettet sind vorzusehen. Obwohl Siliziumnitrid ein dielektrisches Material ist, dass ineffizienter Weise die Diffusion von Kupferatomen unterdrückt, ist die Verwendung von Siliziumnitrid in einem Zwischenschichtdielektrikumsmaterial wenig wünschenswert, da Siliziumnitrid eine moderat hohe Permittivität aufweist, wodurch die parasitäre Kapazität zwischen benachbarten Kupferleitungen erhöht wird, was zu einer nicht akzeptablen Signalausbreitungsverzögerung führt. Somit wird eine dünne leitende Barrierenschicht für gewöhnlich gebildet, die auch die gewünschte Stabilität für das Kupfer bietet, um damit den Hauptanteil des Kupfers von dem umgebenden dielektrischen Material zu trennen, wodurch die Kupferdiffusion in die dielektrischen Materialien reduziert wird und wodurch auch die Diffusion unerwünschter Sorten, etwa Sauerstoff, Fluor, und dergleichen, in das Kupfer reduziert wird. Ferner bilden die leitenden Barrierenschichten auch sehr stabile Grenzflächen mit dem Kupfer, wodurch die Wahrscheinlichkeit für einen signifikanten Materialtransport an der Grenzfläche verringert wird, die typischerweise ein kritisches Gebiet in Hinblick auf verstärkte Diffusionswege ist. Aktuell werden Tantal, Titan, Wolfram und ihre Verbindungen mit Stickstoff und Silizium und dergleichen vorzugsweise für eine leitende Barrierenschicht eingesetzt, wobei die Barrierenschicht zwei oder mehr Teilschichten mit unterschiedlicher Zusammensetzung aufweisen kann, um damit die Erfordernisse im Hinblick auf die Diffusionsunterdrückung und die Hafteigenschaften zu erfüllen.
  • Eine weitere Eigenschaft des Kupfers, die sich deutlich von Aluminium unterscheidet, ist die Tatsache, dass Kupfer nicht in effizienter Weise in größeren Mengen durch chemische und physikalische Dampfabscheideverfahren aufgebracht werden kann, wozu sich auch die Tatsache gesellt, das Kupfer nicht effizient durch anisotrope Trockenätzprozesse strukturiert werden kann, wodurch eine Prozessstrategie erforderlich ist, die üblicherweise als Damaszener oder Einlegetechnik bezeichnet wird. In dem Damaszener-Prozess wird zunächst eine dielektrische Schicht gebildet, die dann strukturiert wird, so dass diese Gräben und/oder Kontaktlochöffnungen aufweist, die nachfolgend mit Kupfer gefüllt werden, wobei, wie zuvor angemerkt wurde, vor dem Einlegen des Kupfers eine leitende Barrierenschicht an Seitenwänden der Gräben und der Kontaktlochöffnungen gebildet wird. Das Abscheiden des Kupfermaterials in die Gräben und Kontaktlochöffnungen wird für gewöhnlich durch nass-chemische Abscheideprozesse bewerkstelligt, etwa das Elektroplattieren und das stromlose Plattieren, wobei das zuverlässige Auffüllen von Kontaktlochöffnungen mit einem Aspektverhältnis von fünf oder höher bei einem Durchmesser von 0.3 μm oder sogar weniger in Verbindung mit Gräben mit einer Breite von 0,1 μm bis mehrere μm erforderlich ist. Elektrochemische Abscheideprozesse für Kupfer sind auf dem Gebiet der Elektronikleiterplattenherstellung gut bekannt. Jedoch ist ein hohlraumfreies Auffüllen von Kontaktlochöffnungen mit hohem Aspektverhältnis eine äußerst komplexe und herausfordernde Aufgabe, wobei die Eigenschaften der schließlich erhaltenen Kupfer-basierten Verbindungsstruktur deutlich von Prozessparametern, Materialien und der Geometrie der interessierenden Struktur abhängen. Da die Geometrie der Verbindungsstrukturen im Wesentlichen durch Entwurfserfordernisse bestimmt ist und daher nicht signifikant für eine gegebene Mikrostruktur geändert werden kann, ist es von großer Bedeutung, den Einfluss von Materialien, etwa leitenden und nicht-leitenden Barrierenschichten, der Kupfermikrostruktur und ihre Einflussnahme auf die Eigenschaften der Verbindungsstruktur zu schätzen und zu steuern, um damit sowohl eine hohe Ausbeute als auch die erforderliche Produktzuverlässigkeit sicher zu stellen. Insbesondere ist es wichtig, Mechanismen, die die Beeinträchtigung und den Ausfall von Verbindungsstrukturen für diverse Konfigurationenbedingen, zu erkennen, zu überwachen und zu reduzieren, um damit die Bauteilzuverlässigkeit für jede neue Bauteilgeneration oder jeden Technologiestandard zu bewahren.
  • Es wurde daher ein großer Aufwand betrieben, die Leistungsabnahme von Kupferverbindungsstrukturen zu untersuchen, insbesondere in Verbindung mit dielektrischen Materialien mit einem kleinen ε mit einer relativen Permittivität von 3,1 μm oder weniger, um neue Materialien und Prozessstrategien zu finden, um Kupfer-basierte Leitungen und Kontaktdurchführungen mit einer geringen Gesamtpermittivität zu bilden. Obwohl der exakte Mechanismus der Elektromigration in Kupferleitungen noch nicht vollständig verstanden ist, zeigt es sich, dass Hohlräume, die in und an Seitenwänden und insbesondere an Grenzflächen zu benachbarten Materialien angeordnet sind, einen wesentlichen Einfluss auf das schließlich erreichte Leistungsverhalten und die Zuverlässigkeit der Verbindungsstrukturen besitzen.
  • Ein Ausfallmechanismus, von dem angenommen wird, dass er merklich zu einem vorzeitigen Bauteilverlust beiträgt, ist der durch Elektromigration hervorgerufene Materialtransport, insbesondere entlang einer Grenzfläche, die zwischen dem Kupfer und einer dielektrischen Deckschicht gebildet ist, das nach dem Einführen des Kupfermaterials in die Gräben und Kontaktlochöffnungen vorgesehen wird, wobei Seitenwände durch die leitenden Barrierenmaterialien beschichtet sind. Zusätzlich zum Bewahren der Kupferintegrität kann die dielektrische Deckschicht auch als Ätzstoppschicht während der Herstellung der Kontaktlöcher in dem Zwischenschichtdielektrikum dienen. Häufig verwendete Materialien sind beispielsweise Siliziumnitrid und Siliziumnitrid mit Kohlenstoff, die eine moderate Ätzselektivität mit Bezug auf typisch verwendete Zwischenschichtdielektrika zeigen, etwa eine Vielzahl von dielektrischen Materialien mit kleinem ε, und die auch die Diffusion von Kupfer in das Zwischenschichtdielektrikum unterdrücken. Jüngere Forschungsergebnisse scheinen anzuzeigen, dass jedoch die Grenzfläche, die zwischen dem Kupfer und der dielektrischen Deckschicht gebildet ist, ein wesentlicher Diffusionsweg für den Materialtransport während des Betriebs der Metallverbindungsstruktur ist.
  • Folglich wurden eine Vielzahl von Alternativen entwickelt, in dem Versuch, die Grenzflächeneigenschaften zwischen dem Kupfer und der Deckschicht, die die Fähigkeit hat, das Kupfer zuverlässig einzuschließen und dessen Integrität zu bewahren, zu verbessern. Hilfsweise wurde vorgeschlagen, selektiv leitende Materialien auf dem Kupfer enthaltenden Gebiet vorzusehen, die eine bessere Elektromigrationswiderstandsfähigkeit aufweisen, ohne den Gesamtwiderstand der entsprechenden Metallleitung unerwünschter Weise zu reduzieren. Beispielsweise hat sich eine Verbindung aus Kobalt/Wolfram/Phosphor, Kobalt/Wolfram/Bor und dergleichen als vielversprechend für die Verwendung in leitenden Deckschichten erwiesen, die somit deutlich die Elektromigrationsauswirkungen in einer entsprechenden Metallleitung reduzieren können. Obwohl diese Materialien für deutliche Leistungsvorteile in Bezug auf die Elektromigration sorgen, sind erhebliche Aufwände mit einem entsprechenden Prozessablauf verknüpft, der auf gut etablierten Einlege-Techniken beruht, den entsprechenden Metalllegierungen in das entsprechende Metallisierungsschema einzubinden sind. Beispielsweise können die entsprechenden Metalllegierungen, obwohl diese merkliche Vorteile in Bezug auf die Elektromigration bieten, zu einer reduzierten Leitfähigkeit an kritischen Bereichen führen, an denen benachbarte Metallisierungsschichten durch entsprechende Kontaktdurchführungen angeschlossen sind. D. h., das Ausbilden einer entsprechenden Kontaktdurchführungsöffnung, die mit dem darunter liegenden Metallgebiet verbunden ist, das darauf ausgebildet die entsprechend leitenden Deckschicht aufweist, kann bei dem Ausführen der Prozesssequenz auf der Grundlage gut etablierter Verfahren, etwa dem Abscheiden geeigneter Barrierenschichten innerhalb der Kontaktlochöffnung zu einem erhöhten Gesamtreihenwiderstand führen, während eine aggressive Materialreduzierung an der Unterseite der Kontaktlochöffnung einen entsprechenden Schaden an dem Kupfermaterial hervorrufen kann, das unter der leitenden Deckschicht angeordnet ist. Somit sind entsprechende äußerst komplexe Prozessschritte unter Umständen erforderlich, um eine unerwünschte Kupferschädigung zu reduzieren, wobei dennoch eine nicht erwünschte Erhöhung des Reihenwiderstandes der entsprechenden elektrischen Verbindung verringert wird.
  • Die US 2005/0127511 A1 offenbart ein Verfahren zur Herstellung einer Diffusionsbarriere für eine Zwischenverbindungsstruktur, in dem eine Leitung in einem dielektrischen Graben vorgesehen wird, ein Zwischenschichtdielektrikum abgeschieden wird und ein Graben und ein Durchgang in dem Zwischenschichtdielektrikum ausgebildet wird. Zudem ist eine Opferschicht unterhalb des Zwischenschichtdielektrikums vorgesehen, die aus einem Isolatormaterial, einem leitfähigen Material oder aus einem Halbleitermaterial gebildet werden kann.
  • Die US 2004/0238961 A1 offenbart ein Kupferzwischenverbindungssystem mit einer ersten und zweiten dielektrischen Schicht und einer leitfähigen Deckschicht. Zur Bildung der Struktur finden eine weitere dielektrische Schicht als Ätzstoppschicht und eine Diffusionssperrschicht Verwendung.
  • Die WO 02/39500 A2 beschreibt ein Verfahren zur Ausbildung einer Barriere in einer dielektrischen Schicht, in dem eine Sperrschicht auf dem Boden und an den Seitenwänden einer Einsparung in der dielektrischen Schicht aufgebracht wird.
  • In der US 2006/0249849 A1 wird eine Zwischenverbindungspassivierungsstruktur beschrieben, in der ein metallischer Leiter in einem Dielektrikum gebildet wird, metallische Sperrschichten zwischen dem Leiter und dem Dielektrikum vorgesehen sind und eine Passivierungsschicht sich zwischen dem Leiter und den metallischen Sperrschichten befindet.
  • Die US 6 949 461 B2 offenbart ein Verfahren zum Abscheiden einer Metallschicht auf einer Halbleiter-Zwischenverbindungsstruktur, in dem eine dielektrische Schicht einen Metallleiter teilweise bedeckt und ein Deckschicht in den nichtbedeckten Bereichen ausgeformt wird.
  • Die vorliegende Erfindung richtet sich an diverse Verfahren, um damit eines oder mehrere der oben erkannten Probleme zu vermeiden oder zumindest deren Auswirkungen zu reduzieren.
  • Überblick über die Erfindung
  • Im Allgemeinen betrifft die vorliegende Erfindung verbesserte Fertigungsverfahren bei der Herstellung elektrischer Verbindung zwischen benachbarten Metallisierungsschichten, wobei ein effizientes Integrationsschema für ein verbessertes Elektromigrationsverhalten sorgen kann, während dennoch das gesamte elektrische Leistungsverhalten der entsprechenden Verbindung nicht unerwünschter Weise beeinflusst wird. Zu diesem Zweck werden entsprechende Fertigungsprozesse, die zum Bereitstellen effizienter Barrierenschichten in einer entsprechenden Öffnung ausgeführt werden, die mit einem darunter liegenden Metallgebiet verbunden ist, eingesetzt, um damit einen gut steuerbaren Materialabtrag an der Unterseite der Öffnung zu erreichen, wodurch der Reihenwiderstand in gut definierter Weise reduziert wird, wobei dennoch ein gewünschtes hohes Maß an Integrität des eigentlichen Metallgebiets erreicht wird, das von der leitenden Deckschicht bedeckt wird. Es wird eine entsprechende Abscheidesequenz ausgeführt, die typischerweise zum Bereitstellen eines gewünschten Schichtstapels aus Barrierematerialien erforderlich ist, in Verbindung mit entsprechenden Sputter-Prozessen ausgeführt, um eine gut definierte Schichtdicke an gewünschten Bereichen, etwa an Seitenwandbereichen der Kontaktlochöffnung, zu bieten, wobei gleichzeitig das Material an der Unterseite verringert wird und wobei zusätzlich eine gut gesteuerte Vertiefung in der leitenden Deckschicht gebildet wird, wodurch aggressive Ätztechniken vermieden werden, etwa nass-chemische Ätzprozesse, Plasma gestützte Ätzprozesse, und dergleichen, ohne dass im Wesentlichen zu einer zusätzlichen Prozesskomplexität beigetragen wird. Durch Ausführen mehrerer entsprechender Sputter-Prozesse, in denen das zuvor abgeschiedene Material sowie Material an der Unterseite des Kontaktloches als ein Spender- oder Donatormaterial oder als ein „Sputter-Target" dienen kann, werden moderat „milde" und damit gut steuerbare Prozessschritte ausgeführt, wodurch es möglich ist, die Integrität des, Metallgebiets zu bewahren, da die entsprechende Vertiefung gemäß den Bauteilerfordernissen eingestellt werden kann.
  • Gemäß einer anschaulichen Anschauungsform umfasst ein Verfahren das Bilden einer Öffnung in einem dielektrischen Schichtstapel eines Halbleiterbauelements, wobei der dielektrische Schichtstapel über einem ein Metall enthaltendes Gebiet gebildet ist, das eine leitende Deckschicht aufweist, die zumindest eine Grenzfläche mit dem dielektrischen Schichtstapel bildet. Das Verfahren umfasst ferner das Bilden einer ersten Barrierenschicht an Seitenwänden der Öffnung und Ausführen eines ersten Sputter-Prozesses, um Material von der Unterseite der Öffnung zu entfernen, um damit eine Vertiefung in der leitenden Deckschicht zu bilden, wobei jedoch ein Teil der leitenden Deckschicht erhalten bleibt. Schließlich wird die Öffnung mit einem Metall enthaltenden Material gefüllt.
  • Gemäß einer noch weiteren anschaulichen Ausführungsform umfasst ein Verfahren das Bilden einer Öffnung in einem dielektrischen Schichtstapel eines Halbleiterbauelements, wobei der dielektrische Schichtstapel über einem Metall enthaltenden Gebiet ausgebildet ist, dass eine leitende Deckschicht aufweist, die zumindest eine Grenzfläche mit dem dielektrischen Schichtstapel bildet. Ferner wird eine Sequenz aus Abscheideprozessen ausgeführt, um mehrere Barrierenschichten an Seitenwänden der Öffnung zu bilden. Ein entsprechender Sputter-Prozess wird nach zumindest einigen der Abscheideprozessen in der Sequenz ausgeführt, um Material von der Unterseite der Öffnung zu entfernen, um damit eine Vertiefung in der leitenden Deckschicht zu bilden, wobei jedoch ein Teil der leitenden Deckschicht erhalten bleibt. Schließlich wird die Öffnung mit einem Metall enthaltenden Material gefüllt.
  • Gemäß einer noch weiteren anschaulichen Ausführungsform umfasst ein Verfahren das Bilden einer leitenden Deckschicht über einem Kupfer enthaltenden Metallgebiet, das in einem dielektrischen Material eines Halbleiterbauelements vorgesehen ist. Ferner wird ein dielektrischer Schichtstapel über der leitenden Deckschicht gebildet, und eine Öffnung wird in dem dielektrischen Schichtstapel hergestellt. Des weiteren wird mindestens eine erste leitende Barrierenschicht und eine zweite leitende Barrierenschicht mittels eines ersten Abscheideprozesses und eines zweiten Abscheideprozesses gebildet. Des weiteren wird ein erster Sputter-Prozess nach dem ersten Abscheideprozess und ein zweiter Sputter-Prozess nach dem zweiten Abscheideprozess ausgeführt, um Material von der Unterseite der Öffnung zu entfernen. Ferner werden der erste und der zweite Abscheideprozess und der erste und der zweite Sputter-Prozess entsprechend gesteuert, um das Maß an Vertiefung in der leitenden Deckschicht entsprechend einer Solltiefe einzustellen und die Solltiefe so ausgewählt ist, dass ein Teil der leitenden Deckschicht beibehalten wird.
  • Kurze Beschreibung der Zeichnungen
  • Weitere Ausführungsformen der hierin offenbarten Gegenstands sind in den angefügten Patentansprüchen definiert und gehen deutlicher aus der folgenden detaillierten Beschreibung hervor, wenn diese mit Bezug zu den begleitenden Zeichnungen studiert wird, in denen:
  • 1a1e schematisch Querschnittsansichten eines Teils einer Metallisierungsschicht eines Halbleiterbauelements während diverser Fertigungsphasen beim Herstellen einer Kontaktlochöffnung zeigen, die mit einem Metallgebiet verbunden ist, das eine leitende Deckschicht gemäß anschaulicher Ausführungsformen aufweist;
  • 2a und 2b schematisch Querschnittsansichten eines Halbleiterbauelements während diverser Fertigungsphasen bei der Herstellung einer Öffnung zeigen, die mit einer leitenden Deckschicht eines Metallgebiets in gut steuerbarer Weise gemäß weiterer anschaulicher Ausführungsformen in Verbindung gebracht wird; und
  • 3 schematisch eine Querschnittsansicht einer Metallisierungsschicht eines Halbleiterbauelements während einer Fertigungsphase gemäß einer Doppel-Damaszener-Strategie gemäß weiterer anschaulicher Ausführungsformen zeigt.
  • Detaillierte Beschreibung
  • Obwohl der hierin offenbarte Gegenstand mit Bezug zu Ausführungsformen beschrieben ist, wie sie in der folgenden detaillierten Beschreibung sowie in den Zeichnungen dargestellt sind, sollte es selbstverständlich sein, dass die folgende detaillierte Beschreibung sowie die Zeichnungen nicht beabsichtigen, die vorliegende Erfindung auf die speziellen anschaulich offenbarten Ausführungsformen einzuschränken, sondern die beschriebenen anschaulichen Ausführungsformen stellen lediglich beispielhaft die diversen Aspekte der vorliegenden Erfindung dar, deren Schutzbereich durch die angefügten Patentansprüche definiert ist.
  • Der hierin offenbarte Gegenstand richtet sich an eine effiziente Prozessstrategie zur Bereitstellung von Metallisierungsstrukturen, etwa Kupfer-basierten Metallleitungen und entsprechenden Kontaktdurchführungen, die damit verbunden sind, wobei die entsprechenden leitenden Deckschichten, die aus geeigneten Metalllegierungen aufgebaut sind, eingesetzt werden, um damit das Elektromigrationsverhalten zu verbessern und andere belastungsabhängige Materialtransportphänomene in Metallleitungen moderner Halbleiterbauelemente zu verringern. Wie zuvor erläutert ist, müssen, obwohl die vorteilhaften Eigenschaften der entsprechenden Metalllegierungen für ein verbessertes Elektromigrationsverhalten sorgen, wodurch höhere Stromdichten in den entsprechenden Verbindungsstrukturen erforderlich sind, größere Anstrengungen im Hinblick auf die Prozesskomplexität, den Durchsatz, und dergleichen genommen werden, wodurch konventionelle Lösungen wenig attraktiv sind. Ferner wird ein reduziertes elektrisches Leistungsverhalten entsprechend kritischer Verbindung erreicht, d. h., das Übergangsgebiet zwischen einem Metallgebiet und einem entsprechenden Kontaktloch, da an diesem kritischen Bereich die leitende Deckschicht eine Grenzfläche mit der entsprechenden Barrierenschicht in dem Kontaktloch bilden kann, wodurch möglicherweise zu einem erhöhten elektrischen Widerstand beigetragen wird und/oder wodurch eine unerwünschte Schädigung hervorgerufen wird und damit eine geringere Zuverlässigkeit in dem entsprechenden Kupfer enthaltenden Gebiet hervorgerufen wird. Entsprechende Probleme, die mit konventionellen Verfahren verknüpft sind, können durch moderat aggressive Prozessschritte hervorgerufen werden, etwa nass-chemische Ätzprozesse, Plasma gestützte Ätzprozesse, und dergleichen, um in geeigneter Weise die Öffnung zu dem darunter liegenden Metallgebiet zu bilden, in dem Versuch, das Kupfer enthaltende Gebiet nicht unnötig zu schädigen und um ferner einen akzeptablen Reihenwiderstand zu erreichen. Im Gegensatz zu dieser Vorgehensweise bieten die hierin offenbarten Ausführungsformen eine effiziente Prozessstrategie durch geeignetes Kombinieren entsprechender Prozessschritte, die zur Herstellung eines geeigneten Barrierenschichtstapels in einer entsprechenden Kontaktlochöffnung erforderlich sind, wobei die Kombination dieser Prozessschritte so gesteuert wird, dass mehrere einzelne Schritt wovon jeder zum gesamten Prozessergebnis in einer gut steuerbaren Weise beitragt gemeinsam zu einem gewünschten Maß an Vertiefung in der entsprechenden leitenden Deckschicht führen. Folglich kann eine erhöhte Gesamtsteuerbarkeit der entsprechenden Prozesssequenz erreicht werden, ohne dass im Wesentlichen zusätzliche Prozessschritte eingeführt werden, wodurch deutlich die Gesamtprozesskomplexität reduziert wird und wodurch für einen erhöhten Prozessdurchsatz gesorgt wird im Vergleich zu konventionellen Strategien, wobei dennoch das elektrische Leistungsverhalten und das Elektromigrationsverhalten verbessert werden. D. h., die leitende Deckschicht, die aus Verbindungen aus Kobalt, Wolfram, Phosphor (CoWP), Kobalt, Wolfram, Bor (CoWB), Nickel, Molybdän, Bor (NiMoB), Nickel, Molybdän, Phosphor (NiMoP) und dergleichen aufgebaut sein kann, so bereitgestellt werden, dass insbesondere für auftretende Fehler empfindliche Bereiche in Metallisierungsschichten, etwa der Übergangsbereich zwischen Kontaktdurchführungen und Metallleitungen deutlich verstärkt wird, indem die Kontaktdurchführung sich nicht bis durch die leitende Deckschicht erstreckt, sondern zuverlässig darin mündet, wodurch eine starke Grenzfläche mit dem darunter liegenden Metall gebildet wird, das Kupfer oder Kupferlegierungen aufweisen kann, wobei dennoch der gesamte Reihenwiderstand auf einem moderat geringen Pegel gehalten wird.
  • Es sollte beachtet werden, dass die hierin offenbarten Ausführungsformen eine effiziente und skalierbare Prozesssequenz ergeben, da die entsprechenden Fertigungsprozesse ohnehin während der Herstellung der entsprechenden Kontaktlochöffnung und dem nachfolgenden Abscheiden entsprechender Barrierematerialien durchgeführt werden müssen, wobei jedoch das Steuern der Parameter der entsprechenden Prozesse auf der Grundlage vorher ermittelter Sollwerte durchgeführt wird, so dass negative Auswirkungen jedes einzelnen Prozessschrittes in Bezug auf eine Schädigung des Metallmaterials reduziert wird, wobei dennoch ein Prozessergebnis erhalten wird, das den entsprechenden Sollwerten in Bezug auf die Schichtdicke, die Materialzusammensetzung und dergleichen entspricht, somit kann der hierin offenbarte Gegenstand vorteilhafter Weise auf äußerst größenreduzierte Bauelemente, etwa Halbleiterbauelemente des 65 Nanometer-Technologiestandards oder darunter angewendet werden.
  • Mit Bezug zu den 1a3 werden nunmehr weitere anschauliche Ausführungsformen detaillierter beschrieben.
  • 1a zeigt schematisch eine Querschnittsansicht eines Halbleiterbauelements 100 während eines moderat fortgeschrittenen Herstellungsstadiums. Das Halbleiterbauelement 100 umfasst ein Substrat 101, das ein beliebiges Substrat repräsentieren kann, das für die Herstellung von Schaltungselementen geeignet ist. Beispielsweise kann das Substrat 101 ein Halbleitervollsubstrat sein oder ein isolierendes Substrat mit einer darauf ausgebildeten Halbleiterschicht, etwa einem kristallinen Siliziumgebiet, einem Silizium/Germaniumgebiet, oder einer III-V oder II-VI-Halbleiterverbindung, und dergleichen. Typischerweise repräsentiert das Substrat 101 ein Trägermaterial mit einer großen Anzahl an Schaltungselementen, etwa Transistoren, Kondensatoren, und dergleichen, wie sie zur Herstellung komplexer integrierter Schaltungen erforderlich sind. Diese Schaltungselemente werden elektrisch entsprechend einem speziellen Schaltungsaufbau mittels einer oder mehrerer Metallisierungsschichten verbunden, wobei der Einfachheit halber lediglich ein Teil des entsprechenden Metallisierungsschichtstapels hierin gezeigt und beschrieben ist. Es ist jedoch zu beachten, dass das Konzept zur Verbesserung des Elektromigrationsverhaltens oder der durch Belastung hervorgerufenen Metalltransportphänomene unter Anwendung einer leitenden Deckschicht in Verbindung mit einer verbesserten Prozessstrategie zur Herstellung einer Kontaktlochöffnung, die damit verbunden ist, auch auf jede komplexe Bauteilkonfiguration angewendet werden kann, in der mehrere Metallisierungsschichten enthalten sind. In anschaulichen Ausführungsformen sind die Metallgebiete oder Leitungen Kupfer-basierte Metallleitungen und Gebiete, die in speziellen Ausführungsformen in einem dielektrischen Material mit kleinem ε gebildet werden, was als ein Material verstanden werden kann, das eine dielektrische Konstante von 3,0 oder weniger besitzt.
  • Das Halbleiterbauelement 100 umfasst eine dielektrische Schicht 102, diese kann das dielektrische Material einer Metallisierungsschicht oder eines anderen dielektrischen Zwischenschichtmaterials und dergleichen repräsentieren. In sehr modernen Halbleiterbauelementen enthält die dielektrische Schicht 102 ein dielektrisches Material mit kleinem ε, um damit die parasitäre Kapazität zwischen benachbarten Metallleitungen zu reduzieren. Ferner ist ein Metallgebiet 103 in der dielektrischen Schicht 102 ausgebildet und kann aus einem Metall enthaltenden Material aufgebaut sein, etwa einem Kupfer enthaltenden Metall, das typischerweise an seinen Seitenwandbereichen und an der Unterseite durch eine Barrierenschicht 104 eingeschlossen ist. Wie zuvor erläutert ist, kann, wenn Kupfer oder andere gut diffundierende Materialverbindungen in dem Metallgebiet 103 vorhanden sind, die Barrierenschicht 104 für eine verbesserte Haftung, diffusionshindernde Eigenschaften, und dergleichen, sorgen. Folglich ist die Barrierenschicht 104 typischerweise aus 2 oder mehreren Materialschichten unterschiedlicher Zusammensetzung aufgebaut, um damit die Integrität des Metallgebiets 103 und des umgebenden dielektrischen Materials zur Schicht 102 zu bewahren, während gleichzeitig für die erforderliche Stabilität der entsprechenden Grenzfläche in Bezug auf belastungsabhängige Materialtransportphänomene gesorgt wird. Beispielsweise wird Tantalnitrid in Verbindung mit Tantal häufig für Kupfer-basierte Metallisierungsschemata eingesetzt. Jedoch können auch andere Materialzusammensetzungen entsprechend den Bauteilerfordernissen verwendet werden. Das Metallgebiet 103 ist ferner durch eine leitende Deckschicht 106 begrenzt, die durch eine geeignet ausgewählte Metalllegierung, beispielsweise eine der zuvor beschriebenen Zusammensetzungen, gebildet ist. Das Halbleiterbauelement 100 umfasst ferner eine zweite dielektrische Schicht 107, die in Form eines Schichtstapels vorgesehen ist, wobei mindestens eine oder mehrere Materialschichten in Form eines dielektrischen Materials mit kleinem ε in Abhängigkeit von den Bauteilerfordernissen vorgesehen ist. In einigen anschaulichen Ausführungsformen repräsentiert die dielektrische Schicht 107 das dielektrische Material einer weiteren Metallisierungsschicht, die das dielektrische Material für eine Kontaktlochschicht enthält, in der entsprechende Kontaktlöcher zu bilden sind, um damit eine elektrische Verbindung zwischen dem Metallgebiet 103, das eine Metallleitung oder ein anderes Metallgebiet einer ersten Metallisierungsschicht repräsentiert, und entsprechende Metallleitungen bildet, die in einem Bereich der dielektrischen Schicht 107 zu bilden sind. In anderen Fällen repräsentiert die dielektrische Schicht 107 das Material einer Kontaktlochschicht, wobei entsprechende Metallleitungen einer nächsten Metallisierungsebene zu bilden sind, in dem ein separates dielektrisches Material in einer fortgeschrittenen Fertigungsphase vorzusehen ist. Ferner kann in dieser Fertigungsphase die dielektrische Schicht 107 eine Öffnung 110 aufweisen, die sich bis zu der leitenden Deckschicht 106 versteckt. Die Öffnung 110 und horizontale Bereiche der dielektrischen Schicht 107 sind von einer ersten Barrierenschicht 108 verdeckt, die aus einem geeigneten Material aufgebaut sind, etwa Tantalnitrid, und dergleichen.
  • Ein typischer Prozessablauf zur Herstellung des Halbleiterbauelements 100; wie es in 1a gezeigt ist, kann die folgenden Prozesse umfassen. Nach gut etablierten Prozessverfahren zur Herstellung von Schaltungselementen und/oder Mikrostrukturelementen in und auf dem Substrat 101 wird die dielektrische Schicht 102 gebildet, die zwei oder mehrere Unterschichten in Abhängigkeit von den Bauteilerfordernissen aufweisen kann. Beispielsweise wird die dielektrische Schicht 102 auf der Grundlage gut etablierter Plasma unterstützter CVD-Verfahren hergestellt, wenn sie Siliziumdioxid, Siliziumnitrid, und dergleichen, aufweist. Es können jedoch auch andere Abscheideverfahren eingesetzt werden, etwa Aufschleuderverfahren für Polymermaterialien mit kleinem ε und dergleichen. Danach wird ein geeignet gestalteter Lithographieprozess ausgeführt, um eine geeignete Lackmaske bereit zu stellen, die zum Strukturieren eines entsprechenden Grabens auf der Grundlage gut etablierter anisotroper Ätzverfahren verwendet wird. Als nächstes wird die Barrierenschicht 104 durch eine beliebige geeignete Abscheidetechnik, etwa die Sputter-Abscheidung, chemische Dampfabscheidung, Atomlagenabscheidung, und dergleichen, gebildet. Beispielsweise kann die Barrierenschicht 104 aus leitenden Materialien, etwa Tantal, Tantalnitrid, Titan, Titannitrid, Wolfram, Wolframnitrid, oder anderen geeigneten Materialien aufgebaut sein, wobei typischerweise zwei oder mehr unterschiedliche Materialzusammensetzungen und Schichten vorgesehen sind, wie dies zum Erreichen der gewünschten Haftung und der diffusionsblockierenden Eigenschaften erforderlich ist. Es sollte beachtet werden, dass die Barrierenschicht 104 auf der Grundlage entsprechender Prozessschemata gebildet werden kann, wie dies mit Bezug zu der Barrierenschicht 108 beschrieben, und weitere Barrierenschichten, die darauf zu bilden sind, beschrieben ist. Zum Beispiel kann die Barrierenschicht 104 zusätzlich zu den oben genannten Materialien CoWP, oder CoWB, oder NiMoB, oder NiMoP zumindest als eine oberste Schicht aufweisen.
  • Nach dem Abscheiden der Barrierenschicht 104 kann eine Kupfersaatschicht durch beliebige geeignete Verfahren aufgebracht werden, etwa die Sputter-Abscheidung, stromlose Abscheidung und dergleichen, wenn ein Kupfer-basiertes Material auf der Grundlage gut etablierter nass-chemischer Abscheideverfahren einzuführen ist. Entsprechende Rezepte zur Herstellung einer Saatschicht sind im Stand der Technik bekannt. Danach wird das Metallmaterial für das Gebiet 103 auf der Grundlage von beispielsweise Elektroplattierung, stromlosen Plattierung, und dergleichen, abgeschieden, wobei ein gewisses Maß an Überschussmaterial vorgesehen wird, um ein zuverlässiges Füllen des entsprechenden Grabens zu gewährleisten. Das entsprechende Überschussmaterial wird auf der Grundlage von chemisch mechanischem Polieren (CMP), elektrochemischen Polieren, und dergleichen, mittels gut etablierter Rezepte entfernt. Beispielsweise kann eine im Wesentlichen kleine Oberflächentopologie durch den CMP-Prozess geschaffen werden und nachfolgend kann ein elektrochemischer Ätzprozess ausgeführt werden, um weitere Restmaterialien zu entfernen und um eine Vertiefung in dem entsprechenden Metallgebiet 103 zu schaffen. In anderen Ausführungsformen wird der CMP-Prozess, der zum Einebnen der Oberflächentopographie verwendet wird, auf der Grundlage einer spezifizierten Nachpolierzeit fortgesetzt, um damit eine Vertiefung in dem Gebiet 103 zu schaffen, falls dies erforderlich ist. Während der entsprechenden Prozesssequenz zum Einebnen der Oberflächentopographie und/oder zum Bilden einer Vertiefung, falls erforderlich, wird auch überschüssiges Material der Barrierenschicht 104 entfernt. In einigen anschaulichen Ausführungsformen wird dann ein Katalysatormaterial abgeschieden, was auf der Grundlage hoch selektiver Abscheideverfahren erfolgen kann, beispielsweise unter Verwendung eines stromlosen Plattierungsprozesses, wodurch selektiv die Oberfläche des Metallgebiets 103 für das Abscheiden des Materials der leitenden Deckschicht 106 vorbereitet wird. Es sollte jedoch beachtet werden, dass viele andere Prozessstrategien eingesetzt werden können, um ein sehr selektives Abscheiden des Materials der leitenden Deckschicht 106 auf der Grundlage nass-chemischer Abscheideprozesse zu ermöglichen. Somit wird danach die Deckschicht 106 auf der Grundlage eines elektrochemischen Prozesses gebildet, wodurch eine starke Grenzfläche mit dem Metallgebiet 103 geschaffen wird, die bessere Eigenschaften im Hinblick auf das Elektromigrationsverhalten aufweisen kann, wie dies zuvor erläutert ist. Nach dem Abscheiden der Deckschicht 106 wird überschüssiges Material, das möglicherweise während des nass-chemischen Abscheideprozesses gebildet wurde, entfernt und die Oberflächentopographie des Bauelements 100 wird bei Bedarf eingeebnet auf der Grundlage gut etablierter Verfahren, etwa CMP, elektrochemisches Ätzen und dergleichen. Als nächstes wird die dielektrische Schicht 107, die typischerweise ein dielektrischer Schichtstapel ist, auf der leitenden Deckschicht 106 und der dielektrischen Schicht 102 gebildet. Abhängig von der gewünschten Materialzusammensetzung der dielektrischen Schicht 107 können beispielsweise entsprechende Abscheideverfahren eingesetzt werden, wobei typischerweise eine Ätzstoppschicht als eine erste Schicht vorgesehen wird, wie dies nachfolgend beschrieben ist, während in modernen Fertigungsverfahren Materialgebiet 107 direkt auf der leitenden Deckschicht 106 gebildet werden kann. Danach wird eine entsprechende Strukturierungsprozesssequenz ausgeführt, um die Öffnung 110 in der dielektrischen Schicht 107 zu bilden, wobei typischerweise gut etablierte Lithographieprozesse in Verbindung mit modernen Ätzverfahren eingesetzt werden. Eine entsprechende Ätzsequenz zur Herstellung der Öffnung 110 in einer gut steuerbaren Weise wird später mit Bezug zu den 2a und 2b beschrieben.
  • Als nächstes wird die erste Barrierenschicht 108 auf der Grundlage einer geeigneten Abscheidetechnik, etwa der physikalischen Dampfabscheidung, CVD, selbst begrenzenden CVD-Prozessen, die auch als ALD bezeichnet werden (Atomlagenabscheidung), elektrochemische Abscheideverfahren und dergleichen. In einigen anschaulichen Ausführungsformen wird die erste Barrierenschicht 108 durch einen Prozess 109 abgeschieden, der in einer Umgebung ausgeführt wird, die das Erzeugen einer geeigneten Sputter-Atmosphäre ermöglicht, um damit in steuerbarer Weise Material von der Unterseite der Öffnung 110 abzutragen. Beispielsweise kann der Abscheideprozess 109 als ein Sputter-Abscheideprozess ausgeführt werden, in welchem eine oder mehrere geeignete Sorten, etwa Tantal und Stickstoff, auf freiliegenden Oberflächenbereichen der Öffnung 110 und der Schicht 107 auf der Grundlage gut etablierter Rezepte abgeschieden werden. In anderen Fällen wird eine entsprechende Abscheideumgebung während des Prozesses 109 eingerichtet, wobei die entsprechende Abscheideumgebung dann geändert werden kann, um ein geeignetes Plasma zum Entfernen von Material der Schicht 108 zu erzeugen. Somit kann der Abscheideprozess 109 ein erster Prozess von mehreren Abscheideprozessen sein, um damit die erste Barrierenschicht 108 zu bilden, die eine von zwei oder mehreren Barrierenkomponenten repräsentieren kann, die in der Öffnung 110 zu bilden sind, wobei die entsprechenden Prozessparameter so eingestellt werden, dass das gewünschte Prozessergebnis z. B. im Hinblick auf die Schichtdicke an Seitenwandbereichen der Öffnung 110 und dergleichen erreicht werden.
  • 1b zeigt schematisch das Bauelement 100 während eines ersten Sputter-Prozesses 109a, der in einigen anschaulichen Ausführungen in-situ bzw. vor Ort mit dem Prozess 109 ausgeführt wird, um damit in steuerbarer Weise Material von der Unterseite der Öffnung 110 zu entfernen. Somit kann der Prozess 109a in der gleichen Prozesskammer ausgeführt werden, in dem beispielsweise eine Vorspannung an das Substrat 101 angelegt wird, um damit einen sehr gewichteten Ionenbeschuss an der Unterseite der Öffnung 110 zu erreichen, wodurch ein entsprechendes zuvor abgeschiedenes Material freigesetzt wird. Des Weiteren kann der entsprechende Sputter-Prozess 109a zu einem Freisetzen von Kontaminationsstoffen, etwa Sauerstoff, Fluor, und dergleichen, führen, die in geringen Mengen aufgrund vorhergehender Prozesse, beispielsweise eines entsprechenden Ätzprozesses zur Herstellung der Öffnung 110, vorhanden sein können. Ein Teil des Materials, das durch den Prozess 109 freigesetzt wird, lagert sich wieder an Seitenwänden der Öffnung 110 ab, während sehr flüchtige Sorten etwa Sauerstoff und Fluor und dergleichen dennoch zu einem gewissen Maße in die Sputter-Umgebung beigesetzt werden und entfernt werden. Selbst wenn sich geringe Mengen dieser Kontaminationsstoffe erneut in der Öffnung 110 abscheiden, sind wenige kritische Bereiche, etwa die Seitenwandbereiche als Abscheidebereiche betroffen, wodurch zu einer Verschiebung entsprechender Kontaminationsstoffe von dem kritischen Unterseitenbereich zu den weniger kritischen Seitenwandbereichen beigetragen wird. Ferner kann der Abscheideprozess 109 so ausgeführt werden, dass der nachfolgende Sputter-Prozess 109a ein effizientes Materialabtragen an der Unterseite der Öffnung 110 ermöglicht und auch zu einem entsprechenden milden Materialabtrag in der leitenden Deckschicht 109 führt, wobei andere horizontale Bereiche der dielektrischen Schicht 107, etwa die Grabenunterseiten nicht unerwünschter Weise beeinflusst werden, wie dies nachfolgend erläutert ist, da hier typischerweise eine größere Schichtdicke vorgesehen werden kann, wobei auch die entsprechende Sputter-Wirkung zu einer entsprechenden unmittelbaren Umverteilung des Barrierenmaterials führen kann. Es sollte beachtet werden, dass entsprechende Prozessparameter für den ersten Abscheideprozess 109 und dem nachfolgenden Sputter-Prozess 109a auf der Grundlage entsprechender Experimente ermittelt werden können, um damit die entsprechenden Abscheideraten und Ätzraten für die spezielle Materialzusammensetzung und Bauteilgeometrie zu ermitteln.
  • 1c zeigt schematisch das Bauelement 100 während eines weiteren Abscheideprozesses 112, um eine zweite Barrierenschicht 111 auf der ersten Barrierenschicht 108 zumindest an den Seitenwänden der Öffnung 110 und horizontalen Bauteilbereichen außerhalb der Öffnung 110 zu bilden. Die zweite Barrierenschicht 111 kann aus einer anderen Materialzusammensetzung aufgebaut sein, die so ausgewählt ist, dass sie in Verbindung mit der ersten Barrierenschicht 108 für die gewünschten Barriereneigenschaften sorgt, wie dies zuvor erläutert ist. Beispielsweise ist die erste Barrierenschicht 108 aus Tantalnitrid aufgebaut, das ein gut etabliertes Barrierenmaterial zur Bereitstellung einer verbesserten Haftung zu dem umgebenden dielektrischen Material der Schicht 107 ist. Die zweite Barrierenschicht 111 wird beispielsweise in Form einer Tantalschicht vorgesehen, dass als gut haftend an Kupfer-basierten Materialien bekannt ist und das auch in effizienter Weise eine Kupferdiffusion unterdrückt, während auch gute Grenzflächeneigenschaften im Hinblick auf die Elektromigration erreicht werden. Die zweite Barrierenschicht 111 kann während des Prozesses 112 beispielsweise in der gleichen Prozesskammer auf der Grundlage geeigneter ausgewählter Abscheideparameter aufgebracht werden, um damit eine gewünschte Schichtdicke zu erhalten. Beispielsweise repräsentiert der Prozess 112 einen Sputter-Abscheideprozess. In anderen anschaulichen Ausführungsformen sind die erste und die zweite Barrierenschicht 108, 111 aus im Wesentlichen der gleichen Materialzusammensetzung aufgebaut, um damit eine gewünschte endgültige Schichtdicke vorzusehen, wobei der Sputter-Prozeß 109a eine zwischenzeitliche Abtragung unerwünschter Materialien von der Unterseite der Öffnung 110 in einer besser steuerbaren Weise ermöglicht. D. h., die Herstellung der ersten Barrierenschicht 108 und der nachfolgende Sputter-Prozess 109a sorgen für eine verbesserte Steuerbarkeit des entsprechenden Materialabtrags, ohne im Wesentlichen andere Bauteilbereiche zu schädigen. Da die entsprechenden Abscheideprozesse 109 und 112 sowie der Sputter-Prozess 109a in der gleichen Prozesskammer ausgeführt werden, wird im Wesentlichen keine weitere Prozesskomplexität im Gesamtprozessablauf erzeugt.
  • In anderen anschaulichen Ausführungsformen repräsentiert der Abscheideprozess 109 einen ersten Schritt eines selbst begrenzenden Abscheideprozesses, in welchem eine Vorform der schließlich gewünschten ersten Barrierenschicht abgeschieden wird, wobei der nachfolgende Sputter-Prozess 109a ein richtungsgebundenes Entfernen der entsprechenden Vorform an der Unterseite der Öffnung 110 bietet. In dem nachfolgenden Abscheideschritt 112, der einen zweiten Schritt des selbst begrenzenden Prozesses repräsentieren kann, wird die entsprechende chemische Reaktion im Wesentlichen auf Bereiche beschränkt, die darauf ausgebildet die Schicht 108 aufweisen, so dass ein entsprechendes Barrierenmaterial im Wesentlichen auf der Unterseite der Öffnung 110 nicht gebildet wird, wobei dennoch ein gut steuerbares Abtragen von Kontaminationsstoffen und Material der leitenden Deckschicht 106 erreicht wird. Somit kann eine zuverlässige Bedeckung kritischer Bauteilbereich, etwa der unteren Seitenwandbereiche der Öffnung 110 erreicht werden, da der entsprechende Sputter-Prozess 109a für eine verbesserte Abscheidung in diesen Bereichen während des Umverteilens von Material von der Unterseite sorgt. Abhängig von den Bauteilerfordernissen kann die entsprechende Sequenz der Abscheideprozesse 109 und 112 mit einem dazwischen liegenden Sputter-Prozess 109a wiederholt werden. Somit wird eine Materialschicht mit gut steuerbarer Schichtdicke auf der Grundlage der obigen Prozesssequenz vorgesehen, etwa auf der Grundlage eines ALD-artigen Prozesses oder einer Sputter-Abscheidung, wobei in gleicher Art ein Material während der einzelnen Prozesse 109 und 112 abgeschieden wird. Während des entsprechenden Sputter- Prozesses 109a wird ein gut steuerbarer und damit milder Materialabtrag an der Unterseite der Öffnung 110 erreicht, wodurch auch das Maß an Vertiefung der leitenden Deckschicht 106 gesteuert wird. In anderen Ausführungsformen können, wie in den 1a und 1b gezeigt ist, die Barrierenmaterialien 108 und 111 unterschiedliche Materialzusammensetzungen repräsentieren, wobei beachtet werden sollte, dass jeder der Schichten 108, 111 auf der Grundlage einer entsprechenden Abscheide- und Sputter-Prozesssequenz gebildet werden kann, wie sie zuvor beschrieben ist.
  • 1d zeigt schematisch das Bauelement 100 während eines Sputter-Prozesses 112a zum Entfernen von Material der Schicht 111 an der Unterseite der Öffnung 110, wobei auch eine entsprechende Vertiefung 106r in gut steuerbarer Weise vergrößert werden kann. Auch in diesem Falle werden entsprechende Kontaminationsstoffe in dieser Schicht neu verteilt oder sogar vollständig innerhalb der Öffnung 110 entfernt, wie dies zuvor erläutert ist. Folglich wird eine sehr effiziente Prozesssequenz bereitgestellt, wobei entsprechende Prozessergebnisse beispielsweise im Hinblick auf die Schichtdicke der Barrierenschicht 108, 111 sowie im Hinblick auf die Tiefe der Vertiefung 106r auf der Grundlage mehrerer einzelner Prozesse erreicht werden, wovon jeder mit hoher Steuerbarkeit auf der Grundlage geeignet ausgewählter Prozessparameter ausgeführt wird. Da die individuellen Prozessschritte mit wenig Einfluss beispielsweise im Vergleich zu konventionellen Strategien, die äußerst aggressive Sputter-Ätzprozesse, nass-chemische Prozesse, Plasma gestützte Ätzprozesse, und dergleichen, enthalten, ausgeführt werden, kann zusätzlich zu dem Bereitstellen eines hohen Maßes an besserer Steuerbarkeit eine unerwünschte Schädigung empfindlicher Bauteilbereiche, etwa dielektrische Materialien mit kleinem ε vermieden oder zumindest deutlich reduziert werden, wie dies nachfolgend detaillierter mit Bezug zu 3 beschrieben ist. Da die entsprechenden Sputter-Prozesse 109a, 112a in geeigneter Weise in die Prozesssequenz integriert sind, wie dies ohnehin für das Bereitstellen der gewünschten Barrierenmaterialien erforderlich ist, trägt die Prozesssequenz nicht wesentlich zur zusätzlichen Prozesskomplexität beispielsweise in Bezug auf einen konventionellen Lösungsansatz unter Anwendung eines einzelnen sehr aggressiven Sputter-Ätzprozesses nach dem Abscheiden einer ersten Barrierenkomponente bei, wobei selbst zusätzliche Prozessstrategien und Schritte erforderlich sind, um damit die Integrität des Kupfer-basierten Materials in dem Gebiet 103 sicher zu stellen. Somit kann in den zuvor beschriebenen Ausführungsformen eine mögliche zusätzliche Prozesszeit, die beispielsweise zum wiederholten Einstellen einer Abscheideumgung und einer Umgebung für die Sputter-Prozesse 109a, 112a erforderlich ist, effizient an das hohe Maß an Steuerbarkeit überkompensiert werden, wobei sogar eine geringere Gesamtprozesszeit im Vergleich zu konventionellen Lösungen erreicht werden kann, wenn zusätzliche Prozessschritte einschließlich von Substrathantierungsaktivitäten und dergleichen in konventionellen Lösungen erforderlich sind.
  • Es sollte beachtet werden, dass weitere Barrierenschichten gebildet werden können, wenn ein komplexerer Barrierenschichtstapel erwünscht ist. Während des Herstellens des entsprechenden Barrierenschichtstapels können eine oder mehrere Komponenten davon gemäß der Prozesssequenz gebildet werden, wie dies zuvor beschrieben ist. D. h., während der Herstellung des gesamten Barrierenschichtstapels können mindestens ein oder mehrere „milde" Sputter-Prozesse in Verbindung mit entsprechend angepassten Abscheideparametern für das hohe Maß an Steuerbarkeit des Prozesses und die Integrität des Kupfer-basierten Gebiets 103 sorgen, wenn das Barrierematerial in der Öffnung 110 gebildet wird.
  • 1e zeigt schematisch das Bauelement 100 in einem weiter fortgeschrittenen Herstellungsstadium. Hier ist ein gut leitendes Material, etwa ein Kupfer-basiertes Material, in die Öffnung 110 eingefüllt, wodurch ein entsprechendes Metallgebiet 113 gebildet wird, das eine entsprechende Metallleitung oder eine Kontaktdurchführung repräsentiert, die mit dem darunter liegenden Metallgebiet 103 verbunden ist. Ferner bietet in der gezeigten anschaulichen Ausführungsform eine Restdicke 106t der leitenden Deckschicht 106 die verbesserten Elektromigrationseigenschaften aufgrund der besseren Grenzflächeneigenschaften, wie dies zuvor erläutert ist, wobei zusätzlich eine zuverlässige Bedeckung des empfindlichen Metallgebiets 103 während der weiteren Bearbeitung für die Herstellung der entsprechenden Barrierenschichten 108, 111 erreicht wird. Das Metallgebiet 113 kann auf der Grundlage gut etablierter Abscheideverfahren hergestellt werden, etwa dem Elektroplattieren, stromlosen Plattieren, oder Kombinationen davon. Es sollte beachtet werden, dass ein entsprechender nass-chemischer Abscheideprozess auf der Grundlage einer entsprechenden Saatschicht oder Katalysatorschicht (nicht gezeigt) ausgeführt werden kann, die auf Grundlage geeigneter Abscheideverfahren, etwa Sputter-Abscheidung, CVD, stromloses Abscheiden, und dergleichen, gebildet werden können. Somit stellt das Metallgebiet 113 eine sehr zuverlässige Verbindung zu dem Metallgebiet 103 bereit, wobei ein entsprechender Reihenwiderstand im Wesentlichen durch die Gesamtabmessungen und durch die Dicke 106t der vertieften leitenden Deckschicht 106 bestimmt ist, wobei die Vertiefung in einer gut steuerbaren Weise einstellbar ist, wie dies zuvor erläutert ist.
  • Somit wird ein entsprechendes elektrisches Leistungsverhalten der Metallgebiete 103 und 113 nicht in unerwünschter Weise beeinträchtigt, da die effektive Dicke 106t steuerbar eingestellt ist, während andererseits ein deutlicher Schaden in dem Gebiet 103 während der vorgehenden Prozesssequenz zur Bildung der Barrierenmaterialien und des Metallgebiets 113 deutlich verringert werden kann im Vergleich zu konventionellen Strategien, wodurch zu einer erhöhten Zuverlässigkeit beigetragen wird und auch das elektrische Verhalten verbessert wird.
  • Mit Bezug zu den 2a und 2b werden nunmehr weitere anschauliche Ausführungsformen beschrieben, in denen eine gut steuerbare Sequenz eingesetzt wird, um eine entsprechende Öffnung in einer dielektrischen Schicht zu bilden, um damit eine Verbindung zu einer leitenden Deckschicht herzustellen.
  • 2a zeigt schematisch ein Halbleiterbauelement 200 mit einem Substrat 201 mit einer darauf ausgebildeten dielektrischen Schicht 202, in der ein Metallgebiet 203 vorgesehen ist, das von einer Barrierenschicht 204 und einer leitenden Deckschicht 206 begrenzt ist. In Bezug auf die speziellen Eigenschaften dieser Komponenten sowie in Bezug auf Prozesse zur Herstellung dieser Komponenten sei auf die entsprechenden Komponenten verwiesen, die zuvor mit Bezug zu dem Bauelement 100 beschrieben wurden. Ferner ist ein dielektrischer Schichtstapel 207 auf der dielektrischen Schicht 202 ausgebildet und umfasst ein dielektrisches Material 207a und ein Ätzstoppmaterial 207b. Das dielektrische Material 207a kann ein beliebiges geeignetes dielektrisches Material gemäß den Bauteilerfordernissen repräsentieren, während die Ätzstoppschicht 207b so gewählt ist, dass es eine hohe Ätzselektivität während eines entsprechenden anisotropen Ätzprozesses 220 zur Bildung einer Öffnung 210 in dem dielektrischen Material 207a aufweist. Sollte beachtet werden, dass die Ätzstoppschicht 207b in Bezug auf die Schichtdicke und Materialzusammensetzung so ausgewählt ist, dass die gewünschten Ätzstoppeigenschaften bereitgestellt werden, da ein effizienter Einschluss des Kupfers und der Kupferlegierungen in dem Metallgebiet 203 auf der Grundlage der leitenden Deckschicht 206 erreicht wird. Somit können selbst dielektrische Materialien mit moderat geringer Permittivität verwendet werden, solange die entsprechende Ätzselektivität bereitgestellt wird. In einigen anschaulichen Ausführungsformen wird die Ätzstoppschicht 207b als eine lateral begrenzte Schicht vorgesehen, um damit einen Bereich abzudecken, der der Öffnung 210 entspricht, während der Rest des dielektrischen Materials 207 und der leitenden Deckschicht 206 unbedeckt bleiben, wodurch die Gesamtpermittivität des sich ergebenden dielektrischen Schichtstapels verringert wird.
  • Der anisotrope Ätzprozess 220 wird auf der Grundlage einer geeigneten Ätzmaske 221 ausgeführt, die typischerweise ein Lackmaterial enthält, das auf der Grundlage moderner Lithographieverfahren gebildet wird. Der Ätzprozess 220 kann zuverlässig auf der Grundlage der Ätzstoppschicht 207b gesteuert werden, wobei die hohe Ätzselektivität zu einem entsprechenden geringen Materialabtrag der Ätzstoppschicht 207b führt, so dass in einigen Ausführungsformen 30% oder weniger des Materials der Ätzstoppschicht 207b während einer entsprechenden Nachätzzeit entfernt werden. Somit kann die Ätzstoppschicht 207a in einem nachfolgenden Prozess auf der Grundlage sehr gleichmäßiger Prozessbedingungen über das Substrat hinweg in Bezug auf die verbleibende Schichtdicke, die noch zu entfernen ist, geöffnet werden, wodurch die Steuerbarkeit der Gesamtprozesssequenz zum Freilegen eines Bereichs der leitenden Deckschicht 206 verbessert wird.
  • 2b zeigt schematisch das Halbleiterbauelement 200 während eines nachfolgenden Ätzprozesses 222, der so gestaltet ist, dass die Dicke der Ätzstoppschicht 207b auf einen spezifizierten Sollwert verringert wird oder das leitende Deckschicht 206 vollständig freigelegt wird. In der gezeigten Ausführungsform ist der Ätzprozess 222 so gestaltet, dass die Lackmaske 221 entfernt wird, wobei in dem in 2b gezeigten Beispiel eine Restdicke 221r noch von dem Prozess 222 zu entfernen ist. In einer anschaulichen Ausführungsform werden die Ätzprozesse 220 und 222 als in-situ-Prozesse ausgeführt, d. h., die entsprechenden Ätzprozesse werden in der gleichen Ätzkammer ausgeführt, wodurch vorteilhafter Weise entsprechende Nebenprodukte, die in dem vorhergehenden Ätzprozess 220 erzeugt werden, als eine Quelle für Fluor verwendet werden können. Bekanntlich werden entsprechende Polymermaterialien während des Ätzprozesses 220 erzeugt, der typischerweise auf der Grundlage einer Fluor enthaltenden Chemie in Verbindung mit Inhibitor-Materialien ausgeführt wird, wodurch die entsprechenden Fluor enthaltenen Polymermaterialien entstehen, die auf Kammerwänden und dergleichen abgelagert werden. Somit kann der Ätzprozess 220 der in Wesentlichen so gestaltet ist, um die Lackmaske 221 auf der Grundlage eines Sauerstoffplasmas zu entfernen, auch geringe Mengen an Fluor enthalten, da das Sauerstoffplasma auch die entsprechenden Polymermaterialien angreift und die Fluorkomponente freisetzt. Während des Ätzprozesses 222 werden ungefähr 70% oder mehr des verbleibenden Materials der Ätzstoppschicht 207b entfernt, wobei der moderat geringe Fortschritt des Materialabtrags an der Unterseite für ein hohes Maß an Steuerbarkeit sorgt. Beispielsweise kann die Lackmaske 221 effizient entfernt werden und eine entsprechende Nachätzzeit kann vorgesehen werden, um damit die leitende Deckschicht 206 freizulegen oder um eine gewisse Menge der Ätzstoppschicht 207 bei Bedarf frei zu behalten. Ein entsprechendes Restmaterial der Ätzstoppschicht 207b kann dann während der Abscheide- und Sputter-Prozedur effizient entfernt werden, wie dies zuvor mit Bezug zu dem Bauelement 100 beschrieben ist. In noch anderen anschaulichen Ausführungsformen wird Fluor unter Anwendung einer externen Quelle hinzugefügt, wodurch eine erhöhte Flexibilität beim Einstellen der Gesamtätzzeit gegeben ist. Beispielsweise kann eine entsprechende Fluorkomponente während einer geeigneten Phase während des Ätzprozesses 222 hinzugefügt werden, um damit die gewünschte Menge an Ätzstoppmaterial zu entfernen.
  • Danach kann die weitere Bearbeitung fortgesetzt werden, wie dies auch mit Bezug zu dem Bauelement 100 beschrieben ist, um entsprechende Barrierenmaterialien 210 zu bilden. Somit wird die leitende Deckschicht 206 in einer gut steuerbaren Weise freigelegt, wodurch auch für ein gleichmäßiges Verhalten in der nachfolgenden Abscheidung und Entfernen von Barrierematerialien gesorgt ist, um damit eine gleichmäßige Vertiefung in der Deckschicht zu erreichen, wie dies zuvor beschrieben ist. Somit kann eine geringere Anfangsdicke der Deckschicht 206 eingesetzt werden, wodurch die Prozesseffizienz im Hinblick auf die Durchlaufzeit weiter verbessert wird.
  • Mit Bezug zu 3 werden nun weitere anschauliche Ausführungsformen beschrieben, wobei eine duale Damaszener-Strategie bei der Herstellung einer Kontaktdurchführung und einer Metallleitung in einer gemeinsamen Fertigungssequenz eingesetzt wird.
  • 3 zeigt schematisch ein Halbleiterbauelement 300 mit einem Substrat 301, das darauf ausgebildet eine dielektrische Schicht 302 aufweist, in der eine Metallleitung 303 gebildet ist. Die Metallleitung kann durch eine Barrierenschicht 304 und einer leitenden Deckschicht 306 begrenzt sein. Ferner ist ein dielektrischer Schichtstapel 307 über der dielektrischen Schicht 302 und den Metallleitungen 303 gebildet, wobei der dielektrische Schichtstapel 307 eine Ätzstoppschicht 307b bei Bedarf umfasst. Ferner ist in dieser Fertigungsphase eine Öffnung 310 vorgesehen, die sich zumindest zu der leitenden Deckschicht 306 erstreckt, wobei ein entsprechender Graben 323 in einem oberen Bereich des Stapels 307 ausgebildet ist und eine entsprechende Metallleitung einer höheren Metallisierungsebene repräsentiert. Es sollte beachtet werden, dass die bislang beschriebenen Komponenten ähnliche Eigenschaften in Bezug auf die Materialzusammensetzung und die Fertigungsprozesse aufweisen, wie sie zuvor mit Bezug zu den Bauelementen 100 und 200 beschrieben sind.
  • Die Grabenöffnung 323 kann auf der Grundlage gut etablierter Prozessverfahren hergestellt werden, wobei in einigen Vorgehensweisen der Graben 323 der Öffnung 310 gebildet wird, während in anderen Prozessen 310 vor dem Graben 323 gebildet wird. Ferner wird in dieser Fertigungsphase eine erste Barrierenschicht 308 in freiliegenden Oberflächenbereichen der Öffnung 310 und in dem Graben 323 gebildet, wobei ein entsprechender Abscheidprozess 309 eingesetzt werden kann, wie dies zuvor mit Bezug zu dem Abscheideprozess 109 beschrieben ist. D. h., eine gewünschte Art an Material wird abgeschieden, um die Schicht 308 entsprechend den Prozessparametern zu bilden, um damit die gewünschte Schichtdicke zu erhalten. Nach dem Abscheiden 309, was eine im ersten Abscheideprozess von mehreren Prozessschritten repräsentieren kann, wie dies zuvor erläutert ist, wird ein entsprechender Sputter-Prozess ausgeführt, um Material an der Unterseite 310b der Öffnung 310 umzuverteilen. Folglich können Kontaminationsstoffe effizient entfernt werden und unerwünschtes Material der Barrierenschicht 308 kann ebenfalls zu Seitenwandbereichen der Öffnung 310 umverteilt werden, wobei zusätzlich eine gut steuerbare Vertiefung in der Deckschicht 306 gebildet wird, wie dies zuvor erläutert ist. Da die entsprechende, während der Abscheidung 309 erreichte Dicke in geeigneter Weise umgestellt werden kann, beeinflusst der entsprechende Sputter-Prozess im Wesentlichen nicht negativ die Unterseite des Grabens 323b, da typischerweise eine größere Schichtdicke während des vorhergehenden Abscheideprozesses 309 aufgrund der geometrischen Unterschiede in Bezug auf die Öffnung 310 und dem Graben 323 erzeugt wird. Somit führt der „milde" Sputter-Prozess ebenfalls zu einer gewissen Umverteilung des Materials an dem Grabenboden 323, das jedoch im Wesentlichen wieder erneut auf dem horizontalen Grabenboden 323b abgeschieden wird, so dass der entsprechende Sputter-Prozess nicht wesentlich das entsprechende dielektrische Material der Schicht 307 schädigt. Danach kann ein weiterer Abscheideprozess ausgeführt werden, beispielsweise auf der Grundlage des gleichen Materials oder einer anderen Materialzusammensetzung, wobei ein nachfolgender Sputter-Prozess ebenso effizient für eine Materialumverteilung an der Unterseite 310b führt, wobei im Wesentlichen das dielektrische Material an der Grabenunterseite 323b nicht negativ beeinflusst wird. Auf diese Weise kann eine gewünschte Materialzusammensetzung für die Barrierenschicht in dem Graben 323 und in der Öffnung 310 bereitgestellt werden, wodurch die gewünschte Konfiguration an der Unterseite 310b geschaffen wird, wobei dennoch eine zuverlässige Barrierenschicht an der Unterseite 323b bereitgestellt wird. Danach wird die weitere Bearbeitung fortgesetzt, in dem die Kontaktlochöffnung 310 und der Graben 323 einen gemeinsamen nass-chemischen Abscheideprozess auf der Grundlage gut etablierter Rezepte gefüllt werden.
  • Es gilt also: Der hierin offenbarte Gegenstand erreicht das Verfahren des elektrischen Leistungsverhaltens einer Metallisierungsstruktur am Übergangsbereich von einer Metallisierungsebene zu einer anderen, wobei die vorteilhaften Eigenschaften einer leitenden Deckschicht beibehalten werden, d. h., die entsprechende starke Grenzfläche mit besseren Elektromigrationsverhalten in Verbindung mit einem im Wesentlichen nicht geschädigten Kupfer enthaltenden Material, da die Gesamtintegrität und die Konsistenz der leitenden Deckschicht beibehalten wird, wobei dennoch der Reihenwiderstand auf einem geringen Niveau gehalten wird. Zu diesem Zweck wird eine aggressive Strukturierung auf der Grundlage nass-chemischer Prozesse oder Trockenätzprozesse im Wesentlichen vermieden und zumindest die entsprechende Sequenz zur Herstellung einer gewünschten Barrierenmaterialzusammensetzung kann so gestaltet werden, dass mehrere Abscheideschritte und dazwischen liegende Sputter-Prozesse enthalten sind, wovon jeder nur einen geringen Einfluss aufweist, wodurch die Steuerbarkeit der Gesamtabscheidesequenz verbessert wird. Somit kann die Integrität des Metallgebiets beibehalten werden, wobei der Gesamtreihenwiderstand durch Kombination der entsprechenden Vertiefung in der leitenden Deckschicht eingestellt werden kann. Folglich können Prozessschritte eingesetzt werden, wie sie typischerweise für das Abscheiden der gewünschten Materialzusammensetzung der Barrierenschicht erforderlich sind, zusammen mit dazwischen liegenden Sputter-Prozessen, wodurch nicht wesentlich zur Prozesskomplexität beigetragen wird, während im Wesentlichen weitere Prozessschritte mit Ausnahme kleiner Änderungen und Anpassungen vermieden werden, um damit die entsprechende Sputter-Umgebung zu schaffen, was auf der Grundlage einer effizienten in-situ-Sequenz erreicht werden kann. In einigen Ausführungsformen werden effiziente Ätzschemata zur Herstellung der entsprechenden Kontaktlochöffnung mit dem verbesserten Abscheideregime für das Barrierenmaterial kombiniert. Folglich kann die zuvor beschriebene Prozesssequenz in skalierbarer Weise für weitere Bauteilgenerationen eingesetzt werden und kann effizient in die entsprechenden Prozessstrategien ohne zusätzlich Komplexität eingebunden werden, wobei sogar die Gesamtprozesszeit aufgrund des Fehlens zusätzlicher Ätzprozessschritte, etwa zusätzlicher Plasmaätzprozesse und dergleichen, verringert werden kann. Ferner kann die entsprechende Prozesssequenz zu einem geringeren Maße an Kontamination an der entsprechenden Kontaktlochunterseite, die mit dem gut leitenden Metallmaterial in Verbindung steht, führen.
  • Weitere Modifizierungen und Variationen der vorliegenden Erfindung werden für den Fachmann angesichts dieser Beschreibung offenkundig. Daher ist diese Beschreibung als lediglich anschaulich und für die Zwecke gedacht, dem Fachmann die allgemein Art und Weise des Ausführens der vorliegenden Erfindung zu vermitteln. Selbstverständlich sind die hierin gezeigten und beschriebenen Formen der Erfindung als die gegenwärtig bevorzugten Ausführungsformen zu betrachten.

Claims (18)

  1. Verfahren mit: Bilden einer Öffnung in einer dielektrischen Schicht, die über einem Metall enthaltenden Gebiet gebildet ist, wobei das Metall enthaltende Gebiet eine leitende Deckschicht aufweist, die mindestens eine Grenzfläche mit dem dielektrischen Schichtstapel bildet; Bilden einer ersten Barrierenschicht an Seitenwänden der Öffnung; Ausführen eines ersten Sputter-Prozesses zum Entfernen von Material von einer Unterseite der Öffnung, um eine Vertiefung in der leitenden Deckschicht zu bilden, wobei ein Teil der leitenden Deckschicht erhalten bleibt; und Füllen der Öffnung mit einem Metall enthaltenden Material.
  2. Verfahren nach Anspruch 1, wobei die erste Barrierenschicht durch einen physikalischen Dampfabscheideprozess gebildet wird.
  3. Verfahren nach Anspruch 1, das ferner umfasst: Bilden einer zweiten Barrierenschicht auf der ersten Barrierenschicht und Ausführen eines zweiten Sputter-Prozesses, um Material von der Unterseite der Öffnung zu entfernen.
  4. Verfahren nach Anspruch 3, das ferner umfasst: Steuern des ersten und des zweiten Sputter-Prozesses, um einen Teil der leitenden Deckschicht freizubehalten, wenn die Vertiefung gebildet wird.
  5. Verfahren nach Anspruch 1, wobei die leitende Deckschicht mindestens eines der folgenden Materialien umfasst: Eine Verbindung mit Kobalt, Wolfram und Phosphor (CoWP); eine Verbindung mit Kobalt, Wolfram und Bor (CoWB); eine Verbindung mit Nickel, Molybdän und Bor (NiMoB); und eine Verbindung mit Nickel, Molybdän und Phosphor (NiMoP).
  6. Verfahren nach Anspruch 1, wobei das Metall Kupfer ist.
  7. Verfahren nach Anspruch 1, wobei das Metall enthaltende Gebiet eine Metallleitung in einer Metallisierungsschicht des Halbleiterbauelements repräsentiert.
  8. Verfahren nach Anspruch 7, wobei Bilden der Öffnung umfasst: Bereitstellen einer Ätzstoppschicht in dem dielektrischen Schichtstapel, Ausführen eines ersten Ätzprozesses zum Ätzen durch ein erstes dielektrisches Material des dielektrischen Schichtstapels und Steuern des ersten Ätzprozesses auf der Grundlage der Ätzstoppschicht und Ätzen der Ätzstoppschicht in einem zweiten Ätzprozess, wobei der zweite Ätzprozess mehr als ungefähr 70% einer Anfangsdicke der Ätzstoppschicht entfernt.
  9. Verfahren nach Anspruch 8, wobei der zweite Ätzprozess auf der Grundlage eines Sauerstoffplasmas und Fluor ausgeführt wird.
  10. Verfahren nach Anspruch 1, das ferner umfasst: Bilden eines Grabens in dem dielektrischen Schichtstapel, wobei die Öffnung sich von dem Graben zu der leitenden Deckschicht erstreckt.
  11. Verfahren nach Anspruch 10, wobei der Graben nach dem Bilden der Öffnung gebildet wird.
  12. Verfahren nach Anspruch 10, wobei der Graben vor dem Bilden der Öffnung gebildet wird.
  13. Verfahren mit: Bilden einer Öffnung in einem dielektrischen Schichtstapel, der über einem Metall enthaltenden Gebiet gebildet ist, wobei das Metall enthaltende Gebiet eine leitende Deckschicht aufweist, die mindestens eine Grenzfläche mit dem dielektrischen Schichtstapel bildet; Ausführen einer Sequenz aus Abscheidprozessen, um mehrere Barrierenschichten nacheinander an Seitenwänden der Öffnung zu bilden; Ausführen eines entsprechenden Sputter-Prozesses nach zumindest einigen der Abscheideprozessen in der Sequenz, um Material von einer Unterseite der Öffnung zu entfernen, um eine Vertiefung in der leitenden Deckschicht zu bilden, wobei die entsprechenden Sputter-Prozesse so gesteuert werden, dass ein Teil der leitenden Deckschicht nach einem letzten der entsprechenden Sputter-Prozesse erhalten bleibt; und Füllen der Öffnung mit einem Metall enthaltenden Material.
  14. Verfahren nach Anspruch 13, wobei nach jedem der Abscheidprozesse ein Sputter-Prozeß folgt.
  15. Verfahren nach Anspruch 13, wobei mindestens einer der Abscheidprozesse in der Sequenz einen Sputter-Abscheideprozess umfasst.
  16. Verfahren nach Anspruch 13, wobei die dielektrische Schicht eine Ätzstoppschicht aufweist, um einen Ätzprozess zum Ätzen durch ein dielektrisches Material zu steuern, das über der Ätzstoppschicht gebildet ist, wobei ungefähr 70% oder mehr der Ätzstoppschicht in der Öffnung auf der Grundlage eines Sauerstoffplasmas entfernt werden.
  17. Verfahren nach Anspruch 13, wobei die leitende Deckschicht mindestens eines der folgenden Materialien aufweist; eine Verbindung mit Kobalt, Wolfram und Phosphor (CoWP); eine Verbindung mit Kobalt, Wolfram und Bor (CoWB); eine Verbindung mit Nickel, Molybdän und Bor (NiMoB); und eine Verbindung mit Nickel, Molybdän und Phosphor (NiMoP).
  18. Verfahren mit: Bilden einer leitenden Deckschicht über einem Kupfer enthaltenden Metallgebiet, das in einem dielektrischen Material vorgesehen ist; Bilden eines dielektrischen Schichtstapels über der leitenden Deckschicht; Bilden einer Öffnung in dem dielektrischen Schichtstapel; Bilden mindestens einer ersten leitenden Barrierenschicht und einer zweiten leitenden Barrierenschicht durch einen ersten Abscheideprozess und einem zweiten Abscheideprozess; Ausführung eines ersten Sputter-Prozesses nach dem ersten Abscheideprozess und eines zweiten Sputter-Prozesses nach dem zweiten Abscheidprozess; um Material von einer Unterseite der Öffnung zu entfernen; und Steuern des ersten und des zweiten Abscheideprozesses und des ersten und des zweiten Sputter-Prozesses, um das Maß an Vertiefung der leitenden Deckschicht entsprechend einer Solltiefe einzustellen, die Solltiefe so ausgewählt ist, dass ein Teil der leitenden Deckschicht beibehalten wird.
DE102007004860A 2007-01-31 2007-01-31 Verfahren zur Herstellung einer Kupfer-basierten Metallisierungsschicht mit einer leitenden Deckschicht durch ein verbessertes Integrationsschema Active DE102007004860B4 (de)

Priority Applications (2)

Application Number Priority Date Filing Date Title
DE102007004860A DE102007004860B4 (de) 2007-01-31 2007-01-31 Verfahren zur Herstellung einer Kupfer-basierten Metallisierungsschicht mit einer leitenden Deckschicht durch ein verbessertes Integrationsschema
US11/761,444 US7745327B2 (en) 2007-01-31 2007-06-12 Method of forming a copper-based metallization layer including a conductive cap layer by an advanced integration regime

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE102007004860A DE102007004860B4 (de) 2007-01-31 2007-01-31 Verfahren zur Herstellung einer Kupfer-basierten Metallisierungsschicht mit einer leitenden Deckschicht durch ein verbessertes Integrationsschema

Publications (2)

Publication Number Publication Date
DE102007004860A1 DE102007004860A1 (de) 2008-08-14
DE102007004860B4 true DE102007004860B4 (de) 2008-11-06

Family

ID=39597377

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102007004860A Active DE102007004860B4 (de) 2007-01-31 2007-01-31 Verfahren zur Herstellung einer Kupfer-basierten Metallisierungsschicht mit einer leitenden Deckschicht durch ein verbessertes Integrationsschema

Country Status (2)

Country Link
US (1) US7745327B2 (de)
DE (1) DE102007004860B4 (de)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5162869B2 (ja) * 2006-09-20 2013-03-13 富士通セミコンダクター株式会社 半導体装置およびその製造方法
US20090001584A1 (en) * 2007-06-26 2009-01-01 Sang-Chul Kim Semiconductor device and method for fabricating the same
KR101286239B1 (ko) * 2007-08-24 2013-07-15 삼성전자주식회사 산소 포획 패턴을 갖는 반도체 소자의 배선 구조 및 그제조 방법
US7879720B2 (en) * 2008-09-30 2011-02-01 Samsung Electronics Co., Ltd. Methods of forming electrical interconnects using electroless plating techniques that inhibit void formation
US20140046475A1 (en) * 2012-08-09 2014-02-13 Applied Materials, Inc. Method and apparatus deposition process synchronization
US8871639B2 (en) 2013-01-04 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US9613852B2 (en) * 2014-03-21 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method making the same
US10998228B2 (en) * 2014-06-12 2021-05-04 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned interconnect with protection layer
US10535558B2 (en) 2016-02-09 2020-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming trenches
US9911698B1 (en) 2016-08-25 2018-03-06 International Business Machines Corporation Metal alloy capping layers for metallic interconnect structures
US10199269B2 (en) * 2016-11-28 2019-02-05 United Microelectronics Corp. Conductive structure and method for manufacturing conductive structure
CN110610922B (zh) * 2018-06-14 2021-10-26 华邦电子股份有限公司 接触结构及其形成方法
US10886226B2 (en) * 2018-07-31 2021-01-05 Taiwan Semiconductor Manufacturing Co, Ltd. Conductive contact having staircase barrier layers
US20200043785A1 (en) * 2018-07-31 2020-02-06 Winbond Electronics Corp. A contact structure having a first liner and a second liner formed between a conductive element and a insulating layer

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002039500A2 (en) * 2000-11-01 2002-05-16 Applied Materials, Inc. Use of a barrier sputter reactor to remove an underlying barrier layer
US20040238961A1 (en) * 2003-03-18 2004-12-02 Cunningham James A. Copper interconnect systems which use conductive, metal-based cap layers
US20050127511A1 (en) * 2003-12-16 2005-06-16 Chih-Chao Yang Interconnect structures and methods of making thereof
US6949461B2 (en) * 2002-12-11 2005-09-27 International Business Machines Corporation Method for depositing a metal layer on a semiconductor interconnect structure
US20060249849A1 (en) * 2004-06-18 2006-11-09 Uri Cohen Methods and structures for interconnect passivation

Family Cites Families (92)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5127986A (en) * 1989-12-01 1992-07-07 Cray Research, Inc. High power, high density interconnect method and apparatus for integrated circuits
US5552627A (en) * 1990-04-12 1996-09-03 Actel Corporation Electrically programmable antifuse incorporating dielectric and amorphous silicon interlayers
JP2755035B2 (ja) * 1992-03-28 1998-05-20 ヤマハ株式会社 多層配線形成法
US5360995A (en) * 1993-09-14 1994-11-01 Texas Instruments Incorporated Buffered capped interconnect for a semiconductor device
US5470790A (en) * 1994-10-17 1995-11-28 Intel Corporation Via hole profile and method of fabrication
US6130482A (en) * 1995-09-26 2000-10-10 Fujitsu Limited Semiconductor device and method for fabricating the same
JPH09260492A (ja) * 1996-03-25 1997-10-03 Toshiba Corp 半導体装置の製造方法
US5744376A (en) * 1996-04-08 1998-04-28 Chartered Semiconductor Manufacturing Pte, Ltd Method of manufacturing copper interconnect with top barrier layer
US5916011A (en) * 1996-12-26 1999-06-29 Motorola, Inc. Process for polishing a semiconductor device substrate
US5961791A (en) * 1997-02-26 1999-10-05 Motorola, Inc. Process for fabricating a semiconductor device
TW417249B (en) * 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
US5904565A (en) * 1997-07-17 1999-05-18 Sharp Microelectronics Technology, Inc. Low resistance contact between integrated circuit metal levels and method for same
KR100256110B1 (ko) * 1997-08-16 2000-05-01 윤종용 반도체 장치의 상호연결 및 그의 형성 방법
US6100184A (en) * 1997-08-20 2000-08-08 Sematech, Inc. Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
JP3287392B2 (ja) * 1997-08-22 2002-06-04 日本電気株式会社 半導体装置およびその製造方法
TW408443B (en) * 1998-06-08 2000-10-11 United Microelectronics Corp The manufacture method of dual damascene
US6287977B1 (en) * 1998-07-31 2001-09-11 Applied Materials, Inc. Method and apparatus for forming improved metal interconnects
DE19843624C1 (de) * 1998-09-23 2000-06-15 Siemens Ag Integrierte Schaltungsanordnung und Verfahren zu deren Herstellung
US6169024B1 (en) * 1998-09-30 2001-01-02 Intel Corporation Process to manufacture continuous metal interconnects
TW400619B (en) * 1999-03-05 2000-08-01 United Microelectronics Corp The manufacture method of dual damascene structure
US6040243A (en) * 1999-09-20 2000-03-21 Chartered Semiconductor Manufacturing Ltd. Method to form copper damascene interconnects using a reverse barrier metal scheme to eliminate copper diffusion
US6114243A (en) * 1999-11-15 2000-09-05 Chartered Semiconductor Manufacturing Ltd Method to avoid copper contamination on the sidewall of a via or a dual damascene structure
KR100341482B1 (ko) * 1999-12-23 2002-06-21 윤종용 구리 배선층의 형성방법
US6277249B1 (en) * 2000-01-21 2001-08-21 Applied Materials Inc. Integrated process for copper via filling using a magnetron and target producing highly energetic ions
US6451177B1 (en) * 2000-01-21 2002-09-17 Applied Materials, Inc. Vault shaped target and magnetron operable in two sputtering modes
US6372636B1 (en) * 2000-06-05 2002-04-16 Chartered Semiconductor Manufacturing Ltd. Composite silicon-metal nitride barrier to prevent formation of metal fluorides in copper damascene
JP3696055B2 (ja) * 2000-06-27 2005-09-14 シャープ株式会社 半導体装置の製造方法
JP2002093811A (ja) * 2000-09-11 2002-03-29 Sony Corp 電極および半導体装置の製造方法
US6380075B1 (en) * 2000-09-29 2002-04-30 International Business Machines Corporation Method for forming an open-bottom liner for a conductor in an electronic structure and device formed
US6541374B1 (en) * 2000-12-18 2003-04-01 Novellus Systems, Inc. Method of depositing a diffusion barrier for copper interconnection applications
US6613664B2 (en) * 2000-12-28 2003-09-02 Infineon Technologies Ag Barbed vias for electrical and mechanical connection between conductive layers in semiconductor devices
US6472757B2 (en) * 2001-01-11 2002-10-29 Advanced Micro Devices, Inc. Conductor reservoir volume for integrated circuit interconnects
US6689684B1 (en) * 2001-02-15 2004-02-10 Advanced Micro Devices, Inc. Cu damascene interconnections using barrier/capping layer
US20020117399A1 (en) * 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
US6607977B1 (en) * 2001-03-13 2003-08-19 Novellus Systems, Inc. Method of depositing a diffusion barrier for copper interconnect applications
US6642146B1 (en) * 2001-03-13 2003-11-04 Novellus Systems, Inc. Method of depositing copper seed on semiconductor substrates
US7186648B1 (en) * 2001-03-13 2007-03-06 Novellus Systems, Inc. Barrier first method for single damascene trench applications
US6764940B1 (en) * 2001-03-13 2004-07-20 Novellus Systems, Inc. Method for depositing a diffusion barrier for copper interconnect applications
US6492270B1 (en) * 2001-03-19 2002-12-10 Taiwan Semiconductor Manufacturing Company Method for forming copper dual damascene
US20020171147A1 (en) * 2001-05-15 2002-11-21 Tri-Rung Yew Structure of a dual damascene via
US6562712B2 (en) * 2001-07-03 2003-05-13 Taiwan Semiconductor Manufacturing Co., Ltd Multi-step planarizing method for forming a patterned thermally extrudable material layer
JP2003100659A (ja) * 2001-09-27 2003-04-04 Mitsubishi Electric Corp 半導体装置及びその製造方法
KR100413828B1 (ko) * 2001-12-13 2004-01-03 삼성전자주식회사 반도체 장치 및 그 형성방법
JP2003249547A (ja) * 2002-02-22 2003-09-05 Mitsubishi Electric Corp 配線間の接続構造及びその製造方法
KR100455380B1 (ko) * 2002-02-27 2004-11-06 삼성전자주식회사 다층 배선 구조를 구비한 반도체 소자 및 그 제조 방법
US6617231B1 (en) * 2002-03-06 2003-09-09 Texas Instruments Incorporated Method for forming a metal extrusion free via
US6660627B2 (en) * 2002-03-25 2003-12-09 United Microelectronics Corp. Method for planarization of wafers with high selectivities
US6723635B1 (en) * 2002-04-04 2004-04-20 Advanced Micro Devices, Inc. Protection low-k ILD during damascene processing with thin liner
US20030194872A1 (en) * 2002-04-16 2003-10-16 Applied Materials, Inc. Copper interconnect with sidewall copper-copper contact between metal and via
KR100463178B1 (ko) * 2002-04-19 2004-12-23 아남반도체 주식회사 반도체 소자의 금속배선 적층구조 형성 방법
DE10219115A1 (de) * 2002-04-29 2003-11-13 Infineon Technologies Ag Verfahren zum Füllen eines Kontaktlochs und integrierte Schaltungsanordnung mit Kontaktloch
US7008872B2 (en) * 2002-05-03 2006-03-07 Intel Corporation Use of conductive electrolessly deposited etch stop layers, liner layers and via plugs in interconnect structures
JP2004063556A (ja) * 2002-07-25 2004-02-26 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
US20040048468A1 (en) * 2002-09-10 2004-03-11 Chartered Semiconductor Manufacturing Ltd. Barrier metal cap structure on copper lines and vias
JP2004179588A (ja) * 2002-11-29 2004-06-24 Sanyo Electric Co Ltd 半導体装置の製造方法
US6977217B1 (en) * 2002-12-03 2005-12-20 Cypress Semiconductor Corporation Aluminum-filled via structure with barrier layer
US7241696B2 (en) * 2002-12-11 2007-07-10 International Business Machines Corporation Method for depositing a metal layer on a semiconductor interconnect structure having a capping layer
KR100467783B1 (ko) * 2002-12-20 2005-01-25 동부아남반도체 주식회사 반도체 소자의 금속배선 형성방법
KR100459733B1 (ko) * 2002-12-30 2004-12-03 삼성전자주식회사 이중 캡핑막을 갖는 반도체 소자의 배선 및 그 형성 방법
US6706629B1 (en) * 2003-01-07 2004-03-16 Taiwan Semiconductor Manufacturing Company Barrier-free copper interconnect
US6806192B2 (en) * 2003-01-24 2004-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of barrier-less integration with copper alloy
JP4746829B2 (ja) * 2003-01-31 2011-08-10 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP2004342702A (ja) * 2003-05-13 2004-12-02 Nec Electronics Corp 半導体装置及び半導体装置の製造方法
JP2004342632A (ja) * 2003-05-13 2004-12-02 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
US7030031B2 (en) * 2003-06-24 2006-04-18 International Business Machines Corporation Method for forming damascene structure utilizing planarizing material coupled with diffusion barrier material
KR100541678B1 (ko) * 2003-06-30 2006-01-11 주식회사 하이닉스반도체 금속 배선의 형성 방법
US6905958B2 (en) * 2003-07-25 2005-06-14 Intel Corporation Protecting metal conductors with sacrificial organic monolayers
KR100555515B1 (ko) * 2003-08-27 2006-03-03 삼성전자주식회사 코발트층 캡핑막을 갖는 반도체 소자 및 그 제조방법
JP4041785B2 (ja) * 2003-09-26 2008-01-30 松下電器産業株式会社 半導体装置の製造方法
US20050118796A1 (en) * 2003-11-28 2005-06-02 Chiras Stefanie R. Process for forming an electrically conductive interconnect
US20050130407A1 (en) * 2003-12-12 2005-06-16 Jui-Neng Tu Dual damascene process for forming a multi-layer low-k dielectric interconnect
TWI240988B (en) * 2004-01-07 2005-10-01 Powerchip Semiconductor Corp Method for fabricating a through hole on a semiconductor substrate
JP4478038B2 (ja) * 2004-02-27 2010-06-09 株式会社半導体理工学研究センター 半導体装置及びその製造方法
US7244674B2 (en) * 2004-04-27 2007-07-17 Agency For Science Technology And Research Process of forming a composite diffusion barrier in copper/organic low-k damascene technology
US7208415B2 (en) * 2004-06-30 2007-04-24 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma treatment method for electromigration reduction
JP2006032864A (ja) * 2004-07-21 2006-02-02 Sony Corp 多層配線構造と多層配線構造を有する半導体装置とこれらの製造方法
JP4447419B2 (ja) * 2004-09-29 2010-04-07 Necエレクトロニクス株式会社 半導体装置の製造方法
US7282802B2 (en) * 2004-10-14 2007-10-16 International Business Machines Corporation Modified via bottom structure for reliability enhancement
WO2006058034A2 (en) * 2004-11-22 2006-06-01 Intermolecular, Inc. Molecular self-assembly in substrate processing
US7259463B2 (en) * 2004-12-03 2007-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. Damascene interconnect structure with cap layer
US7193327B2 (en) * 2005-01-25 2007-03-20 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier structure for semiconductor devices
US20060172536A1 (en) * 2005-02-03 2006-08-03 Brown Karl M Apparatus for plasma-enhanced physical vapor deposition of copper with RF source power applied through the workpiece
US7422979B2 (en) * 2005-03-11 2008-09-09 Freescale Semiconductor, Inc. Method of forming a semiconductor device having a diffusion barrier stack and structure thereof
US20060205204A1 (en) * 2005-03-14 2006-09-14 Michael Beck Method of making a semiconductor interconnect with a metal cap
US7361993B2 (en) * 2005-05-09 2008-04-22 International Business Machines Corporation Terminal pad structures and methods of fabricating same
DE102005046975A1 (de) * 2005-09-30 2007-04-05 Advanced Micro Devices, Inc., Sunnyvale Technik zur Herstellung einer kupferbasierten Metallisierungsschicht mit einer leitenden Deckschicht
KR100660915B1 (ko) * 2006-02-03 2006-12-26 삼성전자주식회사 반도체 소자의 배선 형성 방법
US20070202689A1 (en) * 2006-02-27 2007-08-30 Samsung Electronics Co., Ltd. Methods of forming copper vias with argon sputtering etching in dual damascene processes
JP2007311771A (ja) * 2006-04-21 2007-11-29 Sanyo Electric Co Ltd 半導体装置及びその製造方法
US20070257366A1 (en) * 2006-05-03 2007-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier layer for semiconductor interconnect structure
US7439624B2 (en) * 2006-05-18 2008-10-21 International Business Machines Corporation Enhanced mechanical strength via contacts
US7585758B2 (en) * 2006-11-06 2009-09-08 International Business Machines Corporation Interconnect layers without electromigration

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002039500A2 (en) * 2000-11-01 2002-05-16 Applied Materials, Inc. Use of a barrier sputter reactor to remove an underlying barrier layer
US6949461B2 (en) * 2002-12-11 2005-09-27 International Business Machines Corporation Method for depositing a metal layer on a semiconductor interconnect structure
US20040238961A1 (en) * 2003-03-18 2004-12-02 Cunningham James A. Copper interconnect systems which use conductive, metal-based cap layers
US20050127511A1 (en) * 2003-12-16 2005-06-16 Chih-Chao Yang Interconnect structures and methods of making thereof
US20060249849A1 (en) * 2004-06-18 2006-11-09 Uri Cohen Methods and structures for interconnect passivation

Also Published As

Publication number Publication date
US7745327B2 (en) 2010-06-29
DE102007004860A1 (de) 2008-08-14
US20080182406A1 (en) 2008-07-31

Similar Documents

Publication Publication Date Title
DE102007004860B4 (de) Verfahren zur Herstellung einer Kupfer-basierten Metallisierungsschicht mit einer leitenden Deckschicht durch ein verbessertes Integrationsschema
DE102008021568B3 (de) Verfahren zum Reduzieren der Erosion einer Metalldeckschicht während einer Kontaktlochstrukturierung in Halbleiterbauelementen und Halbleiterbauelement mit einem schützenden Material zum Reduzieren der Erosion der Metalldeckschicht
DE102005052000B3 (de) Halbleiterbauelement mit einer Kontaktstruktur auf der Grundlage von Kupfer und Wolfram
DE102009023377B4 (de) Verfahren zur Herstellung eines Mikrostrukturbauelements mit einer Metallisierungsstruktur mit selbstjustiertem Luftspalt
DE102008059650B4 (de) Verfahren zur Herstellung einer Mikrostruktur mit einer Metallisierungsstruktur mit selbstjustierten Luftspalten zwischen dichtliegenden Metallleitungen
DE102005046975A1 (de) Technik zur Herstellung einer kupferbasierten Metallisierungsschicht mit einer leitenden Deckschicht
DE102008063430B4 (de) Verfahren zur Herstellung eines Metallisierungssystem eines Halbleiterbauelements mit zusätzlich verjüngten Übergangskontakten
DE102008007001B4 (de) Vergrößern des Widerstandsverhaltens gegenüber Elektromigration in einer Verbindungsstruktur eines Halbleiterbauelements durch Bilden einer Legierung
DE102005052052B4 (de) Ätzstoppschicht für Metallisierungsschicht mit verbesserter Haftung, Ätzselektivität und Dichtigkeit und Verfahren zur Herstellung eines dielektrischen Schichtstapels
DE102008049775B4 (de) Herstellungsverfahren einer Metalldeckschicht mit besserer Ätzwiderstandsfähigkeit für kupferbasierte Metallgebiete in Halbleiterbauelementen
DE102011002769B4 (de) Halbleiterbauelement und Verfahren zur Herstellung einer Hybridkontaktstruktur mit Kontakten mit kleinem Aspektverhältnis in einem Halbleiterbauelement
DE102010002454A1 (de) Metallisierungssystem eines Halbleiterbauelements mit verrundeten Verbindungen, die durch Hartmaskenverrundung hergestellt sind
DE102009006798B4 (de) Verfahren zur Herstellung eines Metallisierungssystems eines Halbleiterbauelements unter Anwendung einer Hartmaske zum Definieren der Größe der Kontaktdurchführung
DE102008016424A1 (de) Verringern der Strukturierungsschwankung von Gräben in Metallisierungsschichtstapeln mit einem Material mit kleinem ε durch Verringerung der Kontamination der Grabendielektrika
DE102008063417B4 (de) Lokale Silizidierung an Kontaktlochunterseiten in Metallisierungssystemen von Halbleiterbauelementen
DE102006056626A1 (de) Verfahren zum selektiven Herstellen einer leitenden Barrierenschicht durch ALD
DE102010063294B4 (de) Verfahren zur Herstellung von Metallisierungssystemen von Halbleiterbauelementen, die eine Kupfer/Silizium-Verbindung als ein Barrierenmaterial aufweisen
DE102007009912B4 (de) Verfahren zur Herstellung einer kupferbasierten Metallisierungsschicht mit einer leitenden Deckschicht durch ein fortschrittliches Integrationsschema
DE102008044964B4 (de) Verringerung der Leckströme und des dielektrischen Durchschlags in dielektrischen Materialien von Metallisierungssystemen von Halbleiterbauelementen durch die Herstellung von Aussparungen
DE102004003863B4 (de) Technik zur Herstellung eingebetteter Metallleitungen mit einer erhöhten Widerstandsfähigkeit gegen durch Belastung hervorgerufenen Materialtransport
DE102007004884A1 (de) Verfahren zur Herstellung einer Metallschicht über einem strukturierten Dielektrikum durch stromlose Abscheidung unter Anwendung einer selektiv vorgesehenen Aktivierungsschicht
DE10351005B4 (de) Barrierenschicht mit einer Titannitridbeschichtung für eine Kupfermetallisierungsschicht, die ein Dielektrikum mit kleinem ε aufweist
DE102008026211B4 (de) Verfahren zur Herstellung eines Halbleiterbauelements mit Metallleitungen mit einer selektiv gebildeten dielektrischen Deckschicht
DE102006041004B4 (de) Technik zum Reduzieren plasmainduzierter Ätzschäden während der Herstellung von Kontaktdurchführungen in Zwischenschichtdielektrika
DE102009021488A1 (de) Verbessertes Elektromigrationsverhalten von Kupferleitungen in Metallisierungssystemen von Halbleiterbauelementen durch Legierung von Oberflächen

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8364 No opposition during term of opposition