DE10328578A1 - Hartmaske aus amorphen Kohlenstoff-Wasserstoff-Schichten - Google Patents

Hartmaske aus amorphen Kohlenstoff-Wasserstoff-Schichten Download PDF

Info

Publication number
DE10328578A1
DE10328578A1 DE10328578A DE10328578A DE10328578A1 DE 10328578 A1 DE10328578 A1 DE 10328578A1 DE 10328578 A DE10328578 A DE 10328578A DE 10328578 A DE10328578 A DE 10328578A DE 10328578 A1 DE10328578 A1 DE 10328578A1
Authority
DE
Germany
Prior art keywords
layer
hard mask
plasma
hydrogen
amorphous carbon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE10328578A
Other languages
English (en)
Other versions
DE10328578B4 (de
Inventor
Matthias Lipinski
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Polaris Innovations Ltd
Original Assignee
Infineon Technologies AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Infineon Technologies AG filed Critical Infineon Technologies AG
Publication of DE10328578A1 publication Critical patent/DE10328578A1/de
Application granted granted Critical
Publication of DE10328578B4 publication Critical patent/DE10328578B4/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Abstract

Es wird ein Verfahren offenbart, bei dem eine amorphe Kohlenstoff-Wasserstoff-Schicht als Hartmaskenmaterial mit einstellbarem Ätzwiderstand in einem RIE-Prozeß eingesetzt wird, das bei der Herstellung eines Halbleiterbauelements den Zusatz eines Schichtbildungsgases zu dem Ätzmittel vermeidet. Der Prozeß umfaßt: DOLLAR A a) Bereitstellen eines Halbleitersubstrats; DOLLAR A b) Ausbilden einer Hartmaske aus einer amorphen Kohlenstoff-Wasserstoff-Schicht durch Plasmaunterstützung über dem Halbleitersubstrat; DOLLAR A c) Ausbilden einer Öffnung in der Hartmaskenschicht, um einen freiliegenden Oberflächenteil der Hartmaskenschicht auszubilden; und DOLLAR A d) Ätzen des freigelegten Oberflächenteils der Hartmaskenschicht ohne Zusatz eines Schichtbildungsgases unter Verwendung von RIE zum Ausbilden eines Grabenstrukturmerkmals mit ausreichender Maskierung und ausreichendem Seitenwandschutz.

Description

  • HINTERGRUND DER ERFINDUNG
  • 1. GEBIET DER ERFINDUNG
  • Die Erfindung betrifft die Verwendung von amorphen Kohlenstoff-Wasserstoff-(a-C:H)-Schichten als Hartmaskenmaterial mit einem einstellbaren Ätzwiderstand und den Einsatz dieser Hartmasken bei reaktiven Ionenätzprozessen (RIE-Prozessen).
  • 2. BESCHREIBUNG DES STANDS DER TECHNIK
  • Wegen der zunehmenden Komplexität und der Reduzierung der kleinsten Strukturmerkmalgrößen von Schaltungselementen bei der Herstellung mikroelektronischer Bauelemente werden zum Erzeugen von Größen im Mikrometer- und Submikrometerbereich aktuelle Belichtungswerkzeuge und hochempfindliche Photoresists verwendet. Da das Naßätzen während der Herstellung bei der Übertragung von Strukturmerkmalen mit feinen Geometrien mehrere kritische Probleme hervorruft, wird reaktives Ionenätzen (RIE) verwendet [das gekennzeichnet ist durch geringe Drücke und hohe Energien beim Ionenbeschuß], weil es gegenüber dem naßchemischen Ätzen mehrere Vorteile aufweist. Die Vorteile sind: Haftungsprobleme sind mit Plasmaätztechniken unkritisch; Trockenätzprozesse erfordern kleine Mengen an Chemikalien; und das Plasmaätzen kann vollautomatisiert ablaufen. RIE eignet sich schließlich besonders aufgrund seiner Fähigkeit, bei niedrigen Temperaturen anisotrop zu ätzen.
  • Mit abnehmender Strukturmerkmalgröße nimmt auch die Schärfentiefe der Lithographie ab und führt zu reduzierter Massendikke, und diese weiche Massendicke reicht nicht länger aus, Ätzprozessen ein Prozeßfenster zuzugestehen, in dem angemessen produziert werden kann. Aus diesem Grund entstehen in der gegenwärtigen Technik viele Hartmaskenprozesse. Dennoch dominiert bei vielen Hartmaskenprozessen das chemische Ätzen, was problematisch ist, weil, z.B. im Fall des Al-RIE- oder Si-Ätzens, wiederabgeschiedener Photoresist erforderlich sein kann, um eine Seitenwandpassivierung zu bewirken und dadurch den Erhalt eines anisotropen Profils zu ermöglichen. Zur Zeit steht bei Verwendung der gegenwärtigen Hartmaskenprozesse dieser Seitenwandschutzmechanismus nicht länger zur Verfügung, was die Steuerung der kritischen Abmessungen (CD – critical dimension) sehr erschwert.
  • Aus van de Sanden et al., Plasma Science, 1993, 7. bis 9. Juni 1993, IEEE Conference Record – Abstracts, 1993 IEEE International Conference, auf Seite 225, ist ein schnelles Abscheiden von a-C:H unter Verwendung eines sich ausdehnenden thermischen Plasmastrahls bekannt. Dieses schnelle Abscheidungsverfahren verwendet ein thermisches Plasma, das sich in ein Vakuumgefäß ausdehnt und a-C:H abscheidet – wobei die abgeschiedene Schicht durch Zumischen von Methan oder Acetylen zu einem Argon-Trägerplasma erzeugt wird.
  • Die Anwendung von diamantartigen Kohlenstoffilmen im Prozeßablauf bei der Herstellung integrierter Schaltungen ist aus Komatsu et al. in Diamond – And Related Materials 8 (1999), Seiten 2018 bis 2021, bekannt. Die Filme aus amorphem diamantartigem Kohlenstoff (DLC – diamond-like carbon) wurden als Resistmaterialien für die Lithographie und als harte Beschichtungen entwickelt, und die Ätzeigenschaften dieser DLC-Filme erhielt man zunächst unter Anwendung einer Parallelplatten-HF-Plasmaglühentladung, von zerlegtem Methangas für die Abscheidung des DLC-Films auf einem Substrat und die Verwendung von Sauerstoff zum Ätzen des Films. Die Ätzrate der DLC-Filme steigt mit abnehmendem Sauerstoffdruck. Bei hohem Druck kam es zu isotropem Ätzen durch neutrale Radikale, da die Form der geätzten Kante nicht vertikal war. Wegen der hohen Vorspannung lagen die Ober- und Unterkante bei geringem Druck vertikal übereinander.
  • Die Chemie für die Seitenwandoberflächen bei gerichteten Ätz prozessen wird von Oehrlein et al. in Materials Science and Engineering, 24 (1998), Seiten 153 bis 183, offenbart. Diese Veröffentlichung betrachtet die für Silizium, Aluminium, SiO2 und Polymermaterialien verwendeten Ansätze, um Ätzreaktionen an Seitenwänden von Mikrostrukturen zu unterdrücken, wobei die Voraussetzung einer erfolgreichen Herstellung von Mikrostrukturen in elektronischen Materialien die Fähigkeit beinhaltet, das Verhältnis ionenverstärkter Ätzreaktionen relativ zu spontanen Ätzreaktionen zu maximieren, und zwar angesichts der Tatsache, daß zur Herstellung vertikaler Ätzprofile die Ätzreaktionsrate in der Sichtlinie des Plasmas groß sein muß, wohingegen die seitliche Ätzrate verschwinden sollte.
  • Aus dem US-Patent 6,316,167 B1 sind einstellbare gasphasenabgeschiedene Materialien als Antireflexbeschichtungen, Hartmaske und als kombinierte Antireflexbeschichtung/Hartmaske bekannt. Die lithographische Struktur umfaßt mehrere Schichten, von denen mindestens eine eine RCHX-Schicht ist, die ein Material der Strukturformel R:C:H:X umfaßt, wobei R ausgewählt ist aus der Gruppe gebildet aus Si, Ge, B, Sn, Fe, Ti und Kombinationen davon und wobei X nicht vorliegt oder ausgewählt ist aus der Gruppe gebildet aus einem oder mehreren von O, N, S und F, und eine Schicht aus einem energieaktiven Material.
  • Insbesondere bei Prozessen, bei denen chemisches Ätzen dominiert (d.h. Al-RIE – reaktiver Aluminiumionenätzprozeß), besteht ein Bedarf, eine gute Selektivität des potentiellen Hartmaskenmaterials zu erreichen, die für einen steuerbaren Prozeß ausreicht, da die Selektivität von Hartmaskenmaterialien zu dem zu ätzenden Material ziemlich hoch liegt und deshalb die Menge an wiederabgeschiedenen Materialien für einen zuverlässigen Schutz der Seitenwände der wachsenden Strukturmerkmale im Ätzprozeß nicht ausreicht.
  • KURZE DARSTELLUNG DER ERFINDUNG
  • Eine Aufgabe der vorliegenden Erfindung besteht in der Bereit stellung einer Schicht aus amorphem Kohlenstoff-Wasserstoff (a-C:H) als Hartmaskenmaterial mit einstellbarem Ätzwiderstand für RIE-Prozesse, in denen chemisches Ätzen dominiert.
  • AUSFÜHRLICHE BESCHREIBUNG EINER BEVORZUGTEN AUSFÜHRUNGSFORM DER ERFINDUNG
  • Bei RIE werden gegenwärtig verschiedene Hartmaskenmaterialien verwendet, und zu diesen Materialien zählen W, SiO2 , SiON, SiOC und SiC. Alle diese Materialien weisen gegenüber den zu ätzenden Materialien eine hohe Selektivität auf und eignen sich deshalb allgemein für Hartmaskenanwendungen.
  • Bei Prozessen, die von chemischem Ätzen dominiert werden (d.h. Al-RIE), reicht für einen steuerbaren Prozeß eine gute Selektivität eines potentiellen Hartmaskenmaterials nicht aus. Dies ist dadurch begründet, daß bei dieser Art des Ätzens, ein anisotropes Profil nicht dadurch erreicht werden kann, daß ein stark gerichtetes Plasma angelegt wird, und zwar aufgrund der Tatsache, daß reaktive Spezies ungeladen sind und ihre Bewegungsrichtungen deshalb nicht auf die Richtung des elektrischen Felds beschränkt sind. Für diese Art von Ätzprozeß ist in der Tat eine ausgezeichnete Seitenwandpassivierung unerläßlich.
  • Bei bisherigen Resistprozessen sorgte für diese Seitenwandpassivierung der Photoresist selbst, der im Verlauf der Ätzung an den Seitenwänden wieder abgeschieden wird. Diese Art der Seitenwandpassivierung steht bei gegenwärtigen Hartmaskenprozessen jedoch nicht länger zur Verfügung. Da Hartmaskenmaterialien zu dem zu ätzenden Material eine recht hohe Selektivität aufweisen, reicht die für das Wiederabscheiden an den Seitenwänden zur Verfügung stehende Menge an Material im allgemeinen nicht aus, um diese Seitenwände der wachsenden Strukturmerkmale zuverlässig zu schützen.
  • Bisher gab es zwei Verfahren, um dieses Problem anzugehen:
    • (1) Der Photoresist bleibt nach dem Öffnen der Hartmaske vorhanden. So steht der Photoresist bei Beginn des Ätzens weiter zur Verfügung. Da der Resist vor dem Ende des Ätzens vollständig verbraucht wird, tritt in der Mitte des Ätzprozesses beim Seitenwandpassivierungsmechanismus eine signifikante Änderung auf (was bei vergleichsweise niedrigen Stapeln nachteilig ist und bei höheren Stapeln ein echtes Problem darstellt); und (2) Der Photoresist wird nach dem Öffnen der Hartmaske abgelöst. So wird beim Seitenwandpassivierungsmechanismus eine zwischenzeitliche Änderung vermieden. Da Hartmaskenmaterialien (aus ihnen eigenen Gründen) keine ausreichende Wiederabscheidung/Seitenwandpassivierung bereitstellen können, muß zur Bereitstellung der Passivierung der Ätzchemie eine weitere Komponente zugesetzt werden. Bei dieser Komponente kann es sich beispielsweise um einen Kohlenwasserstoff handeln, der unter dem Einfluß eines Plasmas bekanntlich dichte Schichten leicht ausbildet. Der Nachteil bei diesem Prozeßverfahren besteht jedoch darin, daß diese Passivierungsschicht nicht nur auf den Seitenwänden der wachsenden Strukturmerkmale abgeschieden wird, sondern gleichzeitig in der ganzen Ätzkammer. Dadurch ergibt sich ein größerer Wartungsaufwand, da Abscheidungen von Kohlenwasserstoff bei Überschreiten einer kritischen Dicke bekanntlich reißen, abblättern und Teilchen bilden.
  • Die Erfindung löst die obigen Probleme durch das Abscheiden amorpher Kohlenstoff-Wasserstoff-Schichten in einem Plasma aus gasförmigen organischen Verbindungen, Mischungen aus verschiedenen gasförmigen organischen Verbindungen oder Mischungen aus gasförmigen organischen und anorganischen Verbindungen. Diese Schichten werden auch als DLC-Schichten (diamond-like carbon – diamantartiger Kohlenstoff) oder als Plasmapolymere bezeichnet; für diese Ausdrücke existiert jedoch keine präzise Definition. Wenngleich DLC allgemein ein mechanisch hartes Material bezeichnet, das gekennzeichnet ist durch einen hohen Anteil von sp3-konfigurierten Kohlenstoffatomen, so kann man die Härte von Plasmapolymeren fast mit der Härte herkömmlicher Poly mere vergleichen. Der umfassendste Ausdruck für diese Schichten ist deshalb "amorphe Kohlenstoff-Wasserstoff-Schicht".
  • Wenngleich diese DLC-Schichten aus der gleichen Art von Gasen durch Plasmaunterstützung abgeschieden werden, bestimmen die Plasmaparameter allgemein, welche Art von Schicht man erhält. Hohe Plasmaleistung und hohe Vorspannungen von etwa 800 V oder darüber ergeben mechanisch harte und hochgradig vernetzte Schichten. Im unteren Leistungsbereich von etwa 20 V hingegen werden weichere Materialien mit einem niedrigeren Grad an Vernetzung abgeschieden. Dazwischen können diese Eigenschaften einfach durch Anpassen der Plasmaparameter graduell variiert werden.
  • Der wesentliche Punkt der Offenbarung der vorliegenden Erfindung ist, daß diese Variation der Filmeigenschaften (Vernetzungsgrad, sp3/sp2-Verhältnis, Härte) auch den Ätzwiderstand dieses Materials beeinflußt. Ein im hohen Leistungsbereich abgeschiedenes Material weist einen dem anderer Hartmaskenmaterialien (wie etwa W, SiO2, SiON, SiOC) vergleichbaren oder noch höheren Ätzwiderstand auf. Im unteren Leistungsbereich (Plasmapolymer) weist das Material einen Ätzwiderstand in der gleichen Größenordnung wie ein Photoresist auf. Zwischen diesen extremen Einstellungen der Plasmaparameter kann der Ätzwiderstand von a-C:H-Filmen auf die Erfordernisse der Anwendung, von photoresistartig bis hartmaskenartig, präzise zugeschnitten werden. Andere Hartmaskenmaterialien bieten diese Möglichkeit nicht, da es sich bei ihnen um anorganische Materialien handelt und sie deshalb, falls überhaupt, eine begrenzte Anzahl verschiedener Stöchiometrien zulassen, was einen sehr begrenzten Bereich verschiedener Ätzwiderstände ergibt.
  • Einige der gegenwärtigen Hartmasken liefern Ätzwiderstände, die mehr als ausreichend sind (z.B. W-Hartmaske für Al-RIE), aber keine Seitenwandpassivierung haben, weil nur wenig Material entfernt wird (was gleichzeitig bedeutet, daß sehr wenig Material wieder abgeschieden werden kann).
  • Es wäre somit vorteilhaft, auf einen Teil des Ätzwiderstands zu verzichten, wenn man dafür eine verbesserte Seitenwandpassivierung erhalten könnte. Genau dies kann mit einer a-C:H-Hartmaske erreicht werden, aber nicht mit anderen Hartmaskenmaterialien. Mit einem ungefähr zwischen den typischen Hartmasken und einem Photoresist eingestellten Ätzwiderstand kann man ohne zusätzliche Ätzgaskomponente sowohl eine ausreichende Maskierung als auch einen Seitenwandschutz erreichen. Folglich kann der Ätzwiderstand der a-C:H-Schicht an die Erfordernisse jeder Ätzanwendung angepaßt werden (d.h. Stapelhöhe, Ätzbedingungen usw.) Der Einsatz von a-C:H-Filmen als Hartmaske führt weiterhin zu größeren Prozeßfenstern und größerer Prozeßstabilität, da es in der Mitte des Prozesses beim Seitenwandpassivierungsmechanismus zu keiner Änderung kommt. Außerdem ist der Zusatz eines Schichtbildungs-gases zum Ätzmittel nicht länger erforderlich, und dies verlängert die Wartungsintervalle und vereinfacht den Prozeß.
  • Folgendes sind weitere Vorteile beim Einsatz von a-C:H-Material:
    • (1) a-C:H kann durch Sauerstoff- oder Wasserstoffplasmen strukturiert werden;
    • (2) Das Material kann als Antireflexbeschichtungen verwendet werden. Als Beispiel im Fall der folgenden zweilagigen Struktur: Während des ersten Teils der Abscheidung werden die Plasmaparameter so gewählt, daß man das Maskierungsmaterial erhält. Wenn die gewünschte Filmdicke abgeschieden worden ist, werden die Plasmaparameter auf einen Satz umgeschaltet, der die Antireflexbeschichtung ergibt; und
    • (3) a-C:H kann unter den gleichen Bedingungen wie der Photoresist trocken abgelöst werden.
  • Bei zum Definieren von mikroskopischen Strukturmerkmalen für integrierte Schaltungen verwendeten Plasmaätzprozessen gibt es Unterschiede bei den chemischen Oberflächenprozessen, die an den Oberflächenteilen in der Sichtlinie des Plasmas und an den Seitenwänden des Mikrostrukturelements, das geätzt wird, auftreten, und diese Unterschiede sind beim Bestimmen des Oberflächenprofils des endgültigen Mikrostrukturelements von kritischer Bedeutung. Falls beispielsweise gerade Seitenwände angestrebt werden, sollte es entweder an der Seitenwand zu keiner Reaktion kommen oder die Abscheidungsrate und die Ätzrate müssen präzise ausgeglichen sein. Da dieser Idealfall jedoch nicht erreicht wird, ergibt sich an den Seitenwänden unter dem Strich entweder eine Ätz- oder eine Abscheidungsreaktion. Die Nettoabscheidungsreaktion an den Seitenwänden bildet Filme, die als Seitenwandpassivierungsschichten bekannt sind. Da diese Seitenwandpassivierungsschichten den seitlichen Angriff von Ätzmittelspezies verlangsamen oder anhalten, ist es wichtig, den Prozeß zu steuern.
  • Allgemein wird der Erfindungsprozeß, a-C:H-Schichten als Hartmaskenmaterialien mit einstellbarem Ätzwiderstand in einem RIE-Prozeß einzusetzen, der bei der Herstellung eines Halbleiterbauelements den Zusatz eines Schichtbildungsgases zu dem Ätzmittel vermeidet, erreicht durch:
    • a) Bereitstellen eines Halbleitersubstrats;
    • b) Ausbilden einer Hartmaske aus einer amorphen Kohlenstoff-Wasserstoff-Schicht (a-C:H-Schicht) durch Plasmaunterstützung über dem Halbleitersubstrat;
    • c) Ausbilden einer Öffnung in der Hartmaskenschicht, um einen freiliegenden Oberflächenteil der Hartmaskenschicht auszubilden; und
    • d) Ätzen des freigelegten Oberflächenteils der Hartmaskenschicht ohne Zusatz eines Schichtbildungsgases unter Verwendung von RIE zum Ausbilden eines Grabenstrukturmerkmals mit ausreichender Maskierung und ausreichendem Seitenwandschutz.

Claims (11)

  1. Verfahren unter Verwendung einer amorphen Kohlenstoff-Wasserstoff-Schicht als Hartmaskenmaterial mit einstellbarem Ätzwiderstand in einem reaktiven Ionenätzprozeß, das bei der Herstellung eines Halbleiterbauelements den Zusatz eines Schichtbildungsgases zu dem Ätzmittel vermeidet, umfassend: a) Bereitstellen eines Halbleitersubstrats; b) Ausbilden einer Hartmaske aus einer amorphen Kohlenstoff-Wasserstoff-Schicht durch Plasmaunterstützung über dem Halbleitersubstrat; c) Ausbilden einer Öffnung in der Hartmaskenschicht, um einen freiliegenden Oberflächenteil der Hartmaskenschicht auszubilden; und d) Ätzen des freigelegten Oberflächenteils der Hartmaskenschicht ohne Zusatz eines Schichtbildungsgases unter Verwendung von RIE zum Ausbilden eines Grabenstrukturmerkmals mit ausreichender Maskierung und ausreichendem Seitenwandschutz.
  2. Verfahren nach Anspruch 1, wobei zwischen den Schritten b) und d) eine über der Hartmaskenschicht liegende Antireflexschicht aus amorphem Kohlenstoff-Wasserstoff ausgebildet wird.
  3. Verfahren nach Anspruch 1 oder 2, wobei in Schritt c) durch die Plasmaunterstützung eine amorphe Kohlenstoff-Wasserstoff-Schicht in einem Plasma aus einer gasförmigen organischen Verbindung, Mischungen aus verschiedenen gasförmigen organischen Verbindungen oder Mischungen aus gasförmigen organischen und anorganischen Verbindungen abgeschieden werden.
  4. Verfahren nach einem der Ansprüche 1 bis 3, wobei der reaktive-Ionenätzprozeß ein reaktiver Aluminiumionenätzprozeß ist.
  5. Verfahren nach einem der Ansprüche 1 bis 4, wobei das Plasma Sauerstoff ist.
  6. Verfahren nach einem der Ansprüche 1 bis 4, wobei das Plas ma Wasserstoff ist.
  7. Verfahren nach einem der Ansprüche 1 bis 4, wobei das Plasma Argon ist.
  8. Verfahren nach Anspruch 7, wobei dem Argon Methan beigemischt ist.
  9. Verfahren nach Anspruch 7 oder 8, wobei dem Argon Acetylen beigemischt ist.
  10. Verfahren nach einem der Ansprüche 1 bis 9, wobei zwischen den Schritten a) und b) eine Isolierschicht ausgebildet wird.
  11. Verfahren nach einem der Ansprüche 1 bis 10, wobei vor Schritt b) über der Isolierschicht in Schritt a) eine Haftschicht, die Silizium umfaßt, ausgebildet wird.
DE10328578A 2002-06-28 2003-06-25 Verfahren zum reaktiven Ionenätzen unter Verwendung einer Hartmaske aus einer amorphen Kohlenstoff-Wasserstoff-Schicht Expired - Fee Related DE10328578B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/184,127 US6835663B2 (en) 2002-06-28 2002-06-28 Hardmask of amorphous carbon-hydrogen (a-C:H) layers with tunable etch resistivity
US10/184,127 2002-06-28

Publications (2)

Publication Number Publication Date
DE10328578A1 true DE10328578A1 (de) 2004-02-26
DE10328578B4 DE10328578B4 (de) 2006-07-06

Family

ID=29779273

Family Applications (1)

Application Number Title Priority Date Filing Date
DE10328578A Expired - Fee Related DE10328578B4 (de) 2002-06-28 2003-06-25 Verfahren zum reaktiven Ionenätzen unter Verwendung einer Hartmaske aus einer amorphen Kohlenstoff-Wasserstoff-Schicht

Country Status (2)

Country Link
US (1) US6835663B2 (de)
DE (1) DE10328578B4 (de)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005091349A1 (en) * 2004-03-12 2005-09-29 Applied Materials, Inc. Method of depositing an amorphous carbon film for metal etch hardmask application
US7064078B2 (en) 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
US7079740B2 (en) 2004-03-12 2006-07-18 Applied Materials, Inc. Use of amorphous carbon film as a hardmask in the fabrication of optical waveguides
US7094442B2 (en) 2004-07-13 2006-08-22 Applied Materials, Inc. Methods for the reduction and elimination of particulate contamination with CVD of amorphous carbon
US7407893B2 (en) 2004-03-05 2008-08-05 Applied Materials, Inc. Liquid precursors for the CVD deposition of amorphous carbon films
US7638440B2 (en) 2004-03-12 2009-12-29 Applied Materials, Inc. Method of depositing an amorphous carbon film for etch hardmask application
US7860597B2 (en) 2001-07-27 2010-12-28 Applied Materials, Inc. Atomic layer deposition apparatus

Families Citing this family (82)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050181604A1 (en) * 2002-07-11 2005-08-18 Hans-Peter Sperlich Method for structuring metal by means of a carbon mask
US7078351B2 (en) * 2003-02-10 2006-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist intensive patterning and processing
JP4128509B2 (ja) * 2003-09-26 2008-07-30 Tdk株式会社 情報記録媒体製造方法
US7098105B2 (en) * 2004-05-26 2006-08-29 Micron Technology, Inc. Methods for forming semiconductor structures
US7151040B2 (en) 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7910288B2 (en) 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US7442976B2 (en) * 2004-09-01 2008-10-28 Micron Technology, Inc. DRAM cells with vertical transistors
US7115525B2 (en) * 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US7655387B2 (en) 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
US7390746B2 (en) * 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7253118B2 (en) 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7611944B2 (en) 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
US7120046B1 (en) 2005-05-13 2006-10-10 Micron Technology, Inc. Memory array with surrounding gate access transistors and capacitors with global and staggered local bit lines
US7371627B1 (en) 2005-05-13 2008-05-13 Micron Technology, Inc. Memory array with ultra-thin etched pillar surround gate access transistors and buried data/bit lines
US7429536B2 (en) * 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7560390B2 (en) * 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7396781B2 (en) * 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
US7541632B2 (en) * 2005-06-14 2009-06-02 Micron Technology, Inc. Relaxed-pitch method of aligning active area to digit line
US7902598B2 (en) * 2005-06-24 2011-03-08 Micron Technology, Inc. Two-sided surround access transistor for a 4.5F2 DRAM cell
US7888721B2 (en) 2005-07-06 2011-02-15 Micron Technology, Inc. Surround gate access transistors with grown ultra-thin bodies
US7768051B2 (en) * 2005-07-25 2010-08-03 Micron Technology, Inc. DRAM including a vertical surround gate transistor
US7413981B2 (en) * 2005-07-29 2008-08-19 Micron Technology, Inc. Pitch doubled circuit layout
US8123968B2 (en) * 2005-08-25 2012-02-28 Round Rock Research, Llc Multiple deposition for integration of spacers in pitch multiplication process
US7816262B2 (en) * 2005-08-30 2010-10-19 Micron Technology, Inc. Method and algorithm for random half pitched interconnect layout with constant spacing
US7696567B2 (en) * 2005-08-31 2010-04-13 Micron Technology, Inc Semiconductor memory device
US7829262B2 (en) * 2005-08-31 2010-11-09 Micron Technology, Inc. Method of forming pitch multipled contacts
US7557032B2 (en) * 2005-09-01 2009-07-07 Micron Technology, Inc. Silicided recessed silicon
US7416943B2 (en) * 2005-09-01 2008-08-26 Micron Technology, Inc. Peripheral gate stacks and recessed array gates
US7393789B2 (en) * 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US7572572B2 (en) 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7687342B2 (en) * 2005-09-01 2010-03-30 Micron Technology, Inc. Method of manufacturing a memory device
US7759197B2 (en) * 2005-09-01 2010-07-20 Micron Technology, Inc. Method of forming isolated features using pitch multiplication
US7776744B2 (en) * 2005-09-01 2010-08-17 Micron Technology, Inc. Pitch multiplication spacers and methods of forming the same
KR100780944B1 (ko) * 2005-10-12 2007-12-03 삼성전자주식회사 탄소함유막 식각 방법 및 이를 이용한 반도체 소자의 제조방법
US7538858B2 (en) * 2006-01-11 2009-05-26 Micron Technology, Inc. Photolithographic systems and methods for producing sub-diffraction-limited features
US7842558B2 (en) 2006-03-02 2010-11-30 Micron Technology, Inc. Masking process for simultaneously patterning separate regions
US7476933B2 (en) 2006-03-02 2009-01-13 Micron Technology, Inc. Vertical gated access transistor
US8177990B2 (en) * 2006-03-31 2012-05-15 Tokyo Electron Limited Etching method, plasma processing system and storage medium
US7902074B2 (en) 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
US8003310B2 (en) * 2006-04-24 2011-08-23 Micron Technology, Inc. Masking techniques and templates for dense semiconductor fabrication
US7488685B2 (en) * 2006-04-25 2009-02-10 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
US7795149B2 (en) * 2006-06-01 2010-09-14 Micron Technology, Inc. Masking techniques and contact imprint reticles for dense semiconductor fabrication
US7723009B2 (en) 2006-06-02 2010-05-25 Micron Technology, Inc. Topography based patterning
US20080124912A1 (en) * 2006-08-01 2008-05-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor methods
US7611980B2 (en) 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
US7517804B2 (en) * 2006-08-31 2009-04-14 Micron Technologies, Inc. Selective etch chemistries for forming high aspect ratio features and associated structures
US7666578B2 (en) * 2006-09-14 2010-02-23 Micron Technology, Inc. Efficient pitch multiplication process
US8129289B2 (en) * 2006-10-05 2012-03-06 Micron Technology, Inc. Method to deposit conformal low temperature SiO2
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US20090029067A1 (en) * 2007-06-28 2009-01-29 Sciamanna Steven F Method for producing amorphous carbon coatings on external surfaces using diamondoid precursors
US8563229B2 (en) 2007-07-31 2013-10-22 Micron Technology, Inc. Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
US8962101B2 (en) * 2007-08-31 2015-02-24 Novellus Systems, Inc. Methods and apparatus for plasma-based deposition
US7737039B2 (en) 2007-11-01 2010-06-15 Micron Technology, Inc. Spacer process for on pitch contacts and related structures
US7659208B2 (en) 2007-12-06 2010-02-09 Micron Technology, Inc Method for forming high density patterns
US7790531B2 (en) 2007-12-18 2010-09-07 Micron Technology, Inc. Methods for isolating portions of a loop of pitch-multiplied material and related structures
US8133819B2 (en) * 2008-02-21 2012-03-13 Applied Materials, Inc. Plasma etching carbonaceous layers with sulfur-based etchants
US8030218B2 (en) 2008-03-21 2011-10-04 Micron Technology, Inc. Method for selectively modifying spacing between pitch multiplied structures
US8435608B1 (en) 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
US8076208B2 (en) 2008-07-03 2011-12-13 Micron Technology, Inc. Method for forming transistor with high breakdown voltage using pitch multiplication technique
US8101497B2 (en) 2008-09-11 2012-01-24 Micron Technology, Inc. Self-aligned trench formation
US8492282B2 (en) 2008-11-24 2013-07-23 Micron Technology, Inc. Methods of forming a masking pattern for integrated circuits
US9013831B2 (en) 2009-06-22 2015-04-21 Seagate Technology Llc Write pole fabricated using a carbon hard mask and method of making
US8191238B2 (en) * 2009-06-22 2012-06-05 Seagate Technology Llc Method for forming a magnetic writer
KR20120092545A (ko) 2009-06-26 2012-08-21 도쿄엘렉트론가부시키가이샤 비정질 탄소의 도핑에 의해 불화탄소(cfx) 막의 접착성을 향상시키는 방법
DE102010002687C5 (de) * 2010-03-09 2015-09-10 Federal-Mogul Burscheid Gmbh Verfahren zur Beschichtung zumindest der Innenfläche eines Kolbenrings sowie Kolbenring
US8884378B2 (en) 2010-11-03 2014-11-11 Infineon Technologies Ag Semiconductor device and a method for manufacturing a semiconductor device
SG195494A1 (en) 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US9320387B2 (en) 2013-09-30 2016-04-26 Lam Research Corporation Sulfur doped carbon hard masks
US9589799B2 (en) 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power
KR20160039739A (ko) 2014-10-01 2016-04-12 삼성전자주식회사 하드 마스크막의 형성 방법 및 이를 이용한 반도체 소자의 제조 방법
US9748093B2 (en) 2015-03-18 2017-08-29 Applied Materials, Inc. Pulsed nitride encapsulation
US9646818B2 (en) 2015-03-23 2017-05-09 Applied Materials, Inc. Method of forming planar carbon layer by applying plasma power to a combination of hydrocarbon precursor and hydrogen-containing precursor
US10410883B2 (en) * 2016-06-01 2019-09-10 Corning Incorporated Articles and methods of forming vias in substrates
US10794679B2 (en) 2016-06-29 2020-10-06 Corning Incorporated Method and system for measuring geometric parameters of through holes
US10580725B2 (en) 2017-05-25 2020-03-03 Corning Incorporated Articles having vias with geometry attributes and methods for fabricating the same
US11078112B2 (en) 2017-05-25 2021-08-03 Corning Incorporated Silica-containing substrates with vias having an axially variable sidewall taper and methods for forming the same
US11554984B2 (en) 2018-02-22 2023-01-17 Corning Incorporated Alkali-free borosilicate glasses with low post-HF etch roughness
WO2020243342A1 (en) 2019-05-29 2020-12-03 Lam Research Corporation High selectivity, low stress, and low hydrogen diamond-like carbon hardmasks by high power pulsed low frequency rf
US11664214B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density, nitrogen-doped carbon films for hardmasks and other patterning applications
US11664226B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density carbon films for hardmasks and other patterning applications

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3220992B2 (ja) 1991-01-22 2001-10-22 ソニー株式会社 ドライエッチング方法
WO1992017900A1 (en) 1991-04-03 1992-10-15 Eastman Kodak Company HIGH DURABILITY MASK FOR DRY ETCHING OF GaAs
DE59408155D1 (de) 1993-03-09 1999-06-02 Siemens Ag Amorpher wasserstoffhaltiger Kohlenstoff
JP3441011B2 (ja) 1994-03-18 2003-08-25 富士通株式会社 アモルファスカーボンを用いた半導体装置製造方法
US6420095B1 (en) * 1994-03-18 2002-07-16 Fujitsu Limited Manufacture of semiconductor device using A-C anti-reflection coating
TW366367B (en) 1995-01-26 1999-08-11 Ibm Sputter deposition of hydrogenated amorphous carbon film
JP3400918B2 (ja) 1996-11-14 2003-04-28 東京エレクトロン株式会社 半導体装置の製造方法
US5759920A (en) 1996-11-15 1998-06-02 International Business Machines Corporation Process for making doped polysilicon layers on sidewalls
US6080445A (en) 1997-02-20 2000-06-27 Citizen Watch Co., Ltd. Method of forming films over insulating material
US6316167B1 (en) 2000-01-10 2001-11-13 International Business Machines Corporation Tunabale vapor deposited materials as antireflective coatings, hardmasks and as combined antireflective coating/hardmasks and methods of fabrication thereof and application thereof
US6392244B1 (en) 1998-09-25 2002-05-21 Seagate Technology Llc Ion beam deposition of diamond-like carbon overcoats by hydrocarbon source gas pulsing
US6287951B1 (en) 1998-12-07 2001-09-11 Motorola Inc. Process for forming a combination hardmask and antireflective layer

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7860597B2 (en) 2001-07-27 2010-12-28 Applied Materials, Inc. Atomic layer deposition apparatus
US8027746B2 (en) 2001-07-27 2011-09-27 Applied Materials, Inc. Atomic layer deposition apparatus
US8626330B2 (en) 2001-07-27 2014-01-07 Applied Materials, Inc. Atomic layer deposition apparatus
US9031685B2 (en) 2001-07-27 2015-05-12 Applied Materials, Inc. Atomic layer deposition apparatus
US7064078B2 (en) 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
US7718081B2 (en) 2004-01-30 2010-05-18 Applied Materials, Inc. Techniques for the use of amorphous carbon (APF) for various etch and litho integration schemes
US7407893B2 (en) 2004-03-05 2008-08-05 Applied Materials, Inc. Liquid precursors for the CVD deposition of amorphous carbon films
WO2005091349A1 (en) * 2004-03-12 2005-09-29 Applied Materials, Inc. Method of depositing an amorphous carbon film for metal etch hardmask application
US7079740B2 (en) 2004-03-12 2006-07-18 Applied Materials, Inc. Use of amorphous carbon film as a hardmask in the fabrication of optical waveguides
US7638440B2 (en) 2004-03-12 2009-12-29 Applied Materials, Inc. Method of depositing an amorphous carbon film for etch hardmask application
US7094442B2 (en) 2004-07-13 2006-08-22 Applied Materials, Inc. Methods for the reduction and elimination of particulate contamination with CVD of amorphous carbon

Also Published As

Publication number Publication date
US6835663B2 (en) 2004-12-28
US20040000534A1 (en) 2004-01-01
DE10328578B4 (de) 2006-07-06

Similar Documents

Publication Publication Date Title
DE10328578B4 (de) Verfahren zum reaktiven Ionenätzen unter Verwendung einer Hartmaske aus einer amorphen Kohlenstoff-Wasserstoff-Schicht
DE69736969T2 (de) Verfahren zur Behandlung der Oberfläche von halbleitenden Substraten
DE4317623C2 (de) Verfahren und Vorrichtung zum anisotropen Plasmaätzen von Substraten und dessen Verwendung
DE69935100T2 (de) Verfahren zur Ätzung einer Metallisierung mittels einer harten Maske
DE2754396C2 (de)
DE69724192T2 (de) Verfahren zum Ätzen von Polyzidstrukturen
DE3103177C2 (de)
DE3140890C2 (de) Photolithographisches Verfahren zum Herstellen einer integrierten Schaltungsvorrichtung
EP0094528A2 (de) Verfahren zum Herstellen von Strukturen von aus Metallsilizid und Polysilizium bestehenden Doppelschichten auf integrierte Halbleiterschaltungen enthaltenden Substraten durch reaktives Ionenätzen
EP1444724A2 (de) Photolithographisches strukturierungsverfahren mit einer durch ein plasmaverfahren abgeschiedenen kohlenstoff-hartmaskenschicht mit diamantartiger härte
DE2930293A1 (de) Aetzverfahren bei der herstellung eines gegenstandes
WO1994014187A1 (de) Verfahren zum anisotropen ätzen von silicium
DE10154346C2 (de) Ausffüllen von Substratvertiefungen mit siliziumoxidhaltigem Material durch eine HDP-Gasphasenabscheidung unter Beteiligung von H¶2¶O¶2¶ oder H¶2¶O als Reaktionsgas
EP1532071B1 (de) Schichtsystem mit einer siliziumschicht und einer passivierschicht, verfahren zur erzeugung einer passivierschicht auf einer siliziumschicht und deren verwendung
EP0126969B1 (de) Verfahren zum Herstellen von Strukturen von aus Metallsiliziden bzw. Silizid-Polysilizium bestehenden Schichten für integrierte Halbleiterschaltungen durch reaktives Ionenätzen
DE112007001243B4 (de) Verfahren zum Trockenätzen einer Zwischenisolierschicht
DE69737237T2 (de) Verfahren zur trockenätzung
DE10037957C1 (de) Verfahren zum anisotropen Trockenätzen organischer Antireflexionsschichten
DE10330795B4 (de) Kohlenstoff-Hartmaske mit einer Stickstoff-dotierten Kohlenstoffschicht als haftfähiger Schicht zur Haftung auf Metall oder metallhaltigen anorganischen Materialien und Verfahren zu deren Herstellung
DE102009046259A1 (de) Stärkere Haftung eines PECVD-Kohlenstoffs auf dielektrischen Materialien durch Vorsehen einer Haftungsgrenzfläche
EP1614145A2 (de) Siliziumsubstrat mit positiven tzprofilen mit definiertem b schungswinkel und verfahren zur herstellung
DE3714144C2 (de) Verfahren zum chemischen Trockenätzen
DE10338422B4 (de) Selektiver Plasmaätzprozess zur Aluminiumoxid-Strukturierung und dessen Verwendung
DE10326734A1 (de) Diamantfräswerkzeug und dessen Verwendung
WO2009059868A2 (de) Verfahren zur herstellung von mikromechanischen strukturen mit reliefartigem seitenwandverlauf oder einstellbarem neigungswinkel

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8364 No opposition during term of opposition
8327 Change in the person/name/address of the patent owner

Owner name: QIMONDA AG, 81739 MUENCHEN, DE

R081 Change of applicant/patentee

Owner name: POLARIS INNOVATIONS LTD., IE

Free format text: FORMER OWNER: QIMONDA AG, 81739 MUENCHEN, DE

Owner name: INFINEON TECHNOLOGIES AG, DE

Free format text: FORMER OWNER: QIMONDA AG, 81739 MUENCHEN, DE

R081 Change of applicant/patentee

Owner name: POLARIS INNOVATIONS LTD., IE

Free format text: FORMER OWNER: INFINEON TECHNOLOGIES AG, 85579 NEUBIBERG, DE

R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee