DE10347428A1 - DRAM hoher Dichte mit reduziertem Peripherievorrichtungsbereich und Herstellungsverfahren - Google Patents

DRAM hoher Dichte mit reduziertem Peripherievorrichtungsbereich und Herstellungsverfahren Download PDF

Info

Publication number
DE10347428A1
DE10347428A1 DE10347428A DE10347428A DE10347428A1 DE 10347428 A1 DE10347428 A1 DE 10347428A1 DE 10347428 A DE10347428 A DE 10347428A DE 10347428 A DE10347428 A DE 10347428A DE 10347428 A1 DE10347428 A1 DE 10347428A1
Authority
DE
Germany
Prior art keywords
gate
layer
contact
dielectric layer
array
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE10347428A
Other languages
English (en)
Other versions
DE10347428B4 (de
Inventor
Michael Maldei
Guenter Gerstmeier
Steven M. Baker
Jon S. Berry Ii
Jinhwan Lee
Brian Cousineau
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Polaris Innovations Ltd
Original Assignee
Infineon Technologies AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Infineon Technologies AG filed Critical Infineon Technologies AG
Publication of DE10347428A1 publication Critical patent/DE10347428A1/de
Application granted granted Critical
Publication of DE10347428B4 publication Critical patent/DE10347428B4/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/05Making the transistor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/09Manufacture or treatment with simultaneous manufacture of the peripheral circuit region and memory cells

Abstract

Es wird eine DRAM-Struktur mit einer Entfernung von weniger als 0,14 mum zwischen den Kontakten zu Silizium und dem Gateleiter offenbart. Zusätzlich wird ein Verfahren zum Bilden der Struktur offenbart, das ein gleichzeitiges Bilden der DRAM-Arraykontakte und der Kontakte zu Silizium umfaßt.

Description

  • Diese Erfindung bezieht sich auf DRAM-Strukturen (DRAM = dynamic random access memory) = dynamischer Direktzugriffsspeicher) und spezieller auf DRAMs hoher Dichte mit reduziertem Peripherievorrichtungsbereich und ein Verfahren zur Herstellung derselben.
  • Derzeit weist ein bekannter DRAM ein Substrat mit einem Array von Speicherzellen, die Transistoren umfassen, auf, die in Zeilen und Spalten angeordnet und durch Wortleitungen und Bitleitungen verbunden sind, und einen Peripherievorrichtungsbereich mit einem Unterstützungsschaltungsaufbau, der Transistoren umfaßt, zum Herein- und Herauslesen von binären Ziffern (Bits), die in Speicherzellen gespeichert sind. Typischerweise sind alle Arraytransistoren identisch und sehr dicht in das Array gepackt, während sich die Peripherietransistoren in ihrer Größe unterscheiden und weiter voneinander beabstandet sind. Der anhaltende Bedarf, elektronische Vorrichtungen zu verkleinern, hat den Entwurf von DRAMs mit einer größeren Dichte und kleineren Größe vereinfacht. Die aktuellen Fertigungsverfahren schränken jedoch die Größe des Arrays und der Unterstützungsschaltungsaufbaukomponenten ein.
  • 1 und 2 stellen einen bekannten Peripherie-MOS-Transistor (MOS = metal oxide semiconductor = Metalloxidhalbleiter) 1 in einer Grundregel von 0,14 μm dar. Der MOS-Transistor ist auf einem Siliziumsubstrat 3 gebildet und weist eine dünne Gateoxidschicht auf dem Substrat und das Gate 5 auf. Typischerweise ist die Gateoxidschicht aus Siliziumoxid und weist eine Dicke von etwa 50 A auf. Der MOS-Transistor weist ferner einen Gateleiter 7, einen Gateabdeckungsisolator 9, zwei Abstandshalter 11, eine dielektrische Schicht 13 und eine Schicht aus Siliziumdi oxid 15 auf. Peripherie-CD-Öffnungen (CD = contact-to-diffusion = Kontakt-zu-Diffusion) oder CD-Kontakte 17, die eine Source und einen Drain für den MOS-Transistor 1 bilden, sind voneinander beabstandet und auf jeder Seite des Gateleiters angeordnet. Die Begriffe Drain und Source werden hierin austauschbar verwendet, um sich auf die Diffusionsbereiche zu beziehen. Die CD-Kontakte sind separat für die Source und den Drain durch leitfähige Metallisierungsleitungen 19 miteinander verbunden. Neben den CD-Kontakten bildet eine CG-Öffnung (CG = contact-to-gate = Kontakt-zu-Gate) oder ein CG-Kontakt 21 einen Kontakt mit dem Gateleiter 7.
  • Wie in 2 gezeigt ist, beträgt die Trennung 23 zwischen den CD-Kontakten 17 und dem Gateleiter 7 0,14 μm, und die Trennung 25 zwischen den Metallisierungsleitungen beträgt 0,38 μm. Die Entfernung zwischen den Metallisierungsleitungen, die die Breite des Gateleiters und die Breite der CD-Kontakte umfaßt, bestimmt die Gesamtbreite des Transistors. Die Gesamtbreite 27 des bekannten MOS-Transistors in 2 beträgt 0,94 μm.
  • Bei den aktuellen Fertigungsprozessen für DRAMs werden die CD-Kontakte 17 und die CG-Kontakte 21 auf der gleichen Photoresistmaske strukturiert und dann gleichzeitig geätzt. Ein nichtselektiver Ätzprozeß wird verwendet, um die CD- und CG-Kontakte zu ätzen, weil die Kontakte durch eine dicke Schicht eines Gateabdeckungsisolators 29 geätzt werden müssen, der üblicherweise Siliziumnitrid ist. Die Beabstandung zwischen dem Gateleiter 7 und den CD-Kontakten 17 muß zumindest 0,14 μm betragen, weil ein nichtselektiver Ätzprozeß verwendet wird. Wenn die CD-Kontakte 17 näher als 0,14 μm am Gateleiter 7 sind, kann der nichtselektive Ätzprozeß in den Gateleiter ätzen, wenn eine Maskenüberlagerungsverschiebung vorliegt und in dem Weg einen Kurzschluß bewirkt. Weil eine minimale Entfernung von 0,14 μm eingehalten werden muß, wird der Anzahl von MOS-Transistoren, die in einen gegebenen Bereich auf einen Siliziumwafer passen, ein Limit gesetzt. Daher wäre es von Vorteil, die Größe oder Breite des MOS-Transistors zu reduzieren, um einer größeren Anzahl von MOS-Transistoren zu ermöglichen, auf der Peripherie der DRAM-Vorrichtung plaziert zu werden.
  • Es ist eine Aufgabe der vorliegenden Erfindung, einen DRAM hoher Dichte mit einem reduzierten Peripherievorrichtungsbereich und ein Herstellungsverfahren für denselben zu schaffen.
  • Diese Aufgabe wird durch ein Verfahren gemäß Anspruch 1 sowie eine Halbleitervorrichtung gemäß Anspruch 16 gelöst.
  • Gemäß einem Aspekt der Erfindung wird ein Verfahren zum Bilden einer Halbleiterstruktur geschaffen. Das Verfahren umfaßt folgende Schritte: Bereitstellen eines Substrats, Bilden einer Gateoxidschicht auf dem Substrat, Aufbringen einer Gateleiterschicht über dem Gateoxid; Aufbringen eines Gateabdeckungsisolators über dem Gateleiter; Ätzen eines Gatestapels mit Seitenwänden von dem Gateleiter und dem Gateabdeckungsisolator, Bilden von Abstandshalteren auf den Gatestapelseitenwänden; Implantieren von zumindest einer Source und einem Drain; Aufbringen einer ersten Isolierschicht über dem Substrat; Aufbringen einer zweiten dielektrischen Schicht über dem Substrat, Bilden von zumindest einem Randlos-Arraykontakt (borderless array contact) (CB) und zumindest einer Peripherie-Kontakt-zu-Diffusion gleichzeitig mit einer Verwendung eines selektiven Ätzprozesses; und Ätzen von zumindest einem Gatekontakt.
  • Gemäß einem weiteren Aspekt der vorliegenden Erfindung werden Metallisierungsgräben nach dem Ätzen des Gatekontakts gebildet. Anschließend werden die Metallisierungsgräben, der Randlos-Arraykontakt, die periphere Kontakt-zu-Diffusion und der Gatekontakt mit einem leitfähigen Material gefüllt, um eine Halbleitervorrichtung zu bilden.
  • Bei noch einem weiteren Aspekt der vorliegenden Erfindung wird eine Halbleiterstruktur offenbart. Die Struktur weist ein Substrat mit einem Arraybereich und einem Unterstützungsbereich auf. Die Gatestapel, die einen Gateleiter, eine Gateabdeckung und Seitenwandabstandshalter aufweisen, sind auf dem Substrat positioniert. Eine erste Schicht des dielektrischen Materials bedeckt die Gatestapel und das Substrat, und eine zweite Schicht des dielektrischen Materials bedeckt die erste dielektrische Schicht. Die Peripherie-Kontakte-zu-Diffusion erstreckt sich von der zweiten dielektrischen Schicht zum Substrat und die Randlos-Arraykontakte erstrecken sich von der Isolierschicht zum Gateleiter. Die Peripherie-Kontakte-zu-Diffusion und die Randlos-Arraykontakte werden unter Verwendung der gleichen Photoresistmaske gebildet und während eines Prozesses geätzt, der gegenüber der Gateabdeckung und den Abstandshaltern nichtselektiv ist.
  • Ein Vorteil der vorliegenden Erfindung ist, daß der Peripherie-Transistorbereich, der für ein Maskenlayout notwendig ist, verkleinert wird.
  • Ein weiterer Vorteil der vorliegenden Erfindung ist, daß die Gesamtbreite des Peripherie-Transistors beträchtlich reduziert wird, so daß der Transistor weniger Bereich auf dem Siliziumwafer besetzt. Infolgedessen können mehr DRAMs auf einen gegebenen Waferbereich gedruckt werden.
  • Ein weiterer Vorteil der vorliegenden Erfindung ist, daß die Gesamtbreite des Peripherie-Transistors reduziert wird, ohne zusätzliche Fertigungsschritte hinzuzufügen.
  • Zusätzliche Aufgaben und Vorteile der Erfindung werden anhand der nachstehenden Beschreibung und den angehängten Ansprüchen in Verbindung mit den beigefügten Zeichnungen offensichtlich.
  • Bevorzugte Ausführungsbeispiele der vorliegenden Erfindung werden nachfolgend Bezug nehmend auf die beiliegenden Zeichnungen näher erläutert. Es zeigen:
  • 1 einen Querschnitt eines bekannten MOS-Transistors, der in dem Peripherie-Schaltungsaufbau für eine DRRM-Vorrichtung verwendet wird;
  • 2 eine Draufsicht eines bekannten MOS-Transistors, der bei dem Peripherie-Schaltungsaufbau für eine DRAM-Vorrichtung verwendet wird;
  • 3 bis 12 Querschnitte eines Abschnitts eines Substrats, bei verschiedenen Stufen der Herstellung, auf den die MOS-Transistoren, die für DRAM-Speicherarrayzellen geeignet sind, und ein Peripherie-Schaltungsaufbau gebildet sind;
  • 13 eine Draufsicht eines Peripherie-MOS-Transistors der vorliegenden Erfindung.
  • Es wird darauf hingewiesen, daß die Elemente, die in den Figuren gezeigt sind, der Einfachheit und Deutlichkeit der Darstellung halber nicht notwendigerweise maßstabsgerecht zu verstehen sind. Die Abmessungen von einigen der Elemente sind beispielsweise relativ zueinander aus Gründen der Deutlichkeit übertrieben dargestellt.
  • Wie bezüglich der Herstellung von integrierten Siliziumschaltungen bekannt ist, ist es üblich, die Verarbeitung auf einem relativ großen Siliziumwafer vorzunehmen, nachdem der Wafer in einzelne Siliziumchips vereinzelt worden ist. Der Einfachheit halber erfolgt die Beschreibung des Verfahrens der vorliegenden Erfindung vorwiegend in bezug auf ein einzelnes Chipelement, das in einem einzelnen DRAM gebildet ist. Es wird jedoch darauf hingewiesen, daß das Verfahren auf eine Massenproduktion von großen Siliziumwafern gleichermaßen anwendbar ist.
  • 3 zeigt einen Abschnitt eines Substrats 31, der einen Arrayabschnitt aufweist, wo N-MOSFETs für die Speicherzellen eines DRAM gebildet sind, und einen Peripherieabschnitt, wo N-MOSFETs und P-MOSFETs für den Unterstützungsschaltungsaufbau des DRAM gebildet sind. Typischerweise ist der Unterstützungsschaltungsaufbau in den Bereichen konzentriert, die an den Bereich angrenzen, wo die Speicherzellen konzentriert sind. Das Substrat 31 kann ein monokristallines Silizium oder ein beliebiges anderes geeignetes Halbleitersubstratmaterial sein.
  • Anfänglich wird eine Maskierungsschicht aus einem geeigneten Photoresist (nicht gezeigt) auf das Substrat aufgebracht und strukturiert. Das Substrat wird einer Ionenimplantation unterzogen, um Transistorwannen zu bilden. Die Maskierungsschicht wird abgezogen und eine Gateoxidschicht 37 über der gesamten Oberfläche des kristallinen Substrats 31 aufgewachsen, wie in 3 gezeigt ist. Anschließend wird dann ein Gateleiter 39 auf die Gateoxidschicht 37 aufgebracht, wie in 4 gezeigt ist. Der Gateleiter 39 kann ein undotiertes Polysilizium, ein dotiertes Polysilizium und/oder Polycid sein, obwohl andere geeignete Leiter einschließlich Metall ebenfalls verwendet werden können. Bei einem Ausführungsbeispiel wird der Gateleiter 39 durch Aufbringen einer Bodenschicht aus Polysilizium auf die Schicht des Gateoxids 37 und dann Aufbringen einer Schicht aus Wolfram-Silizid über der Polysiliziumschicht entweder durch Sputtern oder CVD (CVD = chemical vapor deposition = chemische Dampfaufbringung) gebildet. Die Bodenschicht des Polysiliziums verbessert die Haftung des Wolfram-Silizids an der Gateoxidschicht. Die Polysiliziumschicht kann dotiert werden, um ihre Leitfähigkeit zu verbessern. Abhängig von der gewünschten Schwellenspannung für die Vorrichtung kann die Dotierungskonzentration jedoch im Hinblick auf die Tiefe der Polysilizium schicht einheitlich sein oder nicht. Eine Gateabdeckungsisolatorschicht 41 wird dann über der Gateleiterschicht 39 aufgebracht, wie in 5 gezeigt ist. Die Gateabdeckungsisolatorschicht kann Siliziumnitrid, Siliziumdioxid, dotiertes Siliziumdioxid oder ein beliebiges anderes geeignetes Material sein.
  • Anschließend wird eine Schicht aus einem geeigneten Photoresist (nicht gezeigt) über dem Gateabdeckungsisolator 41 aufgebracht und strukturiert, um die Gatestapelmaske zu bilden. Anschließend werden die Gatestapel 43, die einen Gateleiter 39 und den Gateabdeckungsisolator 41 aufweisen, geätzt. Bei einem Ausführungsbeispiel werden die Gatestapel 41 unter Verwendung eines Standard-RIE-Prozesses (RIE = reactive ion etching = reaktives Ionenätzen) unter Verwendung von Standardchemikalien einschließlich Kohlenstoffmonoxid, Stickstoff, Sauerstoff, Argon, C9F8, CH2F2 und CHF3, wobei diese nicht auf dieselben beschränkt sind, geätzt. Andere geeignete direktionale Ätzprozesse, die in der Technik der Halbleiterverarbeitung hinreichend bekannt sind, können jedoch ebenfalls verwendet werden.
  • Nachdem die Gatestapel 41 geätzt worden sind, wird die Maske abgezogen, und die Gateabstandshalter 47 werden auf den Seitenwänden 45 der Gatestapel erzeugt. Eine einheitliche Schicht aus Isoliermaterial wird durch CVD oder durch ein beliebiges anderes geeignetes Verfahren auf die Gatestapel 43 und die Gateoxidschicht 37 aufgebracht. In anderen Worten ist die vertikale Dicke des Isoliermaterials auf der Gateoxidschicht 37 mit der horizontalen Dicke der Isolierschicht auf den Seiten der Gatestapel 43 identisch. Die vertikale Dicke der Isolierschicht auf den Seiten der Gatestapel 43 ist jedoch allgemein mit der Höhe der Gatestapel 43 identisch. Infolgedessen wird das Substrat einem vertikalen direktionalen Ätzprozeß unterzogen, wobei die Oberseite des Gatestapels und des Substrats zuerst weggeätzt wird, wodurch ein Teil des Isoliermaterials auf den Seitenwänden 45 der Gatestapel hinterbleibt, die die Gateabstandshalter 47 sind. Bei einem Ausführungsbeispiel sind die Abstandshalter aus Siliziumnitrid gebildet und werden unter Verwendung eines anisotropen Prozesses, wie z. B. einem Standard-RIE-Prozeß, geätzt. Andere Isoliermaterialien und Ätzprozesse, die in der Technik hinreichend bekannt sind, können jedoch ebenfalls verwendet werden.
  • Sobald die Abstandshalter gebildet worden sind, wird eine Source-/Drain-Implantatmaske 49 aufgebracht und strukturiert, und die Source 51 und der Drain 53 werden durch Ionenimplantation gebildet, wie durch die Pfeile in 8 gezeigt ist. Die verbleibende Source-/Drain-Maske wird abgezogen, und eine erste dielektrische Schicht 45 wird auf das Substrat aufgebracht. Die erste dielektrische Schicht umfaßt ein BPSG (BPSG = borophosphosilicate insulating glass = Bor-Phosphorsilikatglas), ein PSG (PSG = phosphosilicate insulating glass = Phosphorsilikatglas), ein FSG, F-BSG und ASG, ist jedoch nicht auf dieselben beschränkt. Bei einem Ausführungsbeispiel ist die erste Isolierschicht ein BPSG, das durch viele verschiedene Verfahren einschließlich CVD, Niederdruck-CVD oder PECVD (PECVD = plasma enhanced chemical vapor deposition = plasmaunterstützte chemische Dampfaufbringung), die jedoch nicht auf dieselben beschränkt sind, aufgebracht werden kann. Ein thermischer Rückfluß wird verwendet, um die Zwischenräume zu befüllen, so daß eine glatte konturierte Oberfläche über dem Substrat gebildet wird. Um den Rückfluß zu verbessern, kann das BPSG eine relativ hohe Menge an Bor oder Phosphor aufweisen, um die Rückflußtemperatur von Vorrichtungen einer kleinen Geometrie zu realisieren. Die BPSG-Schicht entspricht immer noch in etwa den darunterliegenden Vorrichtungsmerkmalen auf dem Substrat und ist daher nicht planar. Die Oberfläche 57 des BPSG-Glases wird durch CMP (CMP = chemical mechanical polishing = chemisch-mechanisches Polieren) planarisiert.
  • Anschließend wird eine zweite dielektrische Schicht 59 auf die erste dielektrische Schicht aufgebracht. Diese die lektrische Schicht kann TEOS (TEOS = tetraethylorthosilicate = Tetraethyl-Orthosilikat), Siliziumdioxid oder ein anderes geeignetes Isoliermaterial sein, wie in 9 gezeigt ist. Eine DRAM-Arraykontaktmaske (nicht gezeigt) wird auf die TEOS-Schicht aufgebracht und durch Standardlithographie strukturiert. Die DRAM-Arraykontakte 61, die Randlos-Kontakte sind, und die Peripherie-CD-Kontakte 63 werden dann unter Verwendung eines Ätzprozesses, der gegenüber dem Material des Gateabdeckungsisolators 41 und den Abstandshaltern 47 selektiv ist, geätzt, wie in 10 gezeigt ist. Die DRAM-Arraygatekontakte 61 und die Kontakte der Peripherie-CD 63 können gleichzeitig geätzt werden, weil sie durch ähnliche Materialien geätzt werden.
  • Bei einem Ausführungsbeispiel sind der Gateabdeckungsisolator 41 und die Abstandshalter 47 Siliziumnitrid, und der Ätzprozeß ist ein RIE-Prozeß, der bei Siliziumnitrid selektiv erfolgt. Wenn somit die Gatearraykontakte und die CD-Kontakte geätzt werden, ätzt der RIE-Prozeß nicht durch die Siliziumnitrid-Gateabstandshalter 47 und den Gateleiter 7 und bewirkt keinen Kurzschluß im Gateweg, wenn die Maske fehlausgerichtet ist. Selbst in einem Fall einer extremen Fehlausrichtung des DRAM-Arrays werden die CB-Kontaktmaske und die CD-Kontakte nicht in den Gateabdeckungsisolator und Gateabstandshalter geätzt. Infolgedessen können die CD-Kontakte näher am Gateleiter plaziert werden, ohne ein Herausätzen des Gateleiters zu riskieren, wodurch die Gesamtbreite des MOS-Transistors reduziert wird. Anschließend wird die DRAM-Arraykontaktmaske abgezogen und eine DRAM-Peripheriekontaktmaske (nicht gezeigt) wird auf die Struktur aufgebracht. Die Maske wird unter Verwendung einer Standardlithographie strukturiert, und die Siliziumoxidschicht und die Gateabdeckungsisolatorschicht werden unter Verwendung eines nichtselektiven Ätzprozesses geätzt, um die CG-Kontakte zu bilden, wie in 11 gezeigt ist. Bei einem Ausführungsbeispiel wird ein nichtselektiver Standard-RIE-Ätzprozeß verwendet, um die CG-Kontakte zu ätzen.
  • Die DRAM-Peripheriekontaktmaske wird dann abgezogen, und eine Leitungsmaske (nicht gezeigt) für die erste Metallisierungsschicht wird auf die Struktur aufgebracht. Die Maske wird unter Verwendung von Standardlithographie strukturiert, und die ersten Metallisierungsgräben werden in die Siliziumoxidschicht geätzt. Bei einem Ausführungsbeispiel ist ein RIE-Prozeß verwendet worden, um die ersten Metallisierungsgräben zu ätzen. Die verbleibende Maske wird dann abgezogen, und ein Leiter 67 wird auf die Struktur aufgebracht, wobei die Array-CB-Kontakte 61, die CD-Kontakte 63 und die CG-Kontakte 65 und die ersten Metallisierungsgräben 69 befüllt werden, wie in 12 gezeigt ist. Die ersten Metallisierungsgräben werden dann mit der Siliziumoxidoberfläche durch einen CMP-Prozeß planarisiert. Der Leiter kann aus Wolfram, Aluminium, Aluminiumkupferlegierung, Kupfer, Tantalum oder einem anderen geeigneten leitfähigen Material sein.
  • Wie vorstehend erörtert, ermöglicht ein Bilden der Peripherie-CD-Kontakte auf der gleichen Maske wie die Array-CB-Kontakte unter Verwendung eines selektiven Ätzprozesses die Plazierung der CD-Kontakte näher am Gate. 13 zeigt eine Draufsicht eines Peripherie-MOS-Transistors der vorliegenden Erfindung, bei der die CD-Kontakte 63 näher an den Gateleiter 39 bewegt worden sind. Die Entfernung 73 zwischen den CD-Kontakten 63 und dem Gateleiter 39 ist von 0,14 μm auf 0,075 μm reduziert worden, und die Entfernung 75 zwischen den Metallisierungsleitungen 71 ist von 0,38 μm auf 0,25 μm reduziert worden. Infolgedessen ist die Gesamtbreite des Transistors 77 durch 0,13 μm von 0,94 μm auf 0,81 μm reduziert worden, was eine Abnahme der Größe des Transistors von etwa 14 % darstellt. Obgleich die vorliegende Erfindung bezüglich DRAM-Vorrichtungen mit Transistoren bei einer Grundregel von 0,14 μm erörtert worden ist, werden Fachleute darauf hingewiesen, daß die vorliegende Erfindung auf DRAM-Vorrichtungen und andere Halbleitervorrichtungen anwendbar ist, die Transistoren mit kleineren Grundmaßen verwenden.
  • Ferner wird darauf hingewiesen, daß verschiedene Modifizierungen in dem beschriebenen Verfahren, das ein Ausführungsbeispiel der Erfindung darstellt, entwickelt werden können, ohne vom Schutzbereich der Erfindung abzuweichen. Speziell können in den speziellen Metallen, die beschrieben wurden, oder in Bezug auf die verwendeten Dielektrika Veränderungen vorgenommen werden. Desgleichen umfassen weitere mögliche Veränderungen bei einigen der Schritte das Ersetzen der Dampfdiffusion mit einer Ionenimplantation.

Claims (20)

  1. Verfahren zum Bilden einer Halbleiterstruktur mit einem Arrayabschnitt und einem Peripherieunterstützungsabschnitt, wobei das Verfahren folgende Schritte aufweist: Bereitstellen eines Siliziumsubstrats (31); Oxidieren des Substrats, um eine Gateoxidschicht (37) zu bilden; Aufbringen einer Gateleiterschicht (39) über der Gateoxidschicht (37); Aufbringen eines Gateabdeckungsisolators (41) über der Gateoxidschicht (37); Ätzen von zumindest einem Gatestapel (43) von dem Gateleiter (39) und dem Gateabdeckungsisolator (41), wobei der Gatestapel (43) eine Oberseite und Seitenwände (45) aufweist; Aufbringen einer Gateabstandshalterschicht und Ätzen von Gateabstandshalteren (47) entlang der Seitenwände (45) des Gatestapels (43); Implantieren von zumindest einer Source (51) und einem Drain (53) durch die Gateoxidschicht (37) in das Substrat; Aufbringen einer ersten dielektrischen Schicht (55) über den Gateleitungen; Aufbringen einer zweiten dielektrischen Schicht (59) über der ersten dielektrischen Schicht (55); Bilden von zumindest einem Randlos-Arraykontakt (61) und zumindest einem Peripherie-Kontakt-zu-Diffusion (63) gleichzeitig unter Verwendung eines selektiven Ätzprozesses; und Bilden von zumindest einem Kontakt zum Gate unter Verwendung eines Ätzprozesses, der gegenüber der Gateabdeckung und den Gateabstandshaltern (47) nicht selektiv ist.
  2. Verfahren gemäß Anspruch 1, das ferner ein Implantieren von Transistorwannen in das Siliziumsubstrat (31) vor dem Oxidieren des Substrats aufweist.
  3. Verfahren gemäß Anspruch 1 oder 2, das ferner folgende Schritte aufweist: Aufbringen einer Metallisierungsmaske über der Isolierschicht und Strukturieren der Maske; Ätzen von Metallisierungsleitungen (19) in die Isolierschicht und Abziehen der verbleibenden Maske; Aufbringen einer leitfähigen Schicht über den Metallisierungsleitungen (19), wobei die leitfähige Schicht den Gatearraykontakt (61), den Peripherie-Kontakt-zu-Diffusion (63) und den Gatekontakt befüllt; und Planarisieren der leitfähigen Schicht.
  4. Verfahren gemäß einem der Ansprüche 1 bis 3, bei dem die Halbleiterstruktur eine dynamische Direktzugriffsspeichervorrichtung ist.
  5. Verfahren gemäß einem der Ansprüche 1 bis 4, bei dem der Gateleiter (39) ein Polysilizium und ein Wolfram-Silizid aufweist.
  6. Verfahren gemäß einem der Ansprüche 1 bis 5, bei dem der Gateleiter (39) dotiert wird.
  7. Verfahren gemäß Anspruch 6, bei dem das Dotieren durch das Polysilizium nicht einheitlich erfolgt.
  8. Verfahren gemäß einem der Ansprüche 1 bis 7, bei dem der Gateabdeckungsisolator (41) und die Abstandshalter (47) aus dem gleichen Material gebildet sind.
  9. Verfahren gemäß Anspruch 8, bei dem der Gateabdeckungsisolator (41) und die Abstandshalter (47) Siliziumnitrid sind.
  10. Verfahren gemäß einem der Ansprüche 1 bis 9, bei dem die selektiven und nichtselektiven Ätzprozesse ein reaktiver Ionenätzprozeß sind.
  11. Verfahren gemäß Anspruch 3, bei dem die leitfähige Schicht aus der Gruppe bestehend aus Wolfram, Aluminium, Kupfer, Aluminiumkupferlegierung und Tantal ausgewählt wird.
  12. Verfahren gemäß einem der Ansprüche 1 bis 11, bei dem die Entfernung zwischen den Diffusionskontakten und dem Gateleiter (39) weniger als etwa 0,075 μm ist.
  13. Verfahren gemäß Anspruch 3, bei dem die Entfernung zwischen den Metallisierungsleitungen (19) etwa 0,25 μm ist.
  14. Verfahren gemäß einem der Ansprüche 1 bis 13, bei dem die erste dielektrische Schicht (55) aus der Gruppe bestehend aus Bor-Phosphorsilikatglas, Phosphorsilikatglas, FSG, F-BSG oder ASG ausgewählt wird.
  15. Verfahren gemäß einem der Ansprüche 1 bis 14, bei dem die zweite dielektrische Schicht (59) aus der Gruppe bestehend aus TEOS, Siliziumdioxid oder Bor-Phosphorsilikatglas ausgewählt wird.
  16. Halbleitervorrichtung, die folgende Merkmale aufweist: ein Halbleitersubstrat mit einer Oxidschicht, einem Arraybereich und einem Unterstützungsbereich; zumindest einen Gatestapel (43) auf dem Arraybereich und dem Unterstützungsbereich, wobei der Gatestapel (43) einen Gateleiter (39), eine Gateabdeckung (41) und Seitenwände (45) aufweist, die durch Gateabstandshalter (47) umgeben sind; eine erste dielektrische Schicht (55) über dem Gatestapel (43); eine zweite dielektrische Schicht (59) über der ersten dielektrischen Schicht (55); zumindest einen Kontakt-zu-Diffusion (63), der sich von der zweiten dielektrischen Schicht (59) zum Substrat im Unterstützungsbereich erstreckt; zumindest einen Randlos-Arraykontakt (61) , der sich von der zweiten dielektrischen Schicht (59) zu einem Diffusionsbereich in dem Arraybereich erstreckt; und zumindest einen Kontakt-zu-Gate, der sich von der zweiten dielektrischen Schicht (59) erstreckt, wobei der zumindest eine Peripherie-Kontakt-zu-Diffusion und der zumindest eine Randlos-Arraykontakt unter Verwendung derselben Photoresistmaske gebildet und unter Verwendung eines Prozesses geätzt werden, der gegenüber der Gateabdeckung und den Abstandshaltern (47) nichtselektiv ist.
  17. Halbleitervorrichtung gemäß Anspruch 16, die ferner eine leitfähige Schicht über der zweiten dielektrischen Schicht (59) aufweist.
  18. Halbleitervorrichtung gemäß Anspruch 17, bei der die leitfähige Schicht aus der Gruppe bestehend aus Wolfram, Aluminium, Kupfer, Aluminiumkupferlegierung und Tantal ausgewählt wird.
  19. Halbleitervorrichtung gemäß einem der Ansprüche 16 bis 18, bei der der Gateleiter (39) ein Polysilizium und Wolfram-Silizid aufweist.
  20. Halbleitervorrichtung gemäß einem der Ansprüche 16 bis 19, bei der die Entfernung zwischen dem Kontakt-zu-Diffusion und dem Gateleiter (39) kleiner als etwa 0,075 μm ist.
DE10347428A 2002-11-14 2003-10-13 Herstellungsverfahren für ein DRAM hoher Dichte mit reduziertem Peripherievorrichtungsbereich Expired - Fee Related DE10347428B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/294329 2002-11-14
US10/294,329 US6909152B2 (en) 2002-11-14 2002-11-14 High density DRAM with reduced peripheral device area and method of manufacture

Publications (2)

Publication Number Publication Date
DE10347428A1 true DE10347428A1 (de) 2004-05-27
DE10347428B4 DE10347428B4 (de) 2010-06-24

Family

ID=32229793

Family Applications (1)

Application Number Title Priority Date Filing Date
DE10347428A Expired - Fee Related DE10347428B4 (de) 2002-11-14 2003-10-13 Herstellungsverfahren für ein DRAM hoher Dichte mit reduziertem Peripherievorrichtungsbereich

Country Status (2)

Country Link
US (2) US6909152B2 (de)
DE (1) DE10347428B4 (de)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100505062B1 (ko) * 2003-02-22 2005-07-29 삼성전자주식회사 반도체 소자의 제조방법
US7256112B2 (en) * 2005-01-20 2007-08-14 Chartered Semiconductor Manufacturing, Ltd Laser activation of implanted contact plug for memory bitline fabrication
US7422985B2 (en) * 2005-03-25 2008-09-09 Sandisk 3D Llc Method for reducing dielectric overetch using a dielectric etch stop at a planar surface
US7521353B2 (en) * 2005-03-25 2009-04-21 Sandisk 3D Llc Method for reducing dielectric overetch when making contact to conductive features
KR100632046B1 (ko) * 2005-07-05 2006-10-04 동부일렉트로닉스 주식회사 반도체 소자의 게이트 라인 및 그 제조 방법
KR101049675B1 (ko) * 2007-03-28 2011-07-14 아사히 가세이 케미칼즈 가부시키가이샤 변성 공액 디엔계 중합체의 제조 방법, 상기 중합체를 포함하는 조성물 및 상기 조성물을 포함하는 타이어
US8436404B2 (en) * 2009-12-30 2013-05-07 Intel Corporation Self-aligned contacts
US8232607B2 (en) * 2010-11-23 2012-07-31 International Business Machines Corporation Borderless contact for replacement gate employing selective deposition
US9159828B2 (en) * 2011-04-27 2015-10-13 Alpha And Omega Semiconductor Incorporated Top drain LDMOS
US20130214289A1 (en) * 2012-02-16 2013-08-22 Texas Instruments Incorporated Short-Resistant Metal-Gate MOS Transistor and Method of Forming the Transistor
CN108269762B (zh) * 2016-12-30 2021-06-08 联华电子股份有限公司 半导体存储装置的制作方法
US10580783B2 (en) 2018-03-01 2020-03-03 Sandisk Technologies Llc Multi-tier three-dimensional memory device containing differential etch rate field oxides and method of making the same
US11211324B2 (en) * 2019-09-18 2021-12-28 Intel Corporation Via contact patterning method to increase edge placement error margin
WO2021237407A1 (en) * 2020-05-25 2021-12-02 Yangtze Memory Technologies Co., Ltd. Memory device and method for forming the same

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5126907A (en) 1989-05-24 1992-06-30 Hitachi, Ltd. Thin film magnetic head having at least one magnetic core member made at least partly of a material having a high saturation magnetic flux density
JPH10242419A (ja) * 1997-02-27 1998-09-11 Mitsubishi Electric Corp 半導体装置の製造方法及び半導体装置
DE19718721C2 (de) * 1997-05-02 1999-10-07 Siemens Ag DRAM-Zellenanordnung und Verfahren zu deren Herstellung
DE19726881A1 (de) * 1997-06-24 1999-01-07 Siemens Ag Halbleiterschaltungsvorrichtung und Verfahren zur Herstellung
JPH11135779A (ja) * 1997-10-28 1999-05-21 Toshiba Corp 半導体装置及びその製造方法
KR100275725B1 (ko) * 1997-12-27 2000-12-15 윤종용 트리플웰 구조를 갖는 반도체 메모리 장치 및 그 제조방법
TW410455B (en) * 1998-02-16 2000-11-01 United Microelectronics Corp Forming method for dual damascene structure
US5893734A (en) * 1998-09-14 1999-04-13 Vanguard International Semiconductor Corporation Method for fabricating capacitor-under-bit line (CUB) dynamic random access memory (DRAM) using tungsten landing plug contacts
US6323519B1 (en) * 1998-10-23 2001-11-27 Advanced Micro Devices, Inc. Ultrathin, nitrogen-containing MOSFET sidewall spacers using low-temperature semiconductor fabrication process
US5956594A (en) * 1998-11-02 1999-09-21 Vanguard International Semiconductor Corporation Method for simultaneously forming capacitor plate and metal contact structures for a high density DRAM device
KR100350764B1 (ko) * 1998-12-30 2002-11-18 주식회사 하이닉스반도체 반도체소자의 제조방법
US6074912A (en) * 1999-02-08 2000-06-13 United Microelectronics Corp. Method for forming different area vias of dynamic random access memory
US6133164A (en) * 1999-02-23 2000-10-17 Vantis Corporation Fabrication of oxide regions having multiple thicknesses using minimized number of thermal cycles
US6248252B1 (en) * 1999-02-24 2001-06-19 Advanced Micro Devices, Inc. Method of fabricating sub-micron metal lines
EP1039533A3 (de) * 1999-03-22 2001-04-04 Infineon Technologies North America Corp. Hochleistungs-DRAM und dessen Herstellungsverfahren
US6245629B1 (en) * 1999-03-25 2001-06-12 Infineon Technologies North America Corp. Semiconductor structures and manufacturing methods
US6229198B1 (en) * 1999-07-20 2001-05-08 Advanced Micro Devices, Inc. Non-uniform gate doping for reduced overlap capacitance
US6281084B1 (en) * 1999-08-31 2001-08-28 Infineon Technologies Corporation Disposable spacers for improved array gapfill in high density DRAMs
US6207513B1 (en) * 1999-11-02 2001-03-27 Infineon Technologies North America Corp. Spacer process to eliminate corner transistor device
US6281059B1 (en) * 2000-05-11 2001-08-28 Worldwide Semiconductor Manufacturing Corp. Method of doing ESD protective device ion implant without additional photo mask
US6555450B2 (en) * 2000-10-04 2003-04-29 Samsung Electronics Co., Ltd. Contact forming method for semiconductor device
US6664182B2 (en) * 2001-04-25 2003-12-16 Macronix International Co. Ltd. Method of improving the interlayer adhesion property of low-k layers in a dual damascene process
TW483111B (en) * 2001-06-08 2002-04-11 Promos Technologies Inc Method for forming contact of memory device

Also Published As

Publication number Publication date
US20050130352A1 (en) 2005-06-16
US20040094810A1 (en) 2004-05-20
US6909152B2 (en) 2005-06-21
DE10347428B4 (de) 2010-06-24
US7163891B2 (en) 2007-01-16

Similar Documents

Publication Publication Date Title
DE102004002659B4 (de) Halbleitervorrichtung mit einem Kontaktmuster und Herstellungsverfahren dafür
DE102004003315B4 (de) Halbleitervorrichtung mit elektrischem Kontakt und Verfahren zur Herstellung derselben
DE3916228C2 (de) Halbleiterspeichervorrichtung mit Stapelkondensatorzellenstruktur und Verfahren zu ihrer Herstellung
DE19912220B4 (de) Halbleiterspeicherbauelement und Verfahren zu dessen Herstellung
DE102009000625B4 (de) Verfahren zur Herstellung einer Halbleiterkomponente und eines Halbleiterbauelements sowie zugehöriger Zweifach-Damascene-Prozess
DE19638684C2 (de) Halbleitervorrichtung mit einem Kontaktloch
DE10107125B4 (de) Verfahren zum Ausbilden von Kontaktlöchern in einer integrierten Schaltungsvorrichtung durch selektives Ätzen einer Isolationsschicht, um die zu einem Halbleiterbereich benachbarte selbstausrichtende Kontaktfläche zu vergrößern, und dadurch ausgebildeter Kontakt in einer integrierten Schaltungsvorrichtung
DE10021385B4 (de) Verfahren zur Herstellung eines Kondensators mit Erzeugung einer unteren Kondensatorelektrode unter Verwendung einer CMP-Stoppschicht
DE19834917A1 (de) Verfahren zum Bilden von selbstausrichtenden Durchgängen in integrierten Schaltungen mit mehreren Metallebenen
DE4323961A1 (de) Halbleiterspeichervorrichtung
DE10237896B4 (de) Verfahren zum Ausbilden einer integrierten Abstandsschicht für die Gate-/Source-/Drain-Isolierung in einer vertikalen Arraystruktur und Transistor mit vertikalem Gate
DE102004008773A1 (de) Halbleitervorrichtung und Verfahren zur Herstellung derselben
DE69838202T2 (de) Endpunktfühlung und Apparat
DE10347428B4 (de) Herstellungsverfahren für ein DRAM hoher Dichte mit reduziertem Peripherievorrichtungsbereich
DE10236682A1 (de) Halbleitervorrichtung
DE69932472T2 (de) Halbleiter-Schmelzsicherung
DE69133395T2 (de) Verfahren zur Herstellung einer Speicherzelle für eine integrierte Halbleiterschaltung
DE102021100674A1 (de) Speicherarray mit epitaktischer sourceleitung und bitleitung
DE4232817B4 (de) Halbleiter-Speicherzelle und Verfahren zu deren Herstellung
DE69930027T2 (de) Metallisierungsverfahren für Halbleiter
DE4203565A1 (de) Dram und verfahren zu dessen herstellung
DE102004031741A1 (de) Verfahren zur Herstellung von Feldeffekttransistorstrukturen mit Gateelektroden mit einer Metalllage
DE102004016705B4 (de) Verfahren zur Ausbildung einer Öffnung für einen Kontakt in einem Halbleiterbauelement sowie zugehörige Halbleiterbauelementstruktur
DE10344273B4 (de) Verbesserter Kontakt für Speicherzellen
DE10338017B4 (de) Verfahren zum Füllen eines Kontaktdurchgangs einer Bitleitung

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8127 New person/name/address of the applicant

Owner name: QIMONDA AG, 81739 MUENCHEN, DE

8364 No opposition during term of opposition
R081 Change of applicant/patentee

Owner name: INFINEON TECHNOLOGIES AG, DE

Free format text: FORMER OWNER: QIMONDA AG, 81739 MUENCHEN, DE

Owner name: POLARIS INNOVATIONS LTD., IE

Free format text: FORMER OWNER: QIMONDA AG, 81739 MUENCHEN, DE

R081 Change of applicant/patentee

Owner name: POLARIS INNOVATIONS LTD., IE

Free format text: FORMER OWNER: INFINEON TECHNOLOGIES AG, 85579 NEUBIBERG, DE

R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee