DE112005000704T5 - Nicht-planarer Bulk-Transistor mit verspanntem Kanal mit erhöhter Mobilität und Verfahren zur Herstellung - Google Patents

Nicht-planarer Bulk-Transistor mit verspanntem Kanal mit erhöhter Mobilität und Verfahren zur Herstellung Download PDF

Info

Publication number
DE112005000704T5
DE112005000704T5 DE112005000704T DE112005000704T DE112005000704T5 DE 112005000704 T5 DE112005000704 T5 DE 112005000704T5 DE 112005000704 T DE112005000704 T DE 112005000704T DE 112005000704 T DE112005000704 T DE 112005000704T DE 112005000704 T5 DE112005000704 T5 DE 112005000704T5
Authority
DE
Germany
Prior art keywords
semiconductor
silicon
semiconductor body
substrate
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE112005000704T
Other languages
English (en)
Other versions
DE112005000704B4 (de
Inventor
Nick Beaverton Lindert
Stephen M. Hillsboro Cea
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tahoe Research Ltd
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of DE112005000704T5 publication Critical patent/DE112005000704T5/de
Application granted granted Critical
Publication of DE112005000704B4 publication Critical patent/DE112005000704B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys
    • H01L29/78687Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys with a multilayer structure or superlattice structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Abstract

Halbleitervorrichtung, umfassend:
einen Halbleiterkörper auf einem Halbleitersubstrat, wobei der Halbleiterkörper eine obere Oberfläche und seitlich sich gegenüberliegende Seitenwände hat;
eine Halbleiterdeckschicht, die auf der oberen Oberfläche und an den Seitenwänden des Halbleiterkörpers ausgebildet ist;
eine dielektrische Gate-Schicht, die auf der Halbleiterdeckschicht auf der oberen Oberfläche und an den Seitenwänden des Halbleiterkörpers ausgebildet ist;
eine Gate-Elektrode mit zwei seitlich sich gegenüberliegenden Seitenwänden, die auf der und um die dielektrische Gate-Schicht ausgebildet sind; und
ein Paar Source-/Drain-Regionen, das in dem Halbleiterkörper an sich gegenüberliegenden Seiten der Gate-Elektrode gebildet ist.

Description

  • HINTERGRUND DER ERFINDUNG
  • 1. GEBIET DER ERFINDUNG
  • Die vorliegende Erfindung betrifft das Gebiet der Herstellung einer integrierten Schaltung und insbesondere die Bildung eines verspannten, nicht-planaren Bulk-Transistors mit erhöhter Mobilität und ein Verfahren zu dessen Herstellung.
  • 2. STAND DER TECHNIK
  • Moderne integrierte Schaltungen, wie Mikroprozessoren, bestehen aus buchstäblich Hunderten Millionen von aneinander gekoppelten Transistoren. Zur Verbesserung der Leistung und Energie integrierter Schaltungen wurden neue Transistorstrukturen vorgeschlagen. Ein nicht planarer (oder auch dreidimensionaler) Transistor, wie ein Tri-Gate-Transistor, wurde zur Verbesserung der Geräteleistung vorgeschlagen. Ein Tri-Gate-Transistor 100 ist in 1A und 1B dargestellt. 1A ist eine Darstellung einer Draufsicht/Seitenansicht eines Tri-Gate-Transistors 100 und 1B ist eine Darstellung einer Querschnittsansicht durch die Gate-Elektrode eines Tri-Gate-Transistors 100. Der Tri-Gate-Transistor 100 enthält einen Siliziumkörper 102 mit zwei seitlich sich gegenüberliegenden Seitenwänden 103 und einer oberen Oberfläche 104. Der Siliziumkörper 102 ist auf einem isolierenden Substrat gebildet, das eine Oxidschicht 106 enthält und seinerseits auf einem monokristallinen Siliziumsubstrat 108 gebildet ist. Ein Gate-Dielektrikum 110 ist auf der oberen Oberfläche 104 und auf den Seitenwänden 103 des Siliziumkörpers 102 gebildet. Eine Gate-Elektrode 120 ist auf der dielektrischen Gate-Schicht 110 gebildet und umgibt den Siliziumkörper 102. Ein Paar von Source-/Drain-Regionen 130 ist in dem Siliziumkörper 103 entlang den seitlich gegenüberliegenden Seitenwänden der Gate-Elektrode 120 gebildet. Der Transistor 130 kann als Tri-Gate-Transistor bezeichnet werden, da er im Wesentlichen drei Gates (G1, G2, G3) aufweist, die im Wesentlichen drei Transistoren bilden. Der Tri-Gate-Transistor 100 hat ein erstes Gate/einen ersten Transistor an einer Seite 103 des Siliziumkörpers 102, ein zweites Gate/einen zweiten Transistor an einer oberen Oberfläche 104 des Siliziumkörpers 102 und ein drittes Gate/einen dritten Transistor an der zweiten Seite 103 des Siliziumkörpers 102. Jeder Transistor stellt einen Stromfluß proportional zu den Seiten des Siliziumkörpers 102 bereit. Tri-Gate-Transistoren sind attraktiv, da sie einen großen Strom pro Fläche aufweisen, der die Geräteleistung verbessert.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • 1A zeigt eine Draufsicht auf einen standardmäßigen Tri-Gate-Transistor.
  • 1B zeigt eine Querschnittsansicht eines standardmäßigen Tri-Gate-Transistors.
  • 2 ist eine Darstellung eines Bulk-Tri-Gate-Transistors mit verspannungsinduzierter Mobilität gemäß einer Ausführungsform der vorliegenden Erfindung.
  • 3A bis 3I zeigen ein Verfahren zur Bildung eines Bulk-Tri-Gate-Transistors mit durch Verspannung erhöhter Mobilität gemäß einer Ausführungsform der vorliegenden Erfindung.
  • 4A bis 4C zeigen ein Verfahren zur Bildung eines Bulk-Tri-Gate-Transistors mit durch Verspannung erhöhter Mobilität gemäß einer Ausführungsform der vorliegenden Erfindung.
  • 5 zeigt Kristallgitter für einen Bulk-Silizium-, einen verspannten Silizium-Germanium-Halbleiterkörper und eine verspannte Silizium-Deckschicht.
  • AUSFÜHRLICHE BESCHREIBUNG DER ERFINDUNG
  • Ausführungsformen der vorliegenden Erfindung sind nicht-planare Bulk-Transistoren mit durch Verspannung erhöhten Mobilität und deren Herstellungsverfahren. In der folgenden Beschreibung sind zahlreiche spezifische Einzelheiten angeführt, um ein umfassendes Verständnis der vorliegenden Erfindung zu ermöglichen. In anderen Fällen wurden allgemein bekannte Halbleiterbearbeitungs- und -herstellungstechniken nicht im Detail beschrieben, um die vorliegende Erfindung nicht unnötig zu verschleiern.
  • Ausführungsformen der vorliegenden Erfindung sind nicht-planare Bulk-Transistoren mit einer durch Verspannung erhöhten Mobilität und deren Herstellungsverfahren. Ausführungsformen der vorliegenden Erfindung umfassen einen Halbleiterkörper, bei dem eine Deckschicht, die auf oder um den Halbleiterkörper gebildet ist, unter Verspannung aufgebracht ist. Eine Deckschicht unter Verspannung erhöht die Mobilität der Träger in dem Gerät, wodurch der Strom des Geräts erhöht wird, was zur Verbesserung der Schaltungsgeschwindigkeiten verwendet werden kann.
  • Ein Beispiel für einen nicht-planare Bulk- oder Tri-Gate-Transistors 200 mit durch Verspannung erhöhter Mobilität ist in 2 dargestellt. Der Transistor 200 ist auf einem Bulk-Halbleitersubstrat 202 gebildet. In einer Ausführungsform der vorliegenden Erfindung ist das Substrat 202 ein monokristallines Siliziumsubstrat. In dem Halbleitersubstrat 202 sind zwei voneinander beabstandete Isolierbereiche 204, wie flachen Grabenisolierungsbereiche ("Shallow-Trench-Isolation" (STI)) gebildet, die die aktive Substratregion 206 dazwischen definieren. Das Substrat 202 muß jedoch nicht unbedingt ein monokristallines Siliziumsubstrat sein und kann ein andere Art von Substrat sein, wie zum Beispiel, ohne aber darauf beschränkt zu sein, Germanium (Ge), Siliziumgermanium (SixGey), Galliumarsenid (GaAs), InSb, GaP und GaSb. Die aktive Region 206 ist für gewöhnlich auf einen p-Typ-Leitfähigkeitspegel zwischen 1 × 1016 bis 1 × 1019 Atomen/cm3 für eine Vorrichtung vom n-Typ dotiert, und auf einen n-Typ-Leitfähigkeitspegel zwischen 1 × 1016 bis 1 × 1019 Atomen/cm3 für eine Vorrichtung vom p-Typ dotiert. In anderen Ausführungsformen der vorliegenden Erfindung kann die aktive Region 206 ein undotierter Halbleiter sein, wie ein intrinsisches oder undotiertes monokristallines Siliziumsubstrat.
  • Der Transistor 200 hat einen Halbleiterkörper 208, der auf der aktiven Substratregion 206 des Bulksubstrats 202 gebildet ist. Der Halbleiterkörper 208 hat eine obere Oberfläche 209 und zwei seitlich gegenüberliegende Seitenwände 211. Die obere Oberfläche 209 ist von der unteren Oberfläche, die auf dem Halbleitersubstrat 206 gebildet ist, mit einem Abstand getrennt, der die Körperhöhe definiert. Die seitlich gegenüberliegenden Seitenwände 211 des Halbleiterkörpers 208 sind mit einem Abstand getrennt, der die Körperbreite definiert. Der Halbleiterkörper 208 ist ein monokristalliner oder einzelkristalliner Halbleiterfilm. In einer Ausführungsform der vorliegenden Erfindung ist der Halbleiterkörper 208 aus einem Halbleitermaterial gebildet, das sich von jenem des Halbleiters unterscheidet, der zur Bildung des Bulk-Substrats 202 verwendet wird. In einer Ausführungsform der vorliegenden Erfindung ist der Halbleiterkörper 208 aus einem einzelkristallinen Halbleiter mit einer anderen Gitterkonstante oder -größe als das Bulk-Halbleitersubstrat 202 gebildet, so daß der Halbleiterkörper 208 verspannt wird. In einer Ausführungsform der vorliegenden Erfindung ist das Bulk-Halbleitersubstrat ein monokristallines Siliziumsubstrat und der Halbleiterkörper 208 ist eine einzelkristalline Silizium-Germanium-Legierung. In einer Ausführungsform der vorliegenden Erfindung umfaßt die Silizium-Germanium-Legierung 5 bis 40% Germanium und im Idealfall etwa 15 bis 25% Germanium.
  • In einer Ausführungsform der vorliegenden Erfindung ist das Bulk-Halbleitersubstrat 202 ein monokristallines Siliziumsubstrat und der Halbleiterkörper 208 ist eine Silizium-Kohlenstoff-Legierung.
  • In einer Ausführungsform der vorliegenden Erfindung ist der Halbleiterkörper 208 zu einer Dicke von geringerem Ausmaß als jene gebildet, bei der die Außenflächen des Halbleiterkörpers 208 zu einer Entspannung im Kristallgitter führen. In einer Ausführungsform der vorliegenden Erfindung ist der Halbleiterkörper 208 zu einer Dicke zwischen 100 und 2000 Å gebildet, und insbesondere zwischen 200 und 1000 Å. In einer Ausführungsform der vorliegenden Erfindung sind die Dicke und Höhe des Halbleiterkörpers 208 annähernd gleich.
  • In einer Ausführungsform der vorliegenden Erfindung ist die Breite des Halbleiterkörpers 208 zwischen der halben Höhe des Körpers 208 bis zur zweifachen Höhe des Körpers 208. In einer Ausführungsform der vorliegenden Erfindung ist der Halbleiterkörper 208 auf eine p-Typ-Leitfähigkeit mit einer Konzentration zwischen 1 × 1016 bis 1 × 1019 Atomen/cm3 für eine n-Typ Halbleitervorrichtung dotiert, und auf eine n-Typ-Leitfähigkeit mit einer Konzentration zwischen 1 × 1016 bis 1 × 1019 Atomen/cm3 für eine p-Typ Halbleitervorrichtung dotiert. In einer Ausführungsform der vorliegenden Erfindung ist der Halbleiterkörper 208 ein intrinsischer Halbleiter, wie ein undotierter oder intrinsischer Siliziumfilm.
  • Der Transistor 200 enthält eine Halbleiterdeckschicht 210, die an den Seitenwänden 211 des Halbleiterkörpers 208 wie auch auf der oberen Oberfläche 209 des Halbleiterkörpers 208 gebildet ist. Die Halbleiterdeckschicht 210 ist ein einzelkristalliner Halbleiterfilm. In einer Ausführungsform der vorliegenden Erfindung ist die Halbleiterdeckschicht 210 aus einem Halbleitermaterial mit einer anderen Gitterkonstante als der Halbleiterkörper 208 gebildet, so daß eine Verspannung in der Deckschicht erzeugt wird. In einer Ausführungsform der vorliegenden Erfindung hat die Deckschicht eine Zugspannung. Eine Zugspannung soll die Mobilität von Elektronen verbessern. In einer Ausführungsform der vorliegenden Erfindung hat die Deckschicht eine kompressive Verspannung. Eine kompressive Verspannung soll die Lochmobilität verbessern. In einer Ausführungsform der vorliegenden Erfindung fließt Strom in eine Richtung senkrecht zu der Verspannung in der Deckschicht 210. In einer Ausführungsform der vorliegenden Erfindung ist die Verspannung in der Deckschicht 210 an den Seitenwänden 211 des Halbleiterkörpers 208 größer als die Verspannung in der Deckschicht 210 an der oberen Oberfläche 209 des Halbleiterkörpers 208.
  • In einer Ausführungsform der vorliegenden Erfindung ist die Halbleiterdeckschicht 210 ein einzelkristalliner Siliziumfilm. In einer Ausführungsform der vorliegenden Erfindung ist die Deckschicht 210 ein einzelkristalliner Siliziumfilm, der auf einem Silizium-Germanium-Legierungskörper 208 gebildet ist. Ein einzelkristalliner Siliziumfilm, der auf einem Halbleiterkörper 208 aus Silizium-Germanium-Legierung gebildet ist, bewirkt, daß der einzelkristalline Siliziumfilm eine Zugspannung hat. In einer Ausführungsform der vorliegenden Erfindung ist die Deckschicht 210 ein einzelkristalliner Siliziumfilm, der auf einem Halbleiterkörper 208 aus Silizium-Kohlenstoff-Legierung gebildet ist. Eine einzelkristalline Siliziumdeckschicht 210, die auf einem Halbleiterkörper 208 aus Silizium-Kohlenstoff-Legierung gebildet ist, bewirkt, daß der einzelkristalline Siliziumfilm eine kompressive Spannung hat
  • In einer Ausführungsform der vorliegenden Erfindung ist die Halbleiterdeckschicht 210 zu einer Dicke gebildet, die geringer als das Ausmaß ist, in dem sich das Gitter des einzelkristallinen Films entspannt. In einer Ausführungsform der vorliegenden Erfindung ist die Halbleiterdeckschicht 210 zu einer Dicke zwischen 50 bis 300 Å gebildet. In einer Ausführungsform der vorliegenden Erfindung ist die Dicke der Deckschicht an den Seitenwänden 211 des Halbleiterkörpers 208 dieselbe wie die Dicke der Deckschicht 210 auf der oberen Ober fläche 209 des Halbleiterkörpers 208, wie in 2 dargestellt. In einer Ausführungsform der vorliegenden Erfindung ist die Halbleiterdeckschicht 210 auf der oberen Oberfläche des Halbleiterkörpers 208 dicker als an den Seitenwänden 211 gebildet, wie zum Beispiel in 4C dargestellt.
  • Der Transistor 200 enthält eine dielektrische Gate-Schicht 212. Die dielektrische Gate-Schicht 212 ist auf der Deckschicht 210 gebildet, die auf den Seitenwänden 211 des Halbleiterkörpers 208 gebildet ist, und ist auf der Halbleiterdeckschicht 210 gebildet, die auf der oberen Oberfläche 209 des Halbleiterkörpers 208 gebildet ist. Die dielektrische Gate-Schicht 210 kann jede allgemein bekannte dielektrische Gate-Schicht sein. In einer Ausführungsform der vorliegenden Erfindung ist die dielektrische Gate-Schicht eine dielektrische Siliziumdioxid-(SiO2), Siliziumoxynitrid-(SiOxNy) oder Siliziumnitrid-(Si3N4) Schicht. In einer Ausführungsform der vorliegenden Erfindung ist die dielektrische Gate-Schicht 212 ein Siliziumoxynitridfilm, der zu einer Dicke zwischen 5 und 20 Å gebildet ist. In einer Ausführungsform der vorliegenden Erfindung ist die dielektrische Gate-Schicht 212 eine Gate-Schicht aus Hoch-k-Dielektrikum, wie einem Metalloxiddielektrikum, wie zum Beispiel, ohne aber darauf beschränkt zu sein, Tantalpentaoxid (Ta2O5), Titanoxid (TiO2), Hafniumoxid (HfO) und Zirkoniumoxid (ZrO). Die dielektrische Gate-Schicht 212 kann aus einer anderen Art von Hoch-k-Dielektrika bestehen, wie zum Beispiel, ohne aber darauf beschränkt zu sein, PZT und BST.
  • Der Transistor 200 enthält eine Gate-Elektrode 214. Die Gate-Elektrode 214 ist auf und um die dielektrische Gate-Schicht 212 gebildet, wie in 2 dargestellt ist. Die Gate-Elektrode 214 ist auf und neben der dielektrischen Gate-Schicht 212 gebildet, die auf der Deckschicht 210 gebildet ist, die an der Seitenwand 211 des Halbleiterkörpers 208 gebildet ist, und ist auf der dielektrischen Gate-Schicht 212 gebildet, die auf der Deckschicht 210 gebildet ist, die auf der oberen Oberfläche 209 des Halbleiterkörpers 208 gebildet ist, und ist auf oder neben der dielektrischen Gate-Schicht 212 gebildet, die auf der Deckschicht 210 gebildet ist, die an der Seitenwand 211 der Gate-Elektrode 208 gebildet ist, wie in 2 dargestellt. Die Gate-Elektrode 214 hat zwei seitlich gegenüberliegende Seitenwände 216, die mit einem Abstand getrennt sind, der die Gate-Länge (Lg) des Transistors 200 definiert. In einer Ausführungsform der vorliegenden Erfindung laufen die seitlich gegenüberliegenden Seitenwände 216 der Gate-Elektrode 214 in eine Richtung senkrecht zu den seitlich gegenüberliegenden Seitenwänden 211 des Halbleiterkörpers 208. Die Gate-Elektrode 214 kann aus jedem geeigneten Gate-Elektrodenmaterial gebildet sein. In einer Ausführungsform der vorliegenden Erfindung umfaßt die Gate-Elektrode 214 einen polykristallinen Siliziumfilm, der auf eine Konzentrationsdichte zwischen 1 × 1019 bis 1 × 1020 Atomen/cm3 dotiert ist. Die Gate-Elektrode 214 kann auf eine n-Typ-Leitfähigkeit für eine n-Typ-Vorrichtung und auf eine p-Typ-Leitfähigkeit für eine p-Typ-Vorrichtung dotiert sein. In einer Ausführungsform der vorliegenden Erfindung kann die Gate-Elektrode eine Metall-Gate-Elektrode sein. In einer Ausführungsform der vorliegenden Erfindung ist die Gate-Elektrode 214 aus einem Metallfilm mit einer Austrittsarbeit gebildet, die auf eine n-Typ-Vorrichtung zurechtgeschnitten ist, wie eine Austrittsarbeit zwischen 3,9 eV bis 4,2 eV. In einer Ausführungsform der vorliegenden Erfindung ist die Gate-Elektrode 214 aus einem Metallfilm mit einer Austrittsarbeit gebildet, die auf eine p-Typ-Vorrichtung zurecht geschnitten ist, wie eine Austrittsarbeit zwischen 4,9 eV bis 5,2 eV. In einer Ausführungsform der vorliegenden Erfindung ist die Gate-Elektrode 214 aus einem Material mit einer Mid-Gap-Austrittsarbeit zwischen 4,6 bis 4,8 eV gebildet. Eine Mid-Gap-Austrittsarbeit ist ideal zur Verwendung, wenn der Halbleiterkörper 208 und die Deckschicht 210 intrinsische Halbleiterfilme sind. Es ist offensichtlich, daß die Gate-Elektrode 214 nicht unbedingt ein einzelnes Material sein muß und ein zusammengesetzter Stapel von Dünnfilmen sein kann, wie zum Beispiel, ohne aber darauf beschränkt zu sein, eine Elektrode aus polykristallinem Silizium/Metall oder eine Elektrode aus Metall/polykristallinem Silizium.
  • Der Transistor 200 hat ein Paar von Source-/Drain-Regionen, das in dem Halbleiterkörper 208 gebildet ist, wie auch in der Deckschicht an gegenüberliegenden Seiten seitlich gegenüberliegender Seitenwände 216 der Gate-Elektrode 214, wie in 2 dargestellt. Die Source-/Drain-Regionen 218 sind auf eine n-Typ-Leitfähigkeit dotiert, wenn eine n-Typ-Vorrichtung gebildet wird, und sind auf eine p-Typ-Leitfähigkeit dotiert, wenn eine p-Typ-Vorrichtung gebildet wird. In einer Ausführungsform der vorliegenden Erfindung haben die Source-/Drain-Regionen eine Dotierungskonzentration zwischen 1 × 1019 bis 1 × 1020 Atomen/cm3. Die Source-/Drain-Regionen 218 können aus einer gleichförmigen Konzentration gebildet sein oder können Subregionen verschiedener Konzentrationen oder Dotierungsprofile enthalten, wie Spitzenregionen (z.B. Source-/Drain-Verlängerungen). In einer Ausführungsform der vorliegenden Erfindung, wenn der Transistor 200 ein symmetrischer Transistor ist, haben die Source- und Drain-Regionen dasselbe Dotierungskonzentrationsprofil. In einer Ausführungsform der vorliegenden Erfindung ist der Transistor 200 ein asymmetrischer Transistor, die Source- Region und die Drain-Region können variieren, um bestimmte elektrische Eigenschaften zu erreichen.
  • Der Abschnitt des Halbleiterkörpers 208 und der Deckschicht 210, der sich zwischen den Source-/Drain-Regionen 216 und unterhalb der Gate-Elektrode 214 befindet, definiert eine Kanalregion des Transistors. Die Kanalregion kann auch als die Fläche des Halbleiterkörpers 208 und der Deckschicht 210 definiert sein, die von der Gate-Elektrode 214 umgeben ist. Die Source-/Drain-Regionen erstrecken sich für gewöhnlich etwas unterhalb die Gate-Elektrode, zum Beispiel durch Diffusion, um die Kanalregion etwas schmaler als die Gate-Elektrodenlänge (Lg) zu definieren. Wenn der Transistor 300 eingeschaltet wird, bildet sich eine Inversionsschicht in der Kanalregion der Vorrichtung, die einen leitenden Kanal bildet, der dem Strom ermöglicht, sich zwischen der Source-/Drain-Region 340 zu bewegen. Die Inversionsschicht oder der leitende Kanal bildet sich in der Oberfläche der Deckschicht an den Seitenwänden 211 des Halbleiterkörpers 208 wie auch in der Oberfläche der Deckschicht 210 auf der oberen Oberfläche 209 des Halbleiterkörpers 208.
  • Durch Bereitstellung einer dielektrischen Gate-Schicht 212 und einer Gate-Elektrode 214, die den Halbleiterkörper 208 und die Deckschicht 210 auf drei Seiten umgibt, ist der dreidimensionale Transistor durch drei Kanäle und drei Gates gekennzeichnet, ein Gate (G1), das sich zwischen den Source-/Drain-Regionen an einer Seite 211 des Halbleiterkörpers 208 erstreckt, ein zweites Gate (G2), das sich zwischen den Source-/Drain-Regionen auf der oberen Oberfläche 209 des Halbleiterkörpers 208 erstreckt, und das dritte (G3), das sich zwischen den Source-/Drain-Regionen an der Seitenwand 211 des Halbleiterkörpers 208 erstreckt. Die "Breite" des Gates (Gw) des Transistors 200 ist die Summe der Breite der drei Kanalregionen. Das heißt, die Gate-Breite des Transistors 200 ist gleich der Höhe des Halbleiterkörpers 208 plus der Dicke der Deckschicht auf der oberen Oberfläche der Seitenwand 211, plus der Breite des Halbleiterkörpers 208 plus der Dicke der Deckschicht auf jeder der Seiten 211 des Halbleiterkörpers plus der Höhe des Halbleiterkörpers 208 plus der Dicke der Deckschicht 210 auf der oberen Oberfläche 209 des Halbleiterkörpers 208. Transistoren mit größerer "Breite" können durch Verwendung mehrerer Halbleiterkörper 208 und Deckschichten erhalten werden, die von einer einzelnen Gate-Elektrode umgeben sind, wie in 3I dargestellt.
  • Obwohl ein Tri-Gate-Transistor 200 in 2 dargestellt ist, ist die vorliegende Erfindung gleichermaßen bei anderen dreidimensionalen Transistoren anwendbar. Zum Beispiel ist die vorliegende Erfindung bei einem "Fin-Fet" oder Doppel-Gate-Transistor anwendbar, oder es sind nur zwei Gates an gegenüberliegenden Seiten des Halbleiterkörpers gebildet. Zusätzlich ist die vorliegende Erfindung bei "Omega"-Gates oder rundgewickelten Gate-Vorrichtungen anwendbar, wo die Gate-Elektrode um den Halbleiterkörper gewickelt ist, wie auch unterhalb eines Abschnitts des Halbleiterkörpers. Die Leistung von "Fin-Fet"-Vorrichtungen und "Omega"-Vorrichtungen kann verbessert werden, indem eine verspannte Deckschicht 210 bereitgestellt wird, die auf einem Halbleiterkörper 208 gebildet ist, und dadurch die Mobilität von Trägern in der Vorrichtung erhöht wird. Es ist offensichtlich, daß eine dreidimensionale Vorrichtung eine Vorrichtung ist, die, wenn sie eingeschaltet wird, einen leitenden Kanal oder einen Abschnitt des leitenden Kanals in eine Richtung senkrecht zu der Ebene des Substrats 202 bildet. Ein dreidimensionaler Transistor kann als eine Vorrichtung bezeichnet werden, wo die leitenden Kanalregionen sowohl in die horizontale als auch vertikale Richtung gebildet sind.
  • 3A bis 3I zeigen ein Verfahren zur Bildung eines dreidimensionalen Bulk-Transistors mit einer durch Verspannung verbesserten Mobilität gemäß einer Ausführungsform der vorliegenden Erfindung. Zuerst wird ein Halbleitersubstrat 300 bereitgestellt, wie in 3A dargestellt. In einer Ausführungsform der vorliegenden Erfindung ist das Halbleitersubstrat 300 ein monokristallines Siliziumsubstrat. Das Substrat 300 muß nicht unbedingt ein Siliziumsubstrat sein und kann eine andere Art von Substrat sein, wie ein Silizium-Germanium-Substrat, ein Germanium-Substrat, eine Silizium-Germanium-Legierung, ein Gallium-Arsenid, InSb und GaP. In einer Ausführungsform der vorliegenden Erfindung ist das Halbleitersubstrat 300 ein intrinsisches (d.h., undotiertes) Siliziumsubstrat. In anderen Ausführungsformen der vorliegenden Erfindung ist das Halbleitersubstrat 300 auf eine p-Typ- oder n-Typ-Leitfähigkeit dotiert, mit einer Konzentration zwischen 1 × 1016 bis 1 × 1019 Atomen/cm3. Anschließend wird eine Maske mit Maskenabschnitten 302 zur Bildung von Isolierbereiche auf dem Substrat 300 gebildet, wie in 3A dargestellt ist. In einer Ausführungsform der vorliegenden Erfindung ist die Maske eine oxidationsbeständige Maske. In einer Ausführungsform der vorliegenden Erfindung umfassen die Maskenabschnitte 302 eine dünne Pad-Oxidschicht 304 und eine dickere Siliziumnitrid- oder oxidationsbeständige Schicht 306. Die Maskenabschnitte 302 definieren aktive Regionen 308 im Substrat 300, wo Transistorkörper gebildet werden sollen. Die Maskenabschnitte 302 können mittels Deckbeschichtung einer Pad-Oxidschicht und dann einer Siliziumnitridschicht über dem Substrat 300 gebildet werden. Anschließend werden allgemein bekannte photolithographische Techniken zum Maskieren, Belichten und Entwickeln einer Photoresist-Maskierungsschicht über Stellen verwendet, wo Maskenabschnitte 302 gebildet werden sollen. Der Nitridfilm 306 und die Pad-Oxidschichten 304 werden dann in Ausrichtung mit der gebildeten Photoresistmaske zur Bildung von Maskenabschnitten 302 geätzt, wie in 3A dargestellt ist.
  • In einer Ausführungsform der vorliegenden Erfindung haben die Maskenabschnitte 302 eine Breite (W1), die die minimale Breite oder minimale Feature-Dimension (d.h., kritische Dimension (CD)) ist, die unter Verwendung von Photolithographie in der Herstellung des Transistors definiert werden kann. Zusätzlich sind in einer Ausführungsform der vorliegenden Erfindung die Maskenabschnitte 302 mit einem Abstand D1 getrennt, der der minimale Abstand ist, der unter Verwendung von Photolithographie im Herstellungsprozeß definiert werden kann. Das heißt, Maskenabschnitte 302 haben die kleinste Dimension und sind mit der kleinsten Dimension (d.h., kritischen Dimension) beabstandet, die zuverlässig unter Verwendung des photolithographischen Prozesses erreicht werden kann, der zur Herstellung des Transistors verwendet wird. Auf diese Weise sind Maskenabschnitte 302 mit der kleinsten Größe und größten Dichte definiert, die mit dem photolithographischen Prozeß erreicht werden kann, der zur Herstellung des Transistors verwendet wird.
  • In einer Ausführungsform der vorliegenden Erfindung haben Maskenabschnitte 302 eine Dicke (T1), die gleich oder größer als die Dicke oder Höhe ist, die für den oder die anschließend gebildeten Halbleiterkörper gewünscht ist.
  • Anschließend werden die belichteten Abschnitte des Halbleiters 300, wie in 3B dargestellt, in Ausrichtung mit den äußeren Kanten des Maskenabschnitts 302 zur Bildung von Grabenöffnungen 310 geätzt. Die Grabenöffnungen werden auf eine ausreichende Tiefe geätzt, um benachbarte Transistoren voneinander zu isolieren.
  • Anschließend werden die Gräben, wie in 3C dargestellt, mit einer dielektrischen Schicht 312 gefüllt, um flache Grabenisolierregionen (STI-Regionen) 312 in dem Substrat 300 zu bilden. In einer Ausführungsform der vorliegenden Erfindung wird die dielektrische Schicht gebildet, indem zunächst ein dünnes Auskleidungsoxid im Boden der Seitenwände des Grabens 310 wachsen gelassen wird. Anschließend wird der Graben 312 durch Deckbeschichtung einer dielektrischen Oxidschicht über dem Auskleidungsoxid, zum Beispiel durch einen chemischen "High Density Plasma" (HDP) Aufdampfungsprozeß, gefüllt. Die dielektrische Füllschicht bildet sich auch auf der Oberseite der Maskenabschnitte 302. Die dielektrische Füllschicht kam dann von der Oberseite der Maskenabschnitte 302 entfernt werden, zum Beispiel durch chemisch-mechanisches Polieren. Der chemisch-mechanische Polierprozeß wird fortgesetzt, bis die obere Oberfläche der Maskenabschnitte 302 freigelegt ist und die obere Oberfläche der flachen Grabenisolierregionen 312 im Wesentlichen auf einer Ebene mit der oberen Oberfläche des Maskenabschnitts 302 liegt, wie in 3C dargestellt ist.
  • Obwohl flache Grabenisolierbereiche im Idealfall in der vorliegenden Erfindung verwendet werden, können andere allgemein bekannte Isolierbereiche und -techniken, wie die lokale Oxidation von Silizium (LOCOS) oder versenkte LOCOS verwendet werden.
  • Wie in 3D dargestellt, werden anschließend Maskenabschnitte 302 von dem Substrat 300 entfernt, um Halbleiterkörperöffnungen 314 zu bilden. Zunächst wird ein Siliziumnitridabschnitt 306 unter Verwendung eines Ätzmittels entfernt, das den oxidationsbeständigen oder Siliziumnitridabschnitt 306 wegätzt, ohne die Isolierbereiche 312 signifikant zu ätzen. Nach der Entfernung des Siliziumnitridabschnitts 306 wird der Pad-Oxidabschnittt 304 entfernt. Der Pad-Oxidabschnitt 304 kann zum Beispiel mit einem nassen Ätzmittel entfernt werden, das Fluorwasserstoffsäure (HF) umfaßt. Durch die Entfernung der Maskenabschnitte 302 wird eine Halbleiterkörperöffnung oder ein Graben 314 mit im Wesentlichen vertikalen Seitenwänden gebildet. Die vertikale Seitenwand ermöglicht, daß der Halbleiterkörper innerhalb des Grabens wachsen gelassen und darin begrenzt wird, so daß ein Halbleiterkörper mit annähernd vertikalen Seitenwänden gebildet werden kann.
  • Anschließend wird, wie in 3E dargestellt, ein Halbleiterkörperfilm 316 in der Öffnung 314 gebildet, wie in 3E dargestellt. In einer Ausführungsform der vorliegenden Erfindung ist der Halbleiterkörperfilm 316 ein epitaxialer Halbleiterfilm. Wenn eine durch Verspannung verbesserte Halbleitervorrichtung gewünscht wird, wird in einer Ausführungsform der vorliegenden Erfindung der Halbleiterfilm aus einem einzelkristallinen Halbleiterfilme mit einer anderen Gitterkonstante oder anderen Gittergröße als das darunterliegende Halbleitersubstrat, auf dem er gewachsen ist, gebildet, so daß der Halbleiterfilm unter Verspannung ist. In einer Ausführungsform der vorliegenden Erfindung hat der einzelkristalline Siliziumfilm 316 eine größere Gitterkonstante oder Gittergröße als das darunterliegende Halbleitersubstrat 300. In einer Ausführungsform der vorliegenden Erfindung hat der einzelkristalline Siliziumfilm 316 eine kleinere Gittergröße oder Gitterkonstante als das darunterliegende Halbleitersubstrat 300.
  • In einer Ausführungsform der vorliegenden Erfindung ist der Halbleiterfilm 316 ein epitaxialer Silizium-Germanium-Legierungsfilm, der selektiv auf einem monokristallinen Siliziumsubstrat 300 wachsen gelassen wird. Eine Silizium-Germanium-Legierung kann selektiv in einem epitaxialen Reaktor unter Verwendung eines Abscheidungsgases wachsen gelassen werden, das Dichlorsilan (DCS), H2, German (GeH4) und HCl enthält. In einer Ausführungsform der vorliegenden Erfindung umfaßt die Silizium-Germanium-Legierung 5 bis 40% Germanium und im Idealfall 15 bis 25% Germanium. In einer Ausführungsform der vorliegenden Erfindung ist der epitaxiale Halbleiterfilm 316 eine einzelkristalline Silizium-Kohlenstoff-Legierung, die auf einem Siliziumsubstrat 300 gebildet ist. Der einzelkristalline Halbleiterfilm 316 wird auf eine Dicke abgeschieden, die für die Dicke des Halbleiterkörpers erwünscht ist. In einer Ausführungsform der vorliegenden Erfindung wird er auf eine Dicke von weniger als die Höhe der oberen Oberfläche der Isolierbereiche 312 wachsen gelassen oder abgeschieden. Auf diese Weise begrenzen die Isolierbereiche 312 den Halbleiterfilm 316 innerhalb des Grabens, so daß ein Halbleiterfilm mit annähernd vertikalen Seitenwänden gebildet wird. Als Alternative kann der Halbleiterfilm 316 durch Deckbeschichtung über dem Substrat 300, das in dem Graben 314 enthalten ist, und auf der Oberseite der Isolierbereiche 312 aufgebracht und dann zurückpoliert werden, so daß der Halbleiterfilm 316 von der Oberseite der Isolierbereiche entfernt wird und nur in den Gräben 314 verbleibt, wie in 3E dargestellt ist.
  • In einer Ausführungsform der vorliegenden Erfindung ist der Halbleiterfilm 316 ein undotierter oder intrinsischer Halbleiterfilm. In einer Ausführungsform der vorliegenden Erfindung wird der Halbleiterfilm 316, wenn eine p-Typ-Vorrichtung hergestellt wird, auf eine n-Typ-Leitfähigkeit mit einer Konzentration von 1 × 1016 bis 1 × 1019 Atomen/cm3 dotiert. In einer Ausführungsform der vorliegenden Erfindung wird der Halbleiterfilm 316, wenn eine n-Typ-Vorrichtung hergestellt wird, auf eine p-Typ-Leitfähigkeit mit einer Konzentration von 1 × 1016 bis 1 × 1019 Atomen/cm3 dotiert. Der Halbleiter Film 316 kann während der Abscheidung in einem "In situ"-Prozeß dotiert werden, indem ein Dotierungsgas in dem Abscheidungsprozeß-Gasgemisch enthalten ist. Als Alterative kann der Halbleiterfilm 316 anschließend zum Beispiel durch Ionenimplantation oder Wärmediffusion zur Bildung eines dotierten Halbleiterfilms 316 dotiert werden.
  • Anschließend werden Isolierbereiche 312 zurückgeätzt oder ausgehöhlt, um die Seitenwände 312 des Halbleiterfilms 316 freizulegen und dadurch Halbleiterkörper 318 zu bilden, wie in 3F dargestellt ist. Die Halbleiterkörper 318 haben annähernd vertikale Seitenwände 320, da der Halbleiterfilm 316 während der Abscheidung seitlich durch Isolierbereiche 312 seitlich begrenzt wurde. Die Isolierbereiche 312 werden mit einem Ätzmittel zurückgeätzt, das den Halbleiterfilm 316 nicht signifikant ätzt. Wenn der Halbleiterfilm 316 eine Silizium- oder Siliziumlegierung ist, können die Isolierbereiche 312 mit einem nassen Ätzmittel, das HF umfaßt, ausgehöhlt werden. In einer Ausführungsform der vorliegenden Erfindung werden Isolierbereiche auf eine derartige Höhe zurückgeätzt, daß sie im Wesentlichen in einer Ebene mit der oberen Oberfläche der aktiven Regionen 208 liegen, die in dem Halbleitersubstrat 300 gebildet sind, wie in 3F dargestellt ist.
  • Anschließend wird eine Halbleiterdeckschicht 322, wie in 3G dargestellt, auf der oberen Oberfläche 319 und den Seitenwänden 320 des Halbleiterkörpers 318 gebildet. Die Halbleiterdeckschicht 322 ist ein einzelkristalliner Halbleiterfilm. In einer Ausführungsform der vorliegenden Erfindung ist die Halbleiterdeckschicht 322 aus einem Material mit einer anderen Gitterkonstante oder -größe als der Halbleiterkörper 318 gebildet. In einer Ausführungsform der vorliegenden Erfindung ist die Halbleiterdeckschicht 322 ein einzelkristalliner Siliziumfilm. In einer Ausführungsform der vorliegenden Erfindung ist die Halbleiterdeckschicht 322 ein einzelkristalliner Siliziumfilm, der auf einem Silizium-Germanium-Legierungskörper 318 gebildet ist. In einer Ausführungsform der vorliegenden Erfindung ist die Halbleiterdeckschicht 322 ein einzelkristalliner Siliziumfilm, der auf einem Silizium-Kohlenstoff-Legierungskörper 318 gebildet ist. Eine einzelkristalline Silizium-Deckschicht 322 kann selektiv in einem epitaxialen Abscheidungsreaktor unter Verwendung eines Prozeßgases abgeschieden werden, das DCS, HCl und H2 umfaßt. In einer Ausführungsform der vorliegenden Erfindung ist die Halbleiterdeckschicht 322 zu einer Dicke gebildet, die geringer als ein Maß ist, das zu einer wesentlichen Entspannung in der Halbleiterdeckschicht 322 führt. In einer Ausführungsform der vorliegenden Erfindung ist die Halbleiterdeckschicht 322 zu einer Dicke gebildet, die ausreichend ist, damit die gesamte Inversionsschicht in der Deckschicht gebildet wird, wenn der Transistor eingeschaltet wird. In einer Ausführungsform der vorliegenden Erfindung ist die Halbleiterdeckschicht 322 zu einer Dicke zwischen 50 und 300 Å gebildet. In einer Ausführungsform der vorliegenden Erfindung ist die Halbleiterdeckschicht 322 ein undotierter oder intrinsischer Halbleiterfilm. In einer Ausführungsform der vorliegenden Erfindung ist die Halbleiterdeckschicht 322 auf eine n-Typ-Leitfähigkeit von 1 × 1016 bis 1 × 1019 Atomen/cm3 dotiert, wenn eine p-Typ-Vorrichtung gebildet wird, und auf p-Typ-Leitfähigkeit von 1 × 1016 bis 1 × 1019 Atomen/cm3 dotiert, wenn eine n-Typ-Vorrichtung gebildet wird. In einer Ausführungsform der vorliegenden Erfindung wird die Halbleiterdeckschicht 322 in einem "In situ"-Abscheidungsprozeß dotiert. Als Alternative kann die Deckschicht 322 durch andere allgemein bekannte Techniken, wie durch Ionenimplantation oder durch ein Diffusionssystem mit fester Quelle dotiert werden.
  • Wie in 3H dargestellt ist, wird anschließend ein dielektrischer Gate-Film 324 auf der Deckschicht 322 gebildet, die an den Seitenwänden 320 des Halbleiterkörpers 318 gebildet ist, und wird auf der Deckschicht 322 gebildet, die auf der oberen Oberfläche 319 des Halbleiterkörpers 318 gebildet ist, wie in 3H dargestellt ist. In einer Ausführungsform der vorliegenden Erfindung ist die dielektrische Gate-Schicht 324 eine gewachsene dielektrische Gate-Schicht, wie zum Beispiel, ohne aber darauf beschränkt zu sein, eine Siliziumdioxidschicht, eine Siliziumoxynitridschicht oder eine Kombination davon. Eine Siliziumdioxidschicht oder eine Siliziumoxynitridschicht kann auf der Halbleiterdeckschicht unter Verwendung eines allgemein bekannten trockenen/nassen Oxidationsprozesses wachsen gelassen werden. Wenn die dielektrische Gate-Schicht 324 wachsen gelassen wird, bildet sie sich nur auf Halbleiter enthaltenden Flächen, wie der Deckschicht 322, und nicht auf Isolierbereichen 312. Als Alternative kann die dielektrische Gate-Schicht 324 eine abgeschiedene dielektrische Schicht sein. In einer Ausführungsform der vorliegenden Erfindung ist die dielektrische Gate-Schicht 324 eine Gate-Schicht aus Hoch-k-Dielektrikum, wie eine dielektrische Metalloxidschicht, wie zum Beispiel, ohne aber darauf beschränkt zu sein, Hafniumoxid, Zirkoniumoxid, Tantaloxid und Titanoxid. Eine Metalloxidschicht aus Hoch-k-Dielektrikum kann durch jede allgemein bekannte Technik abgeschieden werden, wie chemische Dampfabscheidung oder Sputtern. Wenn die dielektrische Gate-Schicht 324 abgeschieden wird, bildet sie sich auch auf den Isolierbereichen 312.
  • Wie in 3H dargestellt, wird anschließend ein Gate-Elektrodenmaterial 326 durch Deckbeschichtung über dem Substrat 30 aufgebracht, so daß es auf der und um die dielektrische Gate-Schicht 324 abgeschieden wird. Das heißt, das Gate-Elektrodenmaterial wird auf der dielektrischen Gate-Schicht 324 abgeschieden, die auf der Deckschicht 322 gebildet ist, die auf der oberen Oberfläche des Halbleiterkörpers 318 gebildet ist, und wird auf oder neben der Deckschicht 322 gebildet, die an den Seitenwänden 320 des Halbleiterkörpers 318 gebildet ist. In einer Ausführungsform der vorliegenden Erfindung ist das Gate-Elektrodenmaterial 326 ein polykristallines Silizium. In einer Ausführungsform der vorliegenden Erfindung ist das Gate-Elektrodenmaterial 326 ein Metallfilm. In einer Ausführungsform der vorliegenden Erfindung ist das Gate-Elektrodenmaterial 326 ein Metallfilm mit einer Austrittsarbeit, die auf eine n-Typ-Vorrichtung zurechtgeschnitten ist, und in einer Ausführungsform der vorliegenden Erfindung ist das Gate-Elektrodenmaterial ein Metallfilm mit einer Austrittsarbeit, die auf eine p-Typ-Vorrichtung zurechtgeschnitten ist. Das Gate-Elektrodenmaterial 326 ist zu einer Dicke gebildet, die ausreichend ist, um die Halbleiterkörper 318, die Deckschicht 322 und die dielektrische Gate-Schicht 324 vollständig zu bedecken oder zu umgeben, wie in 3H dargestellt ist.
  • Wie in 3I dargestellt ist, wird anschließend das Gate-Elektrodenmaterial 326 und die dielektrische Gate-Schicht 324 durch allgemein bekannte Techniken strukturiert, um eine Gate-Elektrode 330 und eine dielektrische Gate-Schicht 328 zu bilden. Das Gate-Elektrodenmaterial 326 und die dielektrische Gate-Schicht 324 können unter Verwendung allgemein bekannter Photolithographie- und Ätztechniken strukturiert werden. Die Gate-Elektrode 330 hat zwei seitlich gegenüberliegende Seitenwände 332, die die Gate-Länge der Vorrichtung definieren. In einer Ausführungsform der vorliegenden Erfindung verlaufen die seitlich gegenüberliegenden Seitenwände 332 in eine Richtung senkrecht zu den Halbleiterkörpern 318. Obwohl ein subtraktiver Prozeß für die Bildung der Gate-Elektrode 330 dargestellt ist, können andere allgemein bekannte Techniken, wie ein Replacement-Gate-Prozeß, zur Bildung der Gate-Elektrode 330 verwendet werden.
  • Wie in 3I dargestellt ist, wird anschließend ein Paar von Source-/Drain-Regionen 340 in der Deckschicht 332 und dem Halbleiterkörper 318 an der Gate-Elektrode 330 gegenüberliegenden Seiten gebildet. Wenn eine n-Typ-Vorrichtung gebildet wird, können die Source-/Drain-Regionen zu einer n-Typ-Leitfähigkeit mit einer Konzentration zwischen 1 × 1020 bis 1 × 1021 Atomen/cm3 gebildet werden. In einer Ausführungsform der vorliegenden Erfindung, wenn eine p-Typ-Vorrichtung gebildet wird, kann eine p-Typ-Leitfähigkeit mit einer Konzentration zwischen 1 ×1020 bis 1 × 1021 Atomen/cm3 gebildet werden. Es kann jede bekannte Technik, wie Ionenimplantation oder Wärmediffusion, zur Bildung der Source-/Drain-Regionen verwendet werden. Wenn die Ionenimplantation verwendet wird, kann die Gate-Elektrode 330 zum Maskieren der Kanalregion des Transistors von dem Ionenimplantationsprozeß verwendet werden, wodurch es zu einer Selbstausrichtung der Source-/Drain-Regionen 340 mit der Gate-Elektrode 330 kommt. Falls erwünscht, können die Source-/Drain-Regionen zusätzlich Subregionen, wie Source-/Drain-Verlängerungen und Source-/Drain-Kontaktregionen, umfassen. Allgemein bekannte Prozesse, die die Bildung von Abstandshaltern umfassen, können zur Bildung der Subregionen verwendet werden. Nach Wunsch kann zusätzlich Silicid auf den Source-/Drain-Regionen 340 und auf der Oberseite der Gate-Elektrode 330 gebildet werden, um den elektrischen Kontaktwiderstand weiter zu senken. Dies beendet die Herstellung des dreidimensionalen Bulk-Transistors mit durch Spannung verbesserter Mobilität.
  • Es können allgemein bekannte "Back End"-Techniken zur Bildung von Metallkontakten, Metallisierungsschichten und Innenlagen-Dielektrika verwendet werden, um verschiedene Transistoren zu funktionalen integrierten Schaltungen, wie Mikroprozessoren, miteinander zu verbinden.
  • Ein wertvoller Aspekt der vorliegenden Erfindung ist, daß die Deckschicht die Gate-Breite des Transistors erhöht. Auf diese Weise kann eine minimale Feature-Dimension und -Beabstandung verwendet werden, um die Halbleiterkörper zu bilden, und dann kann die Deckschicht auf und um den minimal definierten Halbleiterkörpern gebildet werden, um die Gate-Breite der Vorrichtung zu vergrößern. Dies erhöht den Strom pro Fläche der Vorrichtung, wodurch die Leistung der Vorrichtung erhöht wird. Die Bildung der Deckschicht auf minimal definierten und getrennten Features verringert den Abstand zwischen minimal beabstandeten Körpern auf einen Abstand von weniger als die kritische Dimension oder weniger als die Dimension, die mit dem Photolithographieprozeß erreichbar ist, der zur Definition der Vorrichtung verwendet wird. Auf diese Weise ermöglicht die Bildung einer Deckschicht das Erreichen einer größeren Gate-Breite bei jedem Halbleiterkörper, während die Körper weiterhin mit den minimalen kritischen Dimensionen (CD) und Abständen definiert sind. Die Verwendung einer Deckschicht zur Vergrößerung der Gate-Breite ist selbst in Anwendungen wertvoll, die keine durch Spannung verbesserte Mobilität erfordern oder wünschen. Als solche beinhalten die Ausführungsformen der vorliegenden Erfindung Anwendungen, wo zum Beispiel Siliziumdeckschichten auf minimal beabstandeten Siliziumkörpern gebildet sind, um die Gate-Breite des hergestellten Transistors zu erhöhen. Zusätzlich ist die Verwendung einer Deckschicht zur Vergrößerung der Gate-Breite pro Fläche in Nicht-Bulk-Vorrichtungen nützlich, wie Tri-Gate- oder dreidimensionalen Vorrichtungen, die auf isolierten Substraten, wie in Silizium-auf-Isolator (SOI) Substraten gebildet sind.
  • In Ausführungsformen der vorliegenden Erfindung werden Stapel von Halbleiterfilmen (d.h., Bulk-Halbleiter 300, Halbleiterkörper 318 und Deckschicht 322) konstruiert, um eine hohe Verspannung in der Deckschicht 322 zu erzeugen, die die Trägermobilität drastisch erhöhen kann. 5 zeigt, wie ein Bulk-Silizium-, monokristallines Siliziumsubstrat, ein Silizium-Germanium-Legierungs-Halbleiterkörper 320 und eine Siliziumdeckschicht 322 eine hohe Zugspannung in der Siliziumdeckschicht 322 erzeugen können. Wenn ein epitaxialer Silizium-Germanium-Legierungsfilm 316 auf einem monokristallinen Substrat 300 wachsen gelassen wird (3E), stimmt die Gitterkonstante der Ebene 502 des Silizium-Germanium-Films 318 parallel zu der Oberfläche des monokristallinen Siliziumsubstrats 300 mit dem Siliziumgitter des Bulk-Siliziumsubstrats 300 überein. Die Gitterkonstante der Ebene 504 der Silizium-Germanium-Legierung 316 senkrecht zu der Siliziumsubstratoberfläche ist aufgrund der tetragonalen Verformung des expitaxialen Silizium-Germanium-Films 316 größer als jene der Ebene 502 parallel zu dem Siliziumsubstrat 300. Sobald die Isolierbereiche 312 versenkt sind (3F), um den Silizium-Germanium-Körper 318 zu bilden, dehnt sich das Silizium-Germanium-Gitter auf der Oberseite 319 aus und die Gitterkonstante an den Seiten zieht sich aufgrund des Vorhandenseins der freien Oberfläche zusammen. Im Allgemeinen ist die Gitterkonstante an der Seitenwand 320 der Silizium-Germanium-Legierung 318 größer als die Gitterkonstante an der oberen Oberfläche 319 der Silizium-Germanium-Legierung, die größer als die Gitterkonstante der Silizium-Germanium-Legierung auf dem monokristallinen Siliziumsubstrat ist. Wenn eine Siliziumdeckschicht 322 auf der verspannten Silizium-Germanium-Legierung wachsen gelassen wird (3G), legt die Silizium-Germanium-Legierung 318 ihre verlängerte vertikale Zelldimension 504 auf eine bereits kleinere Zelldimension der Silizium-Deckschicht 322 auf, wodurch eine orthorhombische verspannte Silizium-Deckschicht 322 an den Seitenwänden des SiGe-Körpers 318 erzeugt wird. Somit erfährt die Siliziumdeckschicht, die an den Seitenwänden 322 der Silizium-Germanium-Legierung gebildet ist, eine wesentliche Zugspannung und eine geringere, aber signifikante Zuspannung auf der oberen Oberfläche 319 der Silizium-Germanium-Legierung. Die Verspannung, die in der Silizium-Deckschicht 322 erzeugt wird, wirkt in eine Richtung senkrecht zu dem Stromfluß in der Vorrichtung.
  • 4A bis 4C zeigen ein Verfahren zur Bildung eines dreidimensionalen Bulk-Transistors mit einer durch Verspannung erhöhten Mobilität, wobei die Deckschicht auf der oberen Oberfläche des Halbleiterkörpers dicker gebildet ist als an den Seitenwänden. Wie in 4A dargestellt, wird der Halbleiterkörperfilm 316 zwischen Isolierbereichen 312 wachsen gelassen, wie unter Bezugnahme auf 3E beschrieben ist. In dieser Ausführungsform wird jedoch ein erster Abschnitt 410 der Deckschicht auf dem Halbleiterkörper 316 vor dem Aushöhlen von Isolierbereichen 312 wachsen gelassen. In einer Ausführungsform der vorliegenden Erfindung wird die Siliziumnitridschicht 306 dicker als für den Halbleiterkörper 318 notwendig gebildet, so daß zusätzlicher Raum bereitgestellt wird, damit der erste Abschnitt 410 der Halbleiterdeckschicht in dem Graben 310 wachsen gelassen werden kann. Auf diese Weise kann der erste Abschnitt der Deckschicht 410 innerhalb der Isolierbereiche 312 begrenzt werden. Nach der Bildung des ersten Abschnitts 410 der Deckschicht werden die Isolierbereiche, wie zuvor beschrieben, versenkt, um einen Halbleiterkörper 318 mit einer Deckschicht 410 zu bilden, die auf seiner oberen Oberfläche gebildet ist, wie in 4B dargestellt ist. Wie in 4C dargestellt ist, wird anschließend ein zweiter Abschnitt 412 der Deckschicht an den Seitenwänden 430 des Halbleiterkörpers 318 und auf dem ersten Abschnitt 410 der Deckschicht, die auf der oberen Oberfläche 319 des Halbleiterkörpers 320 gebildet ist, wachsen gelassen. In einer Ausführungsform der vorliegenden Erfindung ist die Halbleiterdeckschicht 410 zu einer Dicke gebildet, die im Wesentlichen gleich der Dicke des zweiten Abschnitts der Deckschicht 412 ist. Wenn ein im Wesentlichen quadratischer Halbleiterkörper 318 gebildet wird, stellen auf diese Weise der Halbleiterkörper 318 und die Deckschicht weiterhin einen im Wesentlichen quadratischen bedeckten Körper bereit. Anschließend kann die Bearbeitung wie in 3H und 3I dargestellt fortgesetzt werden, um die Herstellung des dreidimensionalen Bulk-Transistors mit einer durch Verspannung erhöhten Mobilität zu beenden.
  • Zusammenfassung
  • Ein Verfahren eines Bulk-Tri-Gate-Transistors mit durch Verspannung erhöhter Mobilität und Verfahren zu dessen Herstellung. Die vorliegende Erfindung betrifft einen nicht-planaren Transistor mit einer durch Verspannung erhöhten Mobilität und dessen Herstellungsverfahren. Bei dem Transistor ist ein Halbleiterkörper auf einem Halbleitersubstrat gebildet, wobei der Halbleiterkörper eine obere Oberfläche auf seitlich sich gegenüberliegenden Seitenwänden hat. Eine Halbleiterdeckschicht ist auf der oberen Oberfläche und an den Seitenwänden des Halbleiterkörpers gebildet. Eine dielektrische Gate-Schicht ist auf der Halbleiterdeckschicht auf der oberen Oberfläche eines Halbleiterkörpers gebildet und ist auf der Deckschicht an den Seitenwänden des Halbleiterkörpers gebildet. Eine Gate-Elektrode mit zwei seitlich sich gegenüberliegenden Seitenwänden ist auf der und um die dielektrische Gate-Schicht gebildet. Ein Paar Source-/Drain-Regionen ist in dem Halbleiterkörper an sich gegenüberliegenden Seiten der Gate-Elektrode gebildet.

Claims (42)

  1. Halbleitervorrichtung, umfassend: einen Halbleiterkörper auf einem Halbleitersubstrat, wobei der Halbleiterkörper eine obere Oberfläche und seitlich sich gegenüberliegende Seitenwände hat; eine Halbleiterdeckschicht, die auf der oberen Oberfläche und an den Seitenwänden des Halbleiterkörpers ausgebildet ist; eine dielektrische Gate-Schicht, die auf der Halbleiterdeckschicht auf der oberen Oberfläche und an den Seitenwänden des Halbleiterkörpers ausgebildet ist; eine Gate-Elektrode mit zwei seitlich sich gegenüberliegenden Seitenwänden, die auf der und um die dielektrische Gate-Schicht ausgebildet sind; und ein Paar Source-/Drain-Regionen, das in dem Halbleiterkörper an sich gegenüberliegenden Seiten der Gate-Elektrode gebildet ist.
  2. Halbleitervorrichtung nach Anspruch 1, wobei die Halbleiterdeckschicht eine Zugspannung aufweist.
  3. Halbleitervorrichtung nach Anspruch 2, wobei die Halbleiterdeckschicht eine größere Zugspannung an den Seitenwänden des Halbleiterkörpers als auf der oberen Oberfläche des Halbleiterkörpers hat.
  4. Halbleitervorrichtung nach Anspruch 2, wobei die Source-/Drain-Regionen eine n-Typ-Leitfähigkeit aufweisen.
  5. Halbleitervorrichtung nach Anspruch 1, wobei das Halbleitersubstrat ein Siliziumsubstrat ist, wobei der Halbleiterkörper eine Silizium-Germanium-Legierung ist und wobei die Halbleiterdeckschicht ein Siliziumfilm ist.
  6. Halbleitervorrichtung nach Anspruch 1, wobei die Halbleiterdeckschicht eine kompressive Spannung hat.
  7. Halbleitervorrichtung nach Anspruch 6, wobei die Halbleiterdeckschicht eine größere kompressive Spannung an den Seitenwänden als auf der oberen Oberfläche des Halbleiterkörpers hat.
  8. Halbleitervorrichtung nach Anspruch 6, wobei das Halbleitersubstrat ein monokristallines Siliziumsubstrat ist, wobei der Halbleiterkörper eine Silizium-Kohlenstoff-Legierung umfaßt und wobei die Halbleiterdeckschicht ein Siliziumfilm ist.
  9. Halbleitervorrichtung nach Anspruch 1, wobei das Halbleitersubstrat ein Siliziumsubstrat ist, wobei der Halbleiterkörper ein Siliziumkörper ist, und wobei die Halbleiterdeckschicht eine Siliziumdeckschicht ist.
  10. Halbleitervorrichtung, umfassend: einen Silizium-Germanium-Körper, der auf einem monokristallinen Siliziumsubstrat gebildet ist, wobei der Silizium-Germanium-Körper eine obere Oberfläche und zwei seitlich sich gegenüberliegende Seitenwände hat; einen Siliziumfilm, der auf der oberen Oberfläche und an den Seitenwänden des Silizium-Germanium-Körpers gebildet ist; eine dielektrische Gate-Schicht, die auf dem Siliziumfilm auf der oberen Oberfläche des Halbleiterkörpers und auf dem Siliziumfilm an den Seitenwänden des Halbleiterkörpers ausgebildet ist; eine Gate-Elektrode mit zwei seitlich gegenüberliegenden Seitenwänden, die auf der und um die dielektrische Gate-Schicht gebildet sind; und ein Paar Source-/Drain-Regionen, das in dem Halbleiterkörper an gegenüberliegenden Seiten der Gate-Elektrode ausgebildet ist.
  11. Halbleitervorrichtung nach Anspruch 10, wobei der Siliziumfilm auf der oberen Oberfläche des Halbleiterkörpers dicker gebildet ist als an den Seitenwänden des Halbleiterkörpers.
  12. Halbleitervorrichtung nach Anspruch 10, wobei der Siliziumfilm eine Dicke zwischen 50 Å und 300 Å hat.
  13. Halbleitervorrichtung nach Anspruch 10, wobei die Silizium-Germanium-Legierung 5% bis 40% Germanium umfaßt.
  14. Halbleitervorrichtung nach Anspruch 13, wobei die Silizium-Germanium-Legierung etwa 15 bis 25% Germanium umfaßt.
  15. Halbleitervorrichtung nach Anspruch 10, wobei die Source-/Drain-Regionen eine n-Typ-Leitfähigkeit aufweisen.
  16. Halbleitervorrichtung, umfassend: einen Silizium-Kohlenstoff-Legierungskörper, der auf einem monokristallinen Siliziumsubstrat gebildet ist, wobei der Silizium-Kohlenstoff-Legierungskörper eine obere Oberfläche und zwei seitlich sich gegenüberliegende Seitenwände hat; einen Siliziumfilm, der auf der oberen Oberfläche und an den Seitenwänden des Silizium-Kohlenstoff-Legierungskörper gebildet ist; eine dielektrische Gate-Schicht, die auf dem Siliziumfilm auf der oberen Oberfläche des Silizium-Kohlenstoff-Legierungskörpers und auf dem Siliziumfilm an den Seitenwänden des Silizium-Kohlenstoff-Legierungskörpers gebildet ist; eine Gate-Elektrode mit zwei seitlich sich gegenüberliegenden Seitenwänden, die auf der und um die dielektrische Gate-Schicht gebildet sind; und ein Paar Source-/Drain-Regionen, das in dem Halbleiterkörper an gegenüberliegenden Seiten der Gate-Elektrode gebildet ist.
  17. Halbleitervorrichtung nach Anspruch 16, wobei der Siliziumfilm zu einer Dicke zwischen 50 Å und 300 Å gebildet ist.
  18. Halbleitervorrichtung nach Anspruch 17, wobei der Siliziumfilm eine Dicke zwischen 50 Å und 300 Å hat.
  19. Halbleitervorrichtung nach Anspruch 16, wobei die Source-/Drain-Regionen eine p-Typ-Leitfähigkeit aufweisen.
  20. Verfahren zum Herstellen einer Halbleitervorrichtung, umfassend: Ausbilden von zwei Isolierbereichen in einem Halbleitersubstrat, wobei die zwei Isolierbereiche eine aktive Substratregion in dem Halbleitersubstrat zwischen sich definieren, wobei sich der Isolierbereich über das Substrat erstreckt; Ausbilden eines Halbleiterfilms auf der aktiven Region des Halbleitersubstrats zwischen den zwei Isolierbereichen; Zurückätzen der Isolierbereiche zum Bilden eines Halbleiterkörpers aus dem Halbleiterfilm, wobei der Halbleiterkörper eine obere Oberfläche und zwei seitlich sich gegenüberliegende Seitenwände hat; Ausbilden einer Halbleiterdeckschicht auf der oberen Oberfläche und an den Seitenwänden des Halbleiterkörpers; Ausbilden einer dielektrischen Gate-Schicht über der Deckschicht, die an den Seitenwänden der oberen Oberfläche des Halbleiterkörpers gebildet ist; Ausbilden einer Gate-Elektrode mit zwei seitlich sich gegenüberliegenden Seitenwänden auf der und um die dielektrische Gate-Schicht; und Ausbilden eines Paares von Source-/Drain-Regionen in dem Halbleiterkörper an sich gegenüberliegenden Seiten der Gate-Elektrode.
  21. Verfahren nach Anspruch 20, wobei der Halbleiterfilm selektiv von der aktiven Region des Halbleitersubstrats wachsen gelassen wird.
  22. Verfahren nach Anspruch 20, wobei die Deckschicht selektiv von dem Halbleiterkörper wachsen gelassen wird.
  23. Verfahren nach Anspruch 20, wobei die Isolierbereiche mit einem nassen Ätzmittel zurückgeätzt werden.
  24. Verfahren nach Anspruch 20, wobei die Halbleiterdeckschicht eine Zugspannung hat.
  25. Verfahren nach Anspruch 24, wobei die Halbleiterdeckschicht eine größere Zugspannung an den Seitenwänden des Halbleiterkörpers als auf der oberen Oberfläche des Halbleiterkörpers hat.
  26. Verfahren nach Anspruch 24, wobei die Source-/Drain-Regionen eine n-Typ-Leitfähigkeit aufweisen.
  27. Verfahren nach Anspruch 20, wobei das Halbleitersubstrat ein Siliziumsubstrat ist und wobei der Halbleiterkörper eine Silizium-Germanium-Legierung ist und wobei die Halbleiterdeckschicht Silizium ist.
  28. Verfahren nach Anspruch 20, wobei die Halbleiterdeckschicht eine kompressive Spannung hat.
  29. Verfahren nach Anspruch 28, wobei die Halbleiterdeckschicht eine größere kompressive Spannung an den Seitenwänden als auf der oberen Oberfläche des Halbleiterkörpers hat.
  30. Verfahren nach Anspruch 28, wobei das Halbleitersubstrat ein monokristallines Siliziumsubstrat ist, wobei der Halbleiterkörper eine Silizium-Kohlenstoff-Legierung umfaßt, und wobei die Halbleiterdeckschicht expitaxiales Silizium ist.
  31. Verfahren nach Anspruch 28, wobei die Source-/Drain-Regionen eine p-Typ-Leitfähigkeit aufweisen.
  32. Verfahren zum Bilden einer Halbleitervorrichtung, umfassend: Ausbilden von zwei beabstandeten Isolierbereichen in einem Halbleitersubstrat, wobei die beabstandeten Isolierbereiche eine aktive Substratfläche in dem Substrat definieren, wobei sich die Isolierbereiche über die aktive Substratfläche erstrecken; Ausbilden eines Halbleiterfilms auf der aktiven Fläche des Substrats zwischen den Isolierbereichen; Ausbilden einer ersten Deckschicht auf der oberen Oberfläche des Halbleiterfilms zwischen den Isolierbereichen; Zurückätzen der Isolierbereiche zur Bildung eines Halbleiterkörpers mit einer oberen Oberfläche mit der ersten Deckschicht und zwei seitlich sich gegenüberliegenden Seitenwänden; Ausbilden einer zweiten Deckschicht auf der ersten Deckschicht auf der oberen Oberfläche des Halbleiterkörpers und an den Seitenwänden des Halbleiterkörpers; Ausbilden einer dielektrischen Gate-Schicht auf der zweiten Deckschicht auf der ersten Deckschicht auf dem Halbleiterkörper und auf der zweiten Deckschicht an den Seitenwänden des Halbleiterkörpers; Ausbilden einer Gate-Elektrode mit zwei seitlich gegenüberliegenden Seitenwänden auf der und um die dielektrische Gate-Schicht; und Ausbilden eines Paares von Source-/Drain-Regionen in dem Halbleiterkörper an sich gegenüberliegenden Seiten der Gate-Elektrode.
  33. Verfahren nach Anspruch 32, wobei die erste und zweite Deckschicht expitaxiales Silizium sind, und wobei der Halbleiterkörper eine Silizium-Germanium-Legierung ist, und wobei das Halbleitersubstrat ein monokristallines Siliziumsubstrat ist.
  34. Verfahren nach Anspruch 32, wobei die erste und zweite Deckschicht expitaxiales Silizium sind, wobei der Halbleiterkörper eine Silizium-Kohlenstoff-Legierung ist und wobei das Halbleitersubstrat monokristallines Siliziumsubstrat ist.
  35. Verfahren nach Anspruch 32, wobei die erste und zweite Halbleiterdeckschicht eine Zugspannung haben.
  36. Verfahren nach Anspruch 32, wobei die erste und zweite Halbleiterdeckschicht eine kompressive Spannung haben.
  37. Verfahren nach Anspruch 32, wobei der Halbleiterfilm eine andere Gitterstruktur als das Halbleitersubstrat hat, so daß im Halbleiterfilm eine Spannung entsteht.
  38. Verfahren zum Bilden einer Halbleitervorrichtung, umfassend: Ausbilden eines ersten Halbleiterkörpers und eines zweiten Halbleiterkörpers auf einem Substrat, wobei der erste und der zweite Halbleiterkörper jeweils eine obere Oberfläche und zwei sich seitlich gegenüberliegende Seitenwände haben, wobei der erste Halbleiterkörper und der zweite Halbleiterkörper mit einem Abstand getrennt sind; Ausbilden einer Halbleiterdeckschicht an den Seitenwänden und der oberen Oberfläche des ersten und des zweiten Halbleiterkörpers; Ausbilden einer dielektrischen Gate-Schicht auf der oberen Oberfläche und an den Seitenwänden des ersten und zweiten Halbleiterkörpers; und Ausbilden einer Gate-Elektrode auf der dielektrischen Gate-Schicht auf der oberen Oberfläche des ersten und zweiten Halbleiterkörpers und neben der dielektrischen Gate-Schicht an den Seitenwänden des ersten und zweiten Halbleiterkörpers.
  39. Verfahren nach Anspruch 38, wobei die Halbleiterkörper unter Verwendung eines Photolithographieprozesses definiert werden und wobei der Abstand, der den ersten und zweiten Körper trennt, die minimale Dimension ist, die durch den Photolithographieprozeß erreicht werden kann.
  40. Verfahren nach Anspruch 39, wobei der erste und zweite Halbleiterkörper eine Breite gleich der kleinsten Dimension haben, die durch den Photolithographieprozeß definiert werden kann.
  41. Verfahren nach Anspruch 38, wobei der Halbleiterkörper ein expitaxialer Siliziumfilm ist und wobei die Halbleiterdeckschicht ein expitaxialer Siliziumfilm ist.
  42. Verfahren nach Anspruch 38, wobei der Halbleiterkörper ein expitaxialer Silizium-Germanium-Legierungsfilm ist und wobei die Halbleiterdeckschicht ein expitaxialer Siliziumfilm ist.
DE112005000704T 2004-03-31 2005-03-28 Nicht-planarer Bulk-Transistor mit verspanntem Kanal mit erhöhter Mobilität und Verfahren zur Herstellung Active DE112005000704B4 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/816,311 2004-03-31
US10/816,311 US7154118B2 (en) 2004-03-31 2004-03-31 Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
PCT/US2005/010505 WO2005098963A1 (en) 2004-03-31 2005-03-28 A bulk non-planar transistor having a strained channel with enhanced mobility and methods of fabrication

Publications (2)

Publication Number Publication Date
DE112005000704T5 true DE112005000704T5 (de) 2007-09-06
DE112005000704B4 DE112005000704B4 (de) 2012-08-30

Family

ID=34964024

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112005000704T Active DE112005000704B4 (de) 2004-03-31 2005-03-28 Nicht-planarer Bulk-Transistor mit verspanntem Kanal mit erhöhter Mobilität und Verfahren zur Herstellung

Country Status (6)

Country Link
US (3) US7154118B2 (de)
KR (1) KR100845175B1 (de)
CN (1) CN101189730B (de)
DE (1) DE112005000704B4 (de)
TW (1) TWI269358B (de)
WO (1) WO2005098963A1 (de)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE112006003550B4 (de) * 2005-12-27 2010-12-02 Intel Corporation, Santa Clara Halbleitervorrichtung in Form einer Mehrgateanordnung mit vertieften und verspannten Source- und Drainbereichen sowie Herstellungsverfahren für diese
DE102010038742A1 (de) * 2010-07-30 2012-02-02 Globalfoundries Dresden Module One Llc & Co. Kg Verformungstechnologie in dreidimensionalen Transistoren auf der Grundlage eines verformten Kanalhalbleitermaterials
DE102015106700A1 (de) * 2015-02-13 2016-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Halbleiterstruktur mit erweiterter Gatestruktur und Ausbildungsverfahren dafür
US9929242B2 (en) 2015-01-12 2018-03-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof

Families Citing this family (264)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7358121B2 (en) * 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
US7074656B2 (en) 2003-04-29 2006-07-11 Taiwan Semiconductor Manufacturing Company, Ltd. Doping of semiconductor fin devices
WO2004107452A1 (ja) * 2003-05-30 2004-12-09 Matsushita Electric Industrial Co., Ltd. 半導体装置およびその製造方法
US7598515B2 (en) * 2003-06-26 2009-10-06 Mears Technologies, Inc. Semiconductor device including a strained superlattice and overlying stress layer and related methods
US7531828B2 (en) * 2003-06-26 2009-05-12 Mears Technologies, Inc. Semiconductor device including a strained superlattice between at least one pair of spaced apart stress regions
US20070020833A1 (en) * 2003-06-26 2007-01-25 Rj Mears, Llc Method for Making a Semiconductor Device Including a Channel with a Non-Semiconductor Layer Monolayer
US7612366B2 (en) * 2003-06-26 2009-11-03 Mears Technologies, Inc. Semiconductor device including a strained superlattice layer above a stress layer
US20070015344A1 (en) * 2003-06-26 2007-01-18 Rj Mears, Llc Method for Making a Semiconductor Device Including a Strained Superlattice Between at Least One Pair of Spaced Apart Stress Regions
US20070010040A1 (en) * 2003-06-26 2007-01-11 Rj Mears, Llc Method for Making a Semiconductor Device Including a Strained Superlattice Layer Above a Stress Layer
US20070020860A1 (en) * 2003-06-26 2007-01-25 Rj Mears, Llc Method for Making Semiconductor Device Including a Strained Superlattice and Overlying Stress Layer and Related Methods
US6909151B2 (en) 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
US7456476B2 (en) 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US7105390B2 (en) 2003-12-30 2006-09-12 Intel Corporation Nonplanar transistors with metal gate electrodes
US7268058B2 (en) 2004-01-16 2007-09-11 Intel Corporation Tri-gate transistors and methods to fabricate same
US7154118B2 (en) 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
KR100541657B1 (ko) * 2004-06-29 2006-01-11 삼성전자주식회사 멀티 게이트 트랜지스터의 제조방법 및 이에 의해 제조된멀티 게이트 트랜지스터
US7042009B2 (en) 2004-06-30 2006-05-09 Intel Corporation High mobility tri-gate devices and methods of fabrication
US7598134B2 (en) * 2004-07-28 2009-10-06 Micron Technology, Inc. Memory device forming methods
US7348284B2 (en) 2004-08-10 2008-03-25 Intel Corporation Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
US7253493B2 (en) * 2004-08-24 2007-08-07 Micron Technology, Inc. High density access transistor having increased channel width and methods of fabricating such devices
US7679145B2 (en) * 2004-08-31 2010-03-16 Intel Corporation Transistor performance enhancement using engineered strains
US7531395B2 (en) * 2004-09-01 2009-05-12 Micron Technology, Inc. Methods of forming a layer comprising epitaxial silicon, and methods of forming field effect transistors
US7144779B2 (en) * 2004-09-01 2006-12-05 Micron Technology, Inc. Method of forming epitaxial silicon-comprising material
US8673706B2 (en) * 2004-09-01 2014-03-18 Micron Technology, Inc. Methods of forming layers comprising epitaxial silicon
US7132355B2 (en) * 2004-09-01 2006-11-07 Micron Technology, Inc. Method of forming a layer comprising epitaxial silicon and a field effect transistor
US7332439B2 (en) 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
US7422946B2 (en) 2004-09-29 2008-09-09 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US7361958B2 (en) * 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
US20060086977A1 (en) 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
US7393733B2 (en) * 2004-12-01 2008-07-01 Amberwave Systems Corporation Methods of forming hybrid fin field-effect transistor structures
US7235501B2 (en) 2004-12-13 2007-06-26 Micron Technology, Inc. Lanthanum hafnium oxide dielectrics
US7193279B2 (en) * 2005-01-18 2007-03-20 Intel Corporation Non-planar MOS structure with a strained channel region
FR2881877B1 (fr) * 2005-02-04 2007-08-31 Soitec Silicon On Insulator Transistor a effet de champ multi-grille a canal multi-couche
US7518196B2 (en) 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US20060202266A1 (en) 2005-03-14 2006-09-14 Marko Radosavljevic Field effect transistor with metal source/drain regions
US20060214233A1 (en) * 2005-03-22 2006-09-28 Ananthanarayanan Hari P FinFET semiconductor device
FR2885733B1 (fr) * 2005-05-16 2008-03-07 St Microelectronics Crolles 2 Structure de transistor a trois grilles
US8324660B2 (en) 2005-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US9153645B2 (en) 2005-05-17 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US7858481B2 (en) 2005-06-15 2010-12-28 Intel Corporation Method for fabricating transistor with thinned channel
US20060286759A1 (en) * 2005-06-21 2006-12-21 Texas Instruments, Inc. Metal oxide semiconductor (MOS) device having both an accumulation and a enhancement mode transistor device on a similar substrate and a method of manufacture therefor
US7547637B2 (en) 2005-06-21 2009-06-16 Intel Corporation Methods for patterning a semiconductor film
US7279375B2 (en) 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US7265008B2 (en) 2005-07-01 2007-09-04 Synopsys, Inc. Method of IC production using corrugated substrate
US7247887B2 (en) * 2005-07-01 2007-07-24 Synopsys, Inc. Segmented channel MOS transistor
US7190050B2 (en) * 2005-07-01 2007-03-13 Synopsys, Inc. Integrated circuit on corrugated substrate
US7288802B2 (en) * 2005-07-27 2007-10-30 International Business Machines Corporation Virtual body-contacted trigate
US7381649B2 (en) 2005-07-29 2008-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Structure for a multiple-gate FET device and a method for its fabrication
US7348642B2 (en) 2005-08-03 2008-03-25 International Business Machines Corporation Fin-type field effect transistor
US7402875B2 (en) 2005-08-17 2008-07-22 Intel Corporation Lateral undercut of metal gate in SOI device
US7479421B2 (en) 2005-09-28 2009-01-20 Intel Corporation Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby
US20070090416A1 (en) * 2005-09-28 2007-04-26 Doyle Brian S CMOS devices with a single work function gate electrode and method of fabrication
US20070102756A1 (en) * 2005-11-10 2007-05-10 Bohumil Lojek FinFET transistor fabricated in bulk semiconducting material
DE102006027178A1 (de) * 2005-11-21 2007-07-05 Infineon Technologies Ag Multi-Fin-Bauelement-Anordnung und Verfahren zum Herstellen einer Multi-Fin-Bauelement-Anordnung
US7485503B2 (en) 2005-11-30 2009-02-03 Intel Corporation Dielectric interface for group III-V semiconductor device
US8183556B2 (en) 2005-12-15 2012-05-22 Intel Corporation Extreme high mobility CMOS logic
KR100713924B1 (ko) * 2005-12-23 2007-05-07 주식회사 하이닉스반도체 돌기형 트랜지스터 및 그의 형성방법
US20070161214A1 (en) 2006-01-06 2007-07-12 International Business Machines Corporation High k gate stack on III-V compound semiconductors
DE102006001680B3 (de) * 2006-01-12 2007-08-09 Infineon Technologies Ag Herstellungsverfahren für eine FinFET-Transistoranordnung und entsprechende FinFET-Transistoranordnung
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US7777250B2 (en) 2006-03-24 2010-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures and related methods for device fabrication
JP2007299951A (ja) * 2006-04-28 2007-11-15 Toshiba Corp 半導体装置およびその製造方法
US20090321830A1 (en) 2006-05-15 2009-12-31 Carnegie Mellon University Integrated circuit device, system, and method of fabrication
US7422960B2 (en) 2006-05-17 2008-09-09 Micron Technology, Inc. Method of forming gate arrays on a partial SOI substrate
JP2007329200A (ja) * 2006-06-06 2007-12-20 Toshiba Corp 半導体装置の製造方法
JP4552908B2 (ja) * 2006-07-26 2010-09-29 エルピーダメモリ株式会社 半導体装置の製造方法
US8143646B2 (en) 2006-08-02 2012-03-27 Intel Corporation Stacking fault and twin blocking barrier for integrating III-V on Si
US7727908B2 (en) 2006-08-03 2010-06-01 Micron Technology, Inc. Deposition of ZrA1ON films
US7537994B2 (en) * 2006-08-28 2009-05-26 Micron Technology, Inc. Methods of forming semiconductor devices, assemblies and constructions
US7759747B2 (en) 2006-08-31 2010-07-20 Micron Technology, Inc. Tantalum aluminum oxynitride high-κ dielectric
US7776765B2 (en) 2006-08-31 2010-08-17 Micron Technology, Inc. Tantalum silicon oxynitride high-k dielectrics and metal gates
WO2008030574A1 (en) 2006-09-07 2008-03-13 Amberwave Systems Corporation Defect reduction using aspect ratio trapping
US7456471B2 (en) * 2006-09-15 2008-11-25 International Business Machines Corporation Field effect transistor with raised source/drain fin straps
US7799592B2 (en) 2006-09-27 2010-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Tri-gate field-effect transistors formed by aspect ratio trapping
WO2008039534A2 (en) 2006-09-27 2008-04-03 Amberwave Systems Corporation Quantum tunneling devices and circuits with lattice- mismatched semiconductor structures
US20080187018A1 (en) 2006-10-19 2008-08-07 Amberwave Systems Corporation Distributed feedback lasers formed via aspect ratio trapping
US7829407B2 (en) * 2006-11-20 2010-11-09 International Business Machines Corporation Method of fabricating a stressed MOSFET by bending SOI region
US7728364B2 (en) * 2007-01-19 2010-06-01 International Business Machines Corporation Enhanced mobility CMOS transistors with a V-shaped channel with self-alignment to shallow trench isolation
US8735990B2 (en) * 2007-02-28 2014-05-27 International Business Machines Corporation Radiation hardened FinFET
JP5003515B2 (ja) 2007-03-20 2012-08-15 ソニー株式会社 半導体装置
WO2008124154A2 (en) 2007-04-09 2008-10-16 Amberwave Systems Corporation Photovoltaics on silicon
US7825328B2 (en) 2007-04-09 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Nitride-based multi-junction solar cell modules and methods for making the same
US8237151B2 (en) 2009-01-09 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Diode-based devices and methods for making the same
US8304805B2 (en) 2009-01-09 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor diodes fabricated by aspect ratio trapping with coalesced films
US8927353B2 (en) 2007-05-07 2015-01-06 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor and method of forming the same
US8450165B2 (en) 2007-05-14 2013-05-28 Intel Corporation Semiconductor device having tipless epitaxial source/drain regions
US20080293192A1 (en) * 2007-05-22 2008-11-27 Stefan Zollner Semiconductor device with stressors and methods thereof
US8174073B2 (en) * 2007-05-30 2012-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit structures with multiple FinFETs
US8329541B2 (en) 2007-06-15 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. InP-based transistor fabrication
US20090001415A1 (en) * 2007-06-30 2009-01-01 Nick Lindert Multi-gate transistor with strained body
US7692254B2 (en) * 2007-07-16 2010-04-06 International Business Machines Corporation Fin-type field effect transistor structure with merged source/drain silicide and method of forming the structure
US7969808B2 (en) * 2007-07-20 2011-06-28 Samsung Electronics Co., Ltd. Memory cell structures, memory arrays, memory devices, memory controllers, and memory systems, and methods of manufacturing and operating the same
KR20090116088A (ko) * 2008-05-06 2009-11-11 삼성전자주식회사 정보 유지 능력과 동작 특성이 향상된 커패시터리스 1t반도체 메모리 소자
DE112008002387B4 (de) 2007-09-07 2022-04-07 Taiwan Semiconductor Manufacturing Co., Ltd. Struktur einer Mehrfachübergangs-Solarzelle, Verfahren zur Bildung einer photonischenVorrichtung, Photovoltaische Mehrfachübergangs-Zelle und Photovoltaische Mehrfachübergangs-Zellenvorrichtung,
KR101308048B1 (ko) * 2007-10-10 2013-09-12 삼성전자주식회사 반도체 메모리 장치
KR20090075063A (ko) * 2008-01-03 2009-07-08 삼성전자주식회사 플로팅 바디 트랜지스터를 이용한 동적 메모리 셀을 가지는메모리 셀 어레이를 구비하는 반도체 메모리 장치 및 이장치의 동작 방법
US7982269B2 (en) * 2008-04-17 2011-07-19 International Business Machines Corporation Transistors having asymmetric strained source/drain portions
JP5159413B2 (ja) * 2008-04-24 2013-03-06 株式会社東芝 半導体装置及びその製造方法
US8183667B2 (en) 2008-06-03 2012-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial growth of crystalline material
US8362566B2 (en) 2008-06-23 2013-01-29 Intel Corporation Stress in trigate devices using complimentary gate fill materials
US8274097B2 (en) 2008-07-01 2012-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Reduction of edge effects from aspect ratio trapping
US8981427B2 (en) 2008-07-15 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Polishing of small composite semiconductor materials
US7833891B2 (en) * 2008-07-23 2010-11-16 International Business Machines Corporation Semiconductor device manufacturing method using oxygen diffusion barrier layer between buried oxide layer and high K dielectric layer
US20100072515A1 (en) 2008-09-19 2010-03-25 Amberwave Systems Corporation Fabrication and structures of crystalline material
JP5416212B2 (ja) 2008-09-19 2014-02-12 台湾積體電路製造股▲ふん▼有限公司 エピタキシャル層の成長によるデバイス形成
US8253211B2 (en) 2008-09-24 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor sensor structures with reduced dislocation defect densities
KR20100070158A (ko) * 2008-12-17 2010-06-25 삼성전자주식회사 커패시터가 없는 동작 메모리 셀을 구비한 반도체 메모리 장치 및 이 장치의 동작 방법
KR101442177B1 (ko) * 2008-12-18 2014-09-18 삼성전자주식회사 커패시터 없는 1-트랜지스터 메모리 셀을 갖는 반도체소자의 제조방법들
US8058692B2 (en) * 2008-12-29 2011-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple-gate transistors with reverse T-shaped fins
US8305829B2 (en) * 2009-02-23 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Memory power gating circuit for controlling internal voltage of a memory array, system and method for controlling the same
US8305790B2 (en) * 2009-03-16 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Electrical anti-fuse and related applications
US8957482B2 (en) * 2009-03-31 2015-02-17 Taiwan Semiconductor Manufacturing Company, Ltd. Electrical fuse and related applications
CN101853882B (zh) 2009-04-01 2016-03-23 台湾积体电路制造股份有限公司 具有改进的开关电流比的高迁移率多面栅晶体管
US8816391B2 (en) * 2009-04-01 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain engineering of devices with high-mobility channels
CN102379046B (zh) 2009-04-02 2015-06-17 台湾积体电路制造股份有限公司 从晶体材料的非极性平面形成的器件及其制作方法
US8912602B2 (en) * 2009-04-14 2014-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
US8455860B2 (en) 2009-04-30 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing source/drain resistance of III-V based transistors
US9768305B2 (en) 2009-05-29 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Gradient ternary or quaternary multiple-gate transistor
US8617976B2 (en) 2009-06-01 2013-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain re-growth for manufacturing III-V based transistors
US8461015B2 (en) * 2009-07-08 2013-06-11 Taiwan Semiconductor Manufacturing Company, Ltd. STI structure and method of forming bottom void in same
US8264032B2 (en) * 2009-09-01 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Accumulation type FinFET, circuits and fabrication method thereof
US8440517B2 (en) 2010-10-13 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
US9484462B2 (en) 2009-09-24 2016-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of fin field effect transistor
US8629478B2 (en) * 2009-07-31 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure for high mobility multiple-gate transistor
US8298925B2 (en) 2010-11-08 2012-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming ultra shallow junction
US8482073B2 (en) * 2010-03-25 2013-07-09 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit including FINFETs and methods for forming the same
US8623728B2 (en) * 2009-07-28 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming high germanium concentration SiGe stressor
US8472227B2 (en) * 2010-01-27 2013-06-25 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits and methods for forming the same
US8759943B2 (en) 2010-10-08 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor having notched fin structure and method of making the same
US8497528B2 (en) 2010-05-06 2013-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure
US8980719B2 (en) 2010-04-28 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for doping fin field-effect transistors
US8264021B2 (en) * 2009-10-01 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Finfets and methods for forming the same
US20110097867A1 (en) * 2009-10-22 2011-04-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of controlling gate thicknesses in forming fusi gates
EP2315239A1 (de) * 2009-10-23 2011-04-27 Imec Verfahren zur Bildung von monokristallinem Germanium oder Silizium-Germanium
US8269283B2 (en) * 2009-12-21 2012-09-18 Intel Corporation Methods and apparatus to reduce layout based strain variations in non-planar transistor structures
US9040393B2 (en) 2010-01-14 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming semiconductor structure
JP5166458B2 (ja) * 2010-01-22 2013-03-21 株式会社東芝 半導体装置及びその製造方法
US8609495B2 (en) * 2010-04-08 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid gate process for fabricating finfet device
US8207038B2 (en) 2010-05-24 2012-06-26 International Business Machines Corporation Stressed Fin-FET devices with low contact resistance
US8603924B2 (en) 2010-10-19 2013-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming gate dielectric material
US9048181B2 (en) 2010-11-08 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming ultra shallow junction
US8769446B2 (en) 2010-11-12 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method and device for increasing fin device density for unaligned fins
US8936978B2 (en) * 2010-11-29 2015-01-20 International Business Machines Corporation Multigate structure formed with electroless metal deposition
US8877602B2 (en) 2011-01-25 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms of doping oxide for forming shallow trench isolation
US8592915B2 (en) 2011-01-25 2013-11-26 Taiwan Semiconductor Manufacturing Company, Ltd. Doped oxide for shallow trench isolation (STI)
US8431453B2 (en) 2011-03-31 2013-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma doping to reduce dielectric loss during removal of dummy layers in a gate structure
US9761666B2 (en) * 2011-06-16 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel field effect transistor
US9287385B2 (en) 2011-09-01 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-fin device and method of making same
US8441072B2 (en) * 2011-09-02 2013-05-14 United Microelectronics Corp. Non-planar semiconductor structure and fabrication method thereof
TWI499006B (zh) * 2011-10-07 2015-09-01 Etron Technology Inc 動態記憶體結構
US9368502B2 (en) * 2011-10-17 2016-06-14 GlogalFoundries, Inc. Replacement gate multigate transistor for embedded DRAM
US9893163B2 (en) 2011-11-04 2018-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. 3D capacitor and method of manufacturing same
CN103117305A (zh) * 2011-11-16 2013-05-22 中芯国际集成电路制造(上海)有限公司 一种鳍式场效应管及其基体
KR20160134872A (ko) 2011-12-19 2016-11-23 인텔 코포레이션 비평면 iii-n 트랜지스터
CN104126228B (zh) * 2011-12-23 2016-12-07 英特尔公司 非平面栅极全包围器件及其制造方法
KR101675121B1 (ko) * 2011-12-30 2016-11-10 인텔 코포레이션 랩어라운드 트렌치 콘택을 포함하는 장치, 구조물 및 제조 방법
US8659097B2 (en) * 2012-01-16 2014-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Control fin heights in FinFET structures
US9281378B2 (en) 2012-01-24 2016-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Fin recess last process for FinFET fabrication
US9466696B2 (en) 2012-01-24 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
US9171925B2 (en) 2012-01-24 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate devices with replaced-channels and methods for forming the same
US8742509B2 (en) 2012-03-01 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for FinFETs
US9559099B2 (en) 2012-03-01 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for FinFETs
KR101835655B1 (ko) * 2012-03-06 2018-03-07 삼성전자주식회사 핀 전계 효과 트랜지스터 및 이의 제조 방법
US8836016B2 (en) 2012-03-08 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods with high mobility and high energy bandgap materials
US8994002B2 (en) 2012-03-16 2015-03-31 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET having superlattice stressor
US8872284B2 (en) 2012-03-20 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with metal gate stressor
CN103325833B (zh) * 2012-03-21 2018-08-07 三星电子株式会社 场效应晶体管以及包括其的半导体器件和集成电路器件
KR101894221B1 (ko) * 2012-03-21 2018-10-04 삼성전자주식회사 전계 효과 트랜지스터 및 이를 포함하는 반도체 장치
US8716765B2 (en) 2012-03-23 2014-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8629512B2 (en) * 2012-03-28 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Gate stack of fin field effect transistor with slanted sidewalls
US9368388B2 (en) * 2012-04-13 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for FinFETs
US9559189B2 (en) 2012-04-16 2017-01-31 United Microelectronics Corp. Non-planar FET
US8709910B2 (en) 2012-04-30 2014-04-29 United Microelectronics Corp. Semiconductor process
US9059321B2 (en) * 2012-05-14 2015-06-16 International Business Machines Corporation Buried channel field-effect transistors
US8829610B2 (en) 2012-05-15 2014-09-09 United Microelectronics Corp. Method for forming semiconductor layout patterns, semiconductor layout patterns, and semiconductor structure
CN103426765B (zh) * 2012-05-24 2016-12-14 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法、鳍式场效应管的形成方法
US8729634B2 (en) 2012-06-15 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with high mobility and strain channel
CN103515430B (zh) * 2012-06-19 2016-08-10 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管及其制造方法
KR101909204B1 (ko) * 2012-06-25 2018-10-17 삼성전자 주식회사 내장된 스트레인-유도 패턴을 갖는 반도체 소자 및 그 형성 방법
US8497171B1 (en) * 2012-07-05 2013-07-30 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET method and structure with embedded underlying anti-punch through layer
US9142400B1 (en) 2012-07-17 2015-09-22 Stc.Unm Method of making a heteroepitaxial layer on a seed area
US8847281B2 (en) 2012-07-27 2014-09-30 Intel Corporation High mobility strained channels for fin-based transistors
US9190346B2 (en) 2012-08-31 2015-11-17 Synopsys, Inc. Latch-up suppression and substrate noise coupling reduction through a substrate back-tie for 3D integrated circuits
US9817928B2 (en) 2012-08-31 2017-11-14 Synopsys, Inc. Latch-up suppression and substrate noise coupling reduction through a substrate back-tie for 3D integrated circuits
US8633516B1 (en) 2012-09-28 2014-01-21 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain stack stressor for semiconductor device
US20140091279A1 (en) * 2012-09-28 2014-04-03 Jessica S. Kachian Non-planar semiconductor device having germanium-based active region with release etch-passivation surface
CN103715087B (zh) * 2012-09-29 2016-12-21 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管及其制造方法
US8716803B2 (en) * 2012-10-04 2014-05-06 Flashsilicon Incorporation 3-D single floating gate non-volatile memory device
US9349837B2 (en) 2012-11-09 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Recessing STI to increase Fin height in Fin-first process
US9443962B2 (en) 2012-11-09 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Recessing STI to increase fin height in fin-first process
CN103839810B (zh) * 2012-11-21 2017-02-22 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管芯片及其制造方法
US8946063B2 (en) * 2012-11-30 2015-02-03 International Business Machines Corporation Semiconductor device having SSOI substrate with relaxed tensile stress
CN103855020B (zh) * 2012-12-04 2016-06-29 中芯国际集成电路制造(上海)有限公司 晶体管及其形成方法
US8772117B2 (en) * 2012-12-05 2014-07-08 Globalfoundries Inc. Combination FinFET and planar FET semiconductor device and methods of making such a device
EP2741337B1 (de) 2012-12-07 2018-04-11 IMEC vzw Feldeffekttransistor mit Halbleiterheterostruktur und Verfahren zu seiner Herstellung
US8847324B2 (en) 2012-12-17 2014-09-30 Synopsys, Inc. Increasing ION /IOFF ratio in FinFETs and nano-wires
US9379018B2 (en) 2012-12-17 2016-06-28 Synopsys, Inc. Increasing Ion/Ioff ratio in FinFETs and nano-wires
US9054215B2 (en) 2012-12-18 2015-06-09 Intel Corporation Patterning of vertical nanowire transistor channel and gate with directed self assembly
US8890119B2 (en) 2012-12-18 2014-11-18 Intel Corporation Vertical nanowire transistor with axially engineered semiconductor and gate metallization
US8768271B1 (en) 2012-12-19 2014-07-01 Intel Corporation Group III-N transistors on nanoscale template structures
US8957476B2 (en) * 2012-12-20 2015-02-17 Intel Corporation Conversion of thin transistor elements from silicon to silicon germanium
US8956942B2 (en) * 2012-12-21 2015-02-17 Stmicroelectronics, Inc. Method of forming a fully substrate-isolated FinFET transistor
US9076813B1 (en) 2013-01-15 2015-07-07 Stc.Unm Gate-all-around metal-oxide-semiconductor transistors with gate oxides
US9123633B2 (en) 2013-02-01 2015-09-01 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming semiconductor regions in trenches
US9159824B2 (en) 2013-02-27 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with strained well regions
JP6309299B2 (ja) * 2013-02-27 2018-04-11 ルネサスエレクトロニクス株式会社 圧縮歪みチャネル領域を有する半導体装置及びその製造方法
US9087902B2 (en) 2013-02-27 2015-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with strained well regions
US9385234B2 (en) 2013-02-27 2016-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with strained well regions
US8963258B2 (en) * 2013-03-13 2015-02-24 Taiwan Semiconductor Manufacturing Company FinFET with bottom SiGe layer in source/drain
US9111801B2 (en) 2013-04-04 2015-08-18 Stmicroelectronics, Inc. Integrated circuit devices and fabrication techniques
US8796666B1 (en) * 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US9276087B2 (en) 2013-05-10 2016-03-01 Samsung Electronics Co., Ltd. Methods of manufacturing FINFET semiconductor devices using sacrificial gate patterns and selective oxidization of a fin
CN104217948B (zh) * 2013-05-31 2018-04-03 中国科学院微电子研究所 半导体制造方法
US9093531B2 (en) 2013-06-11 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of semiconductor device
US9178043B2 (en) 2013-06-21 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Non-planar transistors with replacement fins and methods of forming the same
US8957478B2 (en) 2013-06-24 2015-02-17 International Business Machines Corporation Semiconductor device including source/drain formed on bulk and gate channel formed on oxide layer
RU2626970C2 (ru) * 2013-06-28 2017-08-02 Интел Корпорейшн Изготовление свободного от дефектов устройства на основе ребра в области поперечного эпитаксиального наращивания
US9263455B2 (en) 2013-07-23 2016-02-16 Micron Technology, Inc. Methods of forming an array of conductive lines and methods of forming an array of recessed access gate lines
US8952420B1 (en) 2013-07-29 2015-02-10 Stmicroelectronics, Inc. Method to induce strain in 3-D microfabricated structures
CN104347709B (zh) * 2013-08-09 2018-09-04 联华电子股份有限公司 半导体装置
US9105582B2 (en) 2013-08-15 2015-08-11 United Microelectronics Corporation Spatial semiconductor structure and method of fabricating the same
US9496397B2 (en) 2013-08-20 2016-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. FinFet device with channel epitaxial region
US9099559B2 (en) 2013-09-16 2015-08-04 Stmicroelectronics, Inc. Method to induce strain in finFET channels from an adjacent region
KR102099195B1 (ko) 2013-09-27 2020-04-09 인텔 코포레이션 다층형 순응성 기판들을 갖는 비-평면형 반도체 디바이스들
US9425042B2 (en) 2013-10-10 2016-08-23 Taiwan Semiconductor Manufacturing Company Limited Hybrid silicon germanium substrate for device fabrication
US9425257B2 (en) * 2013-11-20 2016-08-23 Taiwan Semiconductor Manufacturing Company Limited Non-planar SiGe channel PFET
US9508854B2 (en) * 2013-12-06 2016-11-29 Ecole Polytechnique Federale De Lausanne (Epfl) Single field effect transistor capacitor-less memory device and method of operating the same
US20150162435A1 (en) * 2013-12-09 2015-06-11 Globalfoundries Inc. Asymmetric channel growth of a cladding layer over fins of a field effect transistor (finfet) device
KR102162675B1 (ko) 2013-12-16 2020-10-07 인텔 코포레이션 완화된 기판이 없는 nmos 및 pmos 스트레인된 디바이스
EP3084834A4 (de) * 2013-12-16 2017-08-02 Intel Corporation Gespannte doppelte mantelschichten für halbleiterbauelemente
KR102094535B1 (ko) 2014-03-21 2020-03-30 삼성전자주식회사 트랜지스터 및 그 제조 방법
SG11201606392UA (en) * 2014-03-27 2016-09-29 Intel Corp High mobility strained channels for fin-based nmos transistors
US9490346B2 (en) 2014-06-12 2016-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of fin-like field effect transistor
US9502538B2 (en) 2014-06-12 2016-11-22 Taiwan Semiconductor Manufacturing Co., Ltd Structure and formation method of fin-like field effect transistor
US9490365B2 (en) 2014-06-12 2016-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of fin-like field effect transistor
CN106463533B (zh) 2014-06-20 2021-09-28 英特尔公司 高电压晶体管和低电压非平面晶体管的单片集成
KR102155327B1 (ko) * 2014-07-07 2020-09-11 삼성전자주식회사 전계 효과 트랜지스터 및 그 제조 방법
US9306067B2 (en) * 2014-08-05 2016-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Nonplanar device and strain-generating channel dielectric
CN105355658B (zh) * 2014-08-18 2019-10-18 联华电子股份有限公司 鳍状场效晶体管元件及其制造方法
US9634125B2 (en) 2014-09-18 2017-04-25 United Microelectronics Corporation Fin field effect transistor device and fabrication method thereof
KR102255174B1 (ko) * 2014-10-10 2021-05-24 삼성전자주식회사 활성 영역을 갖는 반도체 소자 및 그 형성 방법
KR102235612B1 (ko) 2015-01-29 2021-04-02 삼성전자주식회사 일-함수 금속을 갖는 반도체 소자 및 그 형성 방법
CN105990240B (zh) * 2015-03-04 2019-06-28 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制备方法、电子装置
US10833175B2 (en) * 2015-06-04 2020-11-10 International Business Machines Corporation Formation of dislocation-free SiGe finFET using porous silicon
US10411135B2 (en) 2015-06-08 2019-09-10 Synopsys, Inc. Substrates and transistors with 2D material channels on 3D geometries
US9425313B1 (en) 2015-07-07 2016-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9953881B2 (en) 2015-07-20 2018-04-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a FinFET device
US9548216B1 (en) 2015-07-26 2017-01-17 United Microelectronics Corp. Method of adjusting channel widths of semiconductive devices
US10734488B2 (en) 2015-09-11 2020-08-04 Intel Corporation Aluminum indium phosphide subfin germanium channel transistors
KR102465353B1 (ko) * 2015-12-02 2022-11-10 삼성전자주식회사 전계 효과 트랜지스터 및 이를 포함하는 반도체 소자
US20170236841A1 (en) * 2016-02-11 2017-08-17 Qualcomm Incorporated Fin with an epitaxial cladding layer
WO2017218014A1 (en) 2016-06-17 2017-12-21 Intel Corporation Field effect transistors with gate electrode self-aligned to semiconductor fin
KR101846991B1 (ko) 2016-08-11 2018-04-09 가천대학교 산학협력단 벌크 실리콘 기반의 실리콘 게르마늄 p-채널 삼중 게이트 트랜지스터 및 그 제조방법
US11081570B2 (en) * 2016-09-28 2021-08-03 Intel Corporation Transistors with lattice matched gate structure
US11004954B2 (en) * 2016-09-30 2021-05-11 Intel Corporation Epitaxial buffer to reduce sub-channel leakage in MOS transistors
US11538905B2 (en) 2016-09-30 2022-12-27 Intel Corporation Nanowire transistors employing carbon-based layers
US9847392B1 (en) * 2016-10-11 2017-12-19 United Microelectronics Corp. Semiconductor device and method for fabricating the same
CN108063143B (zh) * 2016-11-09 2020-06-05 上海新昇半导体科技有限公司 一种互补晶体管器件结构及其制作方法
US10741560B2 (en) * 2017-10-26 2020-08-11 International Business Machines Corporation High resistance readout FET for cognitive device
EP3729514A4 (de) * 2017-12-20 2021-07-07 INTEL Corporation Transistor mit isolation unter quelle und senke
US10727352B2 (en) * 2018-01-26 2020-07-28 International Business Machines Corporation Long-channel fin field effect transistors
US11378750B2 (en) * 2020-01-17 2022-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium photodetector embedded in a multi-mode interferometer
US11257932B2 (en) * 2020-01-30 2022-02-22 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor device structure and method for forming the same
CN111509048A (zh) * 2020-04-28 2020-08-07 上海华力集成电路制造有限公司 N型鳍式晶体管及其制造方法

Family Cites Families (375)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4231149A (en) 1978-10-10 1980-11-04 Texas Instruments Incorporated Narrow band-gap semiconductor CCD imaging device and method of fabrication
GB2156149A (en) 1984-03-14 1985-10-02 Philips Electronic Associated Dielectrically-isolated integrated circuit manufacture
US4487652A (en) 1984-03-30 1984-12-11 Motorola, Inc. Slope etch of polyimide
US4711701A (en) 1986-09-16 1987-12-08 Texas Instruments Incorporated Self-aligned transistor method
US5514885A (en) 1986-10-09 1996-05-07 Myrick; James J. SOI methods and apparatus
US4818715A (en) 1987-07-09 1989-04-04 Industrial Technology Research Institute Method of fabricating a LDDFET with self-aligned silicide
US4907048A (en) 1987-11-23 1990-03-06 Xerox Corporation Double implanted LDD transistor self-aligned with gate
US4905063A (en) 1988-06-21 1990-02-27 American Telephone And Telegraph Company, At&T Bell Laboratories Floating gate memories
JPH0214578A (ja) 1988-07-01 1990-01-18 Fujitsu Ltd 半導体装置
KR910010043B1 (ko) 1988-07-28 1991-12-10 한국전기통신공사 스페이서를 이용한 미세선폭 형성방법
US4994873A (en) 1988-10-17 1991-02-19 Motorola, Inc. Local interconnect for stacked polysilicon device
US5346834A (en) 1988-11-21 1994-09-13 Hitachi, Ltd. Method for manufacturing a semiconductor device and a semiconductor memory device
US4906589A (en) 1989-02-06 1990-03-06 Industrial Technology Research Institute Inverse-T LDDFET with self-aligned silicide
JPH02302044A (ja) 1989-05-16 1990-12-14 Fujitsu Ltd 半導体装置の製造方法
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
KR930003790B1 (ko) * 1990-07-02 1993-05-10 삼성전자 주식회사 반도체 장치의 캐패시터용 유전체
US5278102A (en) 1990-08-18 1994-01-11 Fujitsu Limited SOI device and a fabrication process thereof
JP3061406B2 (ja) 1990-09-28 2000-07-10 株式会社東芝 半導体装置
JP3202223B2 (ja) * 1990-11-27 2001-08-27 日本電気株式会社 トランジスタの製造方法
US5521859A (en) 1991-03-20 1996-05-28 Fujitsu Limited Semiconductor memory device having thin film transistor and method of producing the same
JPH05152293A (ja) 1991-04-30 1993-06-18 Sgs Thomson Microelectron Inc 段差付き壁相互接続体及びゲートの製造方法
US5346836A (en) 1991-06-06 1994-09-13 Micron Technology, Inc. Process for forming low resistance contacts between silicide areas and upper level polysilicon interconnects
US5292670A (en) * 1991-06-10 1994-03-08 Texas Instruments Incorporated Sidewall doping technique for SOI transistors
US5179037A (en) 1991-12-24 1993-01-12 Texas Instruments Incorporated Integration of lateral and vertical quantum well transistors in the same epitaxial stack
US5391506A (en) 1992-01-31 1995-02-21 Kawasaki Steel Corporation Manufacturing method for semiconductor devices with source/drain formed in substrate projection.
JPH05243572A (ja) 1992-02-27 1993-09-21 Fujitsu Ltd 半導体装置
US5405454A (en) 1992-03-19 1995-04-11 Matsushita Electric Industrial Co., Ltd. Electrically insulated silicon structure and producing method therefor
JP2572003B2 (ja) 1992-03-30 1997-01-16 三星電子株式会社 三次元マルチチャンネル構造を有する薄膜トランジスタの製造方法
JPH0793441B2 (ja) * 1992-04-24 1995-10-09 ヒュンダイ エレクトロニクス インダストリーズ カンパニー リミテッド 薄膜トランジスタ及びその製造方法
JPH06177089A (ja) 1992-12-04 1994-06-24 Fujitsu Ltd 半導体装置の製造方法
KR960002088B1 (ko) 1993-02-17 1996-02-10 삼성전자주식회사 에스오아이(SOI : silicon on insulator) 구조의 반도체 장치 제조방법
US5357119A (en) 1993-02-19 1994-10-18 Board Of Regents Of The University Of California Field effect devices having short period superlattice structures using Si and Ge
JPH06310547A (ja) 1993-02-25 1994-11-04 Mitsubishi Electric Corp 半導体装置及びその製造方法
EP0623963A1 (de) 1993-05-06 1994-11-09 Siemens Aktiengesellschaft MOSFET auf SOI-Substrat
US5739544A (en) 1993-05-26 1998-04-14 Matsushita Electric Industrial Co., Ltd. Quantization functional device utilizing a resonance tunneling effect and method for producing the same
US5475869A (en) 1993-05-28 1995-12-12 Nec Corporation Radio base station capable of distinguishing between interference due to collisions of outgoing call signals and an external interference noise
US6730549B1 (en) * 1993-06-25 2004-05-04 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for its preparation
JP3778581B2 (ja) 1993-07-05 2006-05-24 三菱電機株式会社 半導体装置およびその製造方法
JP3460863B2 (ja) * 1993-09-17 2003-10-27 三菱電機株式会社 半導体装置の製造方法
US5888304A (en) * 1996-04-02 1999-03-30 Applied Materials, Inc. Heater with shadow ring and purge above wafer surface
US5479033A (en) 1994-05-27 1995-12-26 Sandia Corporation Complementary junction heterostructure field-effect transistor
JP3361922B2 (ja) 1994-09-13 2003-01-07 株式会社東芝 半導体装置
JP3378414B2 (ja) 1994-09-14 2003-02-17 株式会社東芝 半導体装置
JPH08153880A (ja) 1994-09-29 1996-06-11 Toshiba Corp 半導体装置及びその製造方法
US5602049A (en) 1994-10-04 1997-02-11 United Microelectronics Corporation Method of fabricating a buried structure SRAM cell
JPH08125152A (ja) 1994-10-28 1996-05-17 Canon Inc 半導体装置、それを用いた相関演算装置、ad変換器、da変換器、信号処理システム
JP3078720B2 (ja) 1994-11-02 2000-08-21 三菱電機株式会社 半導体装置およびその製造方法
US5576227A (en) 1994-11-02 1996-11-19 United Microelectronics Corp. Process for fabricating a recessed gate MOS device
GB2295488B (en) * 1994-11-24 1996-11-20 Toshiba Cambridge Res Center Semiconductor device
US5716879A (en) * 1994-12-15 1998-02-10 Goldstar Electron Company, Ltd. Method of making a thin film transistor
JPH08204191A (ja) 1995-01-20 1996-08-09 Sony Corp 電界効果トランジスタ及びその製造方法
US5665203A (en) 1995-04-28 1997-09-09 International Business Machines Corporation Silicon etching method
JP3303601B2 (ja) 1995-05-19 2002-07-22 日産自動車株式会社 溝型半導体装置
KR0165398B1 (ko) 1995-05-26 1998-12-15 윤종용 버티칼 트랜지스터의 제조방법
US5658806A (en) * 1995-10-26 1997-08-19 National Science Council Method for fabricating thin-film transistor with bottom-gate or dual-gate configuration
US5814895A (en) 1995-12-22 1998-09-29 Sony Corporation Static random access memory having transistor elements formed on side walls of a trench in a semiconductor substrate
KR100205442B1 (ko) 1995-12-26 1999-07-01 구본준 박막트랜지스터 및 그의 제조방법
US5595919A (en) 1996-02-20 1997-01-21 Chartered Semiconductor Manufacturing Pte Ltd. Method of making self-aligned halo process for reducing junction capacitance
DE19607209A1 (de) * 1996-02-26 1997-08-28 Gregor Kohlruss Reinigungsvorrichtung zum Reinigen von flächigen Gegenständen
JPH09293793A (ja) 1996-04-26 1997-11-11 Mitsubishi Electric Corp 薄膜トランジスタを有する半導体装置およびその製造方法
US5793088A (en) 1996-06-18 1998-08-11 Integrated Device Technology, Inc. Structure for controlling threshold voltage of MOSFET
JP3710880B2 (ja) 1996-06-28 2005-10-26 株式会社東芝 不揮発性半導体記憶装置
TW548686B (en) 1996-07-11 2003-08-21 Semiconductor Energy Lab CMOS semiconductor device and apparatus using the same
US5817560A (en) 1996-09-12 1998-10-06 Advanced Micro Devices, Inc. Ultra short trench transistors and process for making same
US6399970B2 (en) 1996-09-17 2002-06-04 Matsushita Electric Industrial Co., Ltd. FET having a Si/SiGeC heterojunction channel
US6063675A (en) 1996-10-28 2000-05-16 Texas Instruments Incorporated Method of forming a MOSFET using a disposable gate with a sidewall dielectric
US6163053A (en) 1996-11-06 2000-12-19 Ricoh Company, Ltd. Semiconductor device having opposite-polarity region under channel
US5827769A (en) 1996-11-20 1998-10-27 Intel Corporation Method for fabricating a transistor with increased hot carrier resistance by nitridizing and annealing the sidewall oxide of the gate electrode
JPH10150185A (ja) 1996-11-20 1998-06-02 Mitsubishi Electric Corp 半導体装置及びその製造方法
US5773331A (en) 1996-12-17 1998-06-30 International Business Machines Corporation Method for making single and double gate field effect transistors with sidewall source-drain contacts
US5908313A (en) 1996-12-31 1999-06-01 Intel Corporation Method of forming a transistor
JP4086926B2 (ja) 1997-01-29 2008-05-14 富士通株式会社 半導体装置及びその製造方法
JPH118390A (ja) 1997-06-18 1999-01-12 Mitsubishi Electric Corp 半導体装置及びその製造方法
US6054355A (en) 1997-06-30 2000-04-25 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device which includes forming a dummy gate
US6251763B1 (en) 1997-06-30 2001-06-26 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing same
JPH1140811A (ja) * 1997-07-22 1999-02-12 Hitachi Ltd 半導体装置およびその製造方法
US5952701A (en) 1997-08-18 1999-09-14 National Semiconductor Corporation Design and fabrication of semiconductor structure having complementary channel-junction insulated-gate field-effect transistors whose gate electrodes have work functions close to mid-gap semiconductor value
US5776821A (en) 1997-08-22 1998-07-07 Vlsi Technology, Inc. Method for forming a reduced width gate electrode
US6066869A (en) 1997-10-06 2000-05-23 Micron Technology, Inc. Circuit and method for a folded bit line memory cell with vertical transistor and trench capacitor
US5976767A (en) 1997-10-09 1999-11-02 Micron Technology, Inc. Ammonium hydroxide etch of photoresist masked silicon
US5856225A (en) 1997-11-24 1999-01-05 Chartered Semiconductor Manufacturing Ltd Creation of a self-aligned, ion implanted channel region, after source and drain formation
US6120846A (en) 1997-12-23 2000-09-19 Advanced Technology Materials, Inc. Method for the selective deposition of bismuth based ferroelectric thin films by chemical vapor deposition
US5888309A (en) 1997-12-29 1999-03-30 Taiwan Semiconductor Manufacturing Company, Ltd. Lateral etch inhibited multiple for forming a via through a microelectronics layer susceptible to etching within a fluorine containing plasma followed by an oxygen containing plasma
US6117741A (en) 1998-01-09 2000-09-12 Texas Instruments Incorporated Method of forming a transistor having an improved sidewall gate structure
US6294416B1 (en) 1998-01-23 2001-09-25 Texas Instruments-Acer Incorporated Method of fabricating CMOS transistors with self-aligned planarization twin-well by using fewer mask counts
US6097065A (en) * 1998-03-30 2000-08-01 Micron Technology, Inc. Circuits and methods for dual-gated transistors
US6307235B1 (en) 1998-03-30 2001-10-23 Micron Technology, Inc. Another technique for gated lateral bipolar transistors
US6087208A (en) 1998-03-31 2000-07-11 Advanced Micro Devices, Inc. Method for increasing gate capacitance by using both high and low dielectric gate material
US6215190B1 (en) 1998-05-12 2001-04-10 International Business Machines Corporation Borderless contact to diffusion with respect to gate conductor and methods for fabricating
US6232641B1 (en) 1998-05-29 2001-05-15 Kabushiki Kaisha Toshiba Semiconductor apparatus having elevated source and drain structure and manufacturing method therefor
US6114201A (en) 1998-06-01 2000-09-05 Texas Instruments-Acer Incorporated Method of manufacturing a multiple fin-shaped capacitor for high density DRAMs
US20010040907A1 (en) 1998-06-12 2001-11-15 Utpal Kumar Chakrabarti Optical device including carbon-doped contact layers
US6165880A (en) 1998-06-15 2000-12-26 Taiwan Semiconductor Manufacturing Company Double spacer technology for making self-aligned contacts (SAC) on semiconductor integrated circuits
US6130123A (en) 1998-06-30 2000-10-10 Intel Corporation Method for making a complementary metal gate electrode technology
JP2000037842A (ja) 1998-07-27 2000-02-08 Dainippon Printing Co Ltd 電磁波吸収化粧材
US6696366B1 (en) 1998-08-17 2004-02-24 Lam Research Corporation Technique for etching a low capacitance dielectric layer
JP2000156502A (ja) 1998-09-21 2000-06-06 Texas Instr Inc <Ti> 集積回路及び方法
US6262456B1 (en) 1998-11-06 2001-07-17 Advanced Micro Devices, Inc. Integrated circuit having transistors with different threshold voltages
US5985726A (en) 1998-11-06 1999-11-16 Advanced Micro Devices, Inc. Damascene process for forming ultra-shallow source/drain extensions and pocket in ULSI MOSFET
US6114206A (en) 1998-11-06 2000-09-05 Advanced Micro Devices, Inc. Multiple threshold voltage transistor implemented by a damascene process
US6153485A (en) 1998-11-09 2000-11-28 Chartered Semiconductor Manufacturing Ltd. Salicide formation on narrow poly lines by pulling back of spacer
US6200865B1 (en) 1998-12-04 2001-03-13 Advanced Micro Devices, Inc. Use of sacrificial dielectric structure to form semiconductor device with a self-aligned threshold adjust and overlying low-resistance gate
US6362111B1 (en) 1998-12-09 2002-03-26 Texas Instruments Incorporated Tunable gate linewidth reduction process
TW406312B (en) 1998-12-18 2000-09-21 United Microelectronics Corp The method of etching doped poly-silicon
TW449919B (en) 1998-12-18 2001-08-11 Koninkl Philips Electronics Nv A method of manufacturing a semiconductor device
US6380558B1 (en) * 1998-12-29 2002-04-30 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of fabricating the same
US6150222A (en) 1999-01-07 2000-11-21 Advanced Micro Devices, Inc. Method of making a high performance transistor with elevated spacer formation and self-aligned channel regions
FR2788629B1 (fr) 1999-01-15 2003-06-20 Commissariat Energie Atomique Transistor mis et procede de fabrication d'un tel transistor sur un substrat semiconducteur
US6174820B1 (en) 1999-02-16 2001-01-16 Sandia Corporation Use of silicon oxynitride as a sacrificial material for microelectromechanical devices
JP2000243854A (ja) 1999-02-22 2000-09-08 Toshiba Corp 半導体装置及びその製造方法
US6093621A (en) 1999-04-05 2000-07-25 Vanguard International Semiconductor Corp. Method of forming shallow trench isolation
US7045468B2 (en) 1999-04-09 2006-05-16 Intel Corporation Isolated junction structure and method of manufacture
US6459123B1 (en) 1999-04-30 2002-10-01 Infineon Technologies Richmond, Lp Double gated transistor
EP1063697B1 (de) 1999-06-18 2003-03-12 Lucent Technologies Inc. Fertigungsverfahren zur Herstellung eines CMOS integrieten Schaltkreises mit vertikalen Transistoren
JP2001015704A (ja) 1999-06-29 2001-01-19 Hitachi Ltd 半導体集積回路
US6218309B1 (en) 1999-06-30 2001-04-17 Lam Research Corporation Method of achieving top rounding and uniform etch depths while etching shallow trench isolation features
US6501131B1 (en) 1999-07-22 2002-12-31 International Business Machines Corporation Transistors having independently adjustable parameters
TW432594B (en) 1999-07-31 2001-05-01 Taiwan Semiconductor Mfg Manufacturing method for shallow trench isolation
US6259135B1 (en) 1999-09-24 2001-07-10 International Business Machines Corporation MOS transistors structure for reducing the size of pitch limited circuits
FR2799305B1 (fr) 1999-10-05 2004-06-18 St Microelectronics Sa Procede de fabrication d'un dispositif semi-conducteur a grille enveloppante et dispositif obtenu
EP1091413A3 (de) 1999-10-06 2005-01-12 Lsi Logic Corporation Vollständig verarmter und invertierter CMOSFET mit vertikalem Kanal und dualem Gate
US6541829B2 (en) 1999-12-03 2003-04-01 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US6252284B1 (en) 1999-12-09 2001-06-26 International Business Machines Corporation Planarized silicon fin device
KR100311049B1 (ko) 1999-12-13 2001-10-12 윤종용 불휘발성 반도체 메모리장치 및 그의 제조방법
US6303479B1 (en) 1999-12-16 2001-10-16 Spinnaker Semiconductor, Inc. Method of manufacturing a short-channel FET with Schottky-barrier source and drain contacts
JP4923318B2 (ja) 1999-12-17 2012-04-25 ソニー株式会社 不揮発性半導体記憶装置およびその動作方法
JP4194237B2 (ja) 1999-12-28 2008-12-10 株式会社リコー 電界効果トランジスタを用いた電圧発生回路及び基準電圧源回路
US7391087B2 (en) 1999-12-30 2008-06-24 Intel Corporation MOS transistor structure and method of fabrication
JP3613113B2 (ja) 2000-01-21 2005-01-26 日本電気株式会社 半導体装置およびその製造方法
US6319807B1 (en) 2000-02-07 2001-11-20 United Microelectronics Corp. Method for forming a semiconductor device by using reverse-offset spacer process
JP3846706B2 (ja) * 2000-02-23 2006-11-15 信越半導体株式会社 ウエーハ外周面取部の研磨方法及び研磨装置
US6483156B1 (en) * 2000-03-16 2002-11-19 International Business Machines Corporation Double planar gated SOI MOSFET structure
FR2806832B1 (fr) 2000-03-22 2002-10-25 Commissariat Energie Atomique Transistor mos a source et drain metalliques, et procede de fabrication d'un tel transistor
JP3906005B2 (ja) 2000-03-27 2007-04-18 株式会社東芝 半導体装置の製造方法
KR100332834B1 (ko) 2000-03-29 2002-04-15 윤덕용 비등방성 식각을 이용한 서브마이크론 게이트 제조 방법
TW466606B (en) 2000-04-20 2001-12-01 United Microelectronics Corp Manufacturing method for dual metal gate electrode
JP2001338987A (ja) 2000-05-26 2001-12-07 Nec Microsystems Ltd Mosトランジスタのシャロートレンチ分離領域の形成方法
FR2810161B1 (fr) 2000-06-09 2005-03-11 Commissariat Energie Atomique Memoire electronique a architecture damascene et procede de realisation d'une telle memoire
US6526996B1 (en) 2000-06-12 2003-03-04 Promos Technologies, Inc. Dry clean method instead of traditional wet clean after metal etch
US6391782B1 (en) 2000-06-20 2002-05-21 Advanced Micro Devices, Inc. Process for forming multiple active lines and gate-all-around MOSFET
KR100545706B1 (ko) 2000-06-28 2006-01-24 주식회사 하이닉스반도체 반도체 소자 제조방법
WO2002003482A1 (de) 2000-07-04 2002-01-10 Infineon Technologies Ag Feldeffekttransistor
JP2002047034A (ja) 2000-07-31 2002-02-12 Shinetsu Quartz Prod Co Ltd プラズマを利用したプロセス装置用の石英ガラス治具
US20020011612A1 (en) * 2000-07-31 2002-01-31 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
US6403981B1 (en) 2000-08-07 2002-06-11 Advanced Micro Devices, Inc. Double gate transistor having a silicon/germanium channel region
KR100338778B1 (ko) 2000-08-21 2002-05-31 윤종용 선택적 실리사이드 공정을 이용한 모스 트랜지스터의제조방법
US6358800B1 (en) 2000-09-18 2002-03-19 Vanguard International Semiconductor Corporation Method of forming a MOSFET with a recessed-gate having a channel length beyond photolithography limit
US6387820B1 (en) 2000-09-19 2002-05-14 Advanced Micro Devices, Inc. BC13/AR chemistry for metal overetching on a high density plasma etcher
JP2002100762A (ja) 2000-09-22 2002-04-05 Mitsubishi Electric Corp 半導体装置およびその製造方法
JP4044276B2 (ja) * 2000-09-28 2008-02-06 株式会社東芝 半導体装置及びその製造方法
US6562665B1 (en) * 2000-10-16 2003-05-13 Advanced Micro Devices, Inc. Fabrication of a field effect transistor with a recess in a semiconductor pillar in SOI technology
US7163864B1 (en) 2000-10-18 2007-01-16 International Business Machines Corporation Method of fabricating semiconductor side wall fin
US6645840B2 (en) 2000-10-19 2003-11-11 Texas Instruments Incorporated Multi-layered polysilicon process
US6413802B1 (en) * 2000-10-23 2002-07-02 The Regents Of The University Of California Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture
US6472258B1 (en) 2000-11-13 2002-10-29 International Business Machines Corporation Double gate trench transistor
US6716684B1 (en) * 2000-11-13 2004-04-06 Advanced Micro Devices, Inc. Method of making a self-aligned triple gate silicon-on-insulator device
US6396108B1 (en) 2000-11-13 2002-05-28 Advanced Micro Devices, Inc. Self-aligned double gate silicon-on-insulator (SOI) device
US6479866B1 (en) 2000-11-14 2002-11-12 Advanced Micro Devices, Inc. SOI device with self-aligned selective damage implant, and method
JP2002198441A (ja) 2000-11-16 2002-07-12 Hynix Semiconductor Inc 半導体素子のデュアル金属ゲート形成方法
US7115954B2 (en) 2000-11-22 2006-10-03 Renesas Technology Corp. Semiconductor device including stress inducing films formed over n-channel and p-channel field effect transistors and a method of manufacturing the same
US6552401B1 (en) 2000-11-27 2003-04-22 Micron Technology Use of gate electrode workfunction to improve DRAM refresh
US20020100942A1 (en) 2000-12-04 2002-08-01 Fitzgerald Eugene A. CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US6921947B2 (en) 2000-12-15 2005-07-26 Renesas Technology Corp. Semiconductor device having recessed isolation insulation film
US6413877B1 (en) 2000-12-22 2002-07-02 Lam Research Corporation Method of preventing damage to organo-silicate-glass materials during resist stripping
JP2002198368A (ja) * 2000-12-26 2002-07-12 Nec Corp 半導体装置の製造方法
US6537901B2 (en) 2000-12-29 2003-03-25 Hynix Semiconductor Inc. Method of manufacturing a transistor in a semiconductor device
TW561530B (en) 2001-01-03 2003-11-11 Macronix Int Co Ltd Process for fabricating CMOS transistor of IC devices employing double spacers for preventing short-channel effect
US6975014B1 (en) 2001-01-09 2005-12-13 Advanced Micro Devices, Inc. Method for making an ultra thin FDSOI device with improved short-channel performance
US6359311B1 (en) 2001-01-17 2002-03-19 Taiwan Semiconductor Manufacturing Co., Ltd. Quasi-surrounding gate and a method of fabricating a silicon-on-insulator semiconductor device with the same
US6403434B1 (en) 2001-02-09 2002-06-11 Advanced Micro Devices, Inc. Process for manufacturing MOS transistors having elevated source and drain regions and a high-k gate dielectric
US6475890B1 (en) 2001-02-12 2002-11-05 Advanced Micro Devices, Inc. Fabrication of a field effect transistor with an upside down T-shaped semiconductor pillar in SOI technology
JP2002246310A (ja) 2001-02-14 2002-08-30 Sony Corp 半導体薄膜の形成方法及び半導体装置の製造方法、これらの方法の実施に使用する装置、並びに電気光学装置
US6475869B1 (en) * 2001-02-26 2002-11-05 Advanced Micro Devices, Inc. Method of forming a double gate transistor having an epitaxial silicon/germanium channel region
FR2822293B1 (fr) 2001-03-13 2007-03-23 Nat Inst Of Advanced Ind Scien Transistor a effet de champ et double grille, circuit integre comportant ce transistor, et procede de fabrication de ce dernier
TW582071B (en) 2001-03-20 2004-04-01 Macronix Int Co Ltd Method for etching metal in a semiconductor
JP3940565B2 (ja) 2001-03-29 2007-07-04 株式会社東芝 半導体装置及びその製造方法
JP2002298051A (ja) 2001-03-30 2002-10-11 Mizuho Bank Ltd ポイント交換サービス・システム
US6458662B1 (en) 2001-04-04 2002-10-01 Advanced Micro Devices, Inc. Method of fabricating a semiconductor device having an asymmetrical dual-gate silicon-germanium (SiGe) channel MOSFET and a device thereby formed
KR100414217B1 (ko) 2001-04-12 2004-01-07 삼성전자주식회사 게이트 올 어라운드형 트랜지스터를 가진 반도체 장치 및그 형성 방법
US6645861B2 (en) 2001-04-18 2003-11-11 International Business Machines Corporation Self-aligned silicide process for silicon sidewall source and drain contacts
US6787402B1 (en) 2001-04-27 2004-09-07 Advanced Micro Devices, Inc. Double-gate vertical MOSFET transistor and fabrication method
US6902947B2 (en) 2001-05-07 2005-06-07 Applied Materials, Inc. Integrated method for release and passivation of MEMS structures
SG112804A1 (en) 2001-05-10 2005-07-28 Inst Of Microelectronics Sloped trench etching process
KR100363332B1 (en) 2001-05-23 2002-12-05 Samsung Electronics Co Ltd Method for forming semiconductor device having gate all-around type transistor
US6635923B2 (en) 2001-05-24 2003-10-21 International Business Machines Corporation Damascene double-gate MOSFET with vertical channel regions
US6506692B2 (en) 2001-05-30 2003-01-14 Intel Corporation Method of making a semiconductor device using a silicon carbide hard mask
US6737333B2 (en) 2001-07-03 2004-05-18 Texas Instruments Incorporated Semiconductor device isolation structure and method of forming
JP2003017508A (ja) 2001-07-05 2003-01-17 Nec Corp 電界効果トランジスタ
US6534807B2 (en) 2001-08-13 2003-03-18 International Business Machines Corporation Local interconnect junction on insulator (JOI) structure
US6501141B1 (en) 2001-08-13 2002-12-31 Taiwan Semiconductor Manufacturing Company, Ltd Self-aligned contact with improved isolation and method for forming
JP2003100902A (ja) 2001-09-21 2003-04-04 Mitsubishi Electric Corp 半導体装置の製造方法
US6689650B2 (en) * 2001-09-27 2004-02-10 International Business Machines Corporation Fin field effect transistor with self-aligned gate
US6492212B1 (en) 2001-10-05 2002-12-10 International Business Machines Corporation Variable threshold voltage double gated transistors and method of fabrication
US20030085194A1 (en) * 2001-11-07 2003-05-08 Hopkins Dean A. Method for fabricating close spaced mirror arrays
US7385262B2 (en) 2001-11-27 2008-06-10 The Board Of Trustees Of The Leland Stanford Junior University Band-structure modulation of nano-structures in an electric field
US6967351B2 (en) 2001-12-04 2005-11-22 International Business Machines Corporation Finfet SRAM cell using low mobility plane for cell stability and method for forming
US6657259B2 (en) 2001-12-04 2003-12-02 International Business Machines Corporation Multiple-plane FinFET CMOS
US6610576B2 (en) 2001-12-13 2003-08-26 International Business Machines Corporation Method for forming asymmetric dual gate transistor
EP1321310A1 (de) * 2001-12-21 2003-06-25 Schächter, Friedrich Prüfverfahren für Schreibgeräte
US6555879B1 (en) 2002-01-11 2003-04-29 Advanced Micro Devices, Inc. SOI device with metal source/drain and method of fabrication
US6722946B2 (en) 2002-01-17 2004-04-20 Nutool, Inc. Advanced chemical mechanical polishing system with smart endpoint detection
US6583469B1 (en) 2002-01-28 2003-06-24 International Business Machines Corporation Self-aligned dog-bone structure for FinFET applications and methods to fabricate the same
KR100442089B1 (ko) 2002-01-29 2004-07-27 삼성전자주식회사 노치된 게이트 전극을 갖는 모스 트랜지스터의 제조방법
KR100458288B1 (ko) * 2002-01-30 2004-11-26 한국과학기술원 이중-게이트 FinFET 소자 및 그 제조방법
DE10203998A1 (de) 2002-02-01 2003-08-21 Infineon Technologies Ag Verfahren zum Herstellen einer zackenförmigen Struktur, Verfahren zum Herstellen eines Transistors, Verfahren zum Herstellen eines Floating Gate-Transistors, Transistor, Floating Gate-Transistor und Speicher-Anordnung
US6784071B2 (en) 2003-01-31 2004-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Bonded SOI wafer with <100> device layer and <110> substrate for performance improvement
US20030151077A1 (en) 2002-02-13 2003-08-14 Leo Mathew Method of forming a vertical double gate semiconductor device and structure thereof
JP3782021B2 (ja) * 2002-02-22 2006-06-07 株式会社東芝 半導体装置、半導体装置の製造方法、半導体基板の製造方法
US6660598B2 (en) 2002-02-26 2003-12-09 International Business Machines Corporation Method of forming a fully-depleted SOI ( silicon-on-insulator) MOSFET having a thinned channel region
JP4370104B2 (ja) 2002-03-05 2009-11-25 シャープ株式会社 半導体記憶装置
US6639827B2 (en) 2002-03-12 2003-10-28 Intel Corporation Low standby power using shadow storage
US6635909B2 (en) 2002-03-19 2003-10-21 International Business Machines Corporation Strained fin FETs structure and method
US6605498B1 (en) 2002-03-29 2003-08-12 Intel Corporation Semiconductor transistor having a backfilled channel material
US6784076B2 (en) 2002-04-08 2004-08-31 Micron Technology, Inc. Process for making a silicon-on-insulator ledge by implanting ions from silicon source
FR2838238B1 (fr) 2002-04-08 2005-04-15 St Microelectronics Sa Dispositif semiconducteur a grille enveloppante encapsule dans un milieu isolant
US6762469B2 (en) 2002-04-19 2004-07-13 International Business Machines Corporation High performance CMOS device structure with mid-gap metal gate
US6713396B2 (en) 2002-04-29 2004-03-30 Hewlett-Packard Development Company, L.P. Method of fabricating high density sub-lithographic features on a substrate
US6537885B1 (en) 2002-05-09 2003-03-25 Infineon Technologies Ag Transistor and method of manufacturing a transistor having a shallow junction formation using a two step EPI layer
US6642090B1 (en) 2002-06-03 2003-11-04 International Business Machines Corporation Fin FET devices from bulk semiconductor and method for forming
US7074623B2 (en) * 2002-06-07 2006-07-11 Amberwave Systems Corporation Methods of forming strained-semiconductor-on-insulator finFET device structures
US6680240B1 (en) * 2002-06-25 2004-01-20 Advanced Micro Devices, Inc. Silicon-on-insulator device with strained device film and method for making the same with partial replacement of isolation oxide
CN1284216C (zh) * 2002-07-01 2006-11-08 台湾积体电路制造股份有限公司 具有伸张应变的沟道层的场效应晶体管结构及其制造方法
US7105891B2 (en) 2002-07-15 2006-09-12 Texas Instruments Incorporated Gate structure and method
US6974729B2 (en) 2002-07-16 2005-12-13 Interuniversitair Microelektronica Centrum (Imec) Integrated semiconductor fin device and a method for manufacturing such device
KR100477543B1 (ko) 2002-07-26 2005-03-18 동부아남반도체 주식회사 단채널 트랜지스터 형성방법
US6919238B2 (en) 2002-07-29 2005-07-19 Intel Corporation Silicon on insulator (SOI) transistor and methods of fabrication
US6921702B2 (en) 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
JP2004071996A (ja) 2002-08-09 2004-03-04 Hitachi Ltd 半導体集積回路装置の製造方法
US6891234B1 (en) 2004-01-07 2005-05-10 Acorn Technologies, Inc. Transistor with workfunction-induced charge layer
US6833556B2 (en) 2002-08-12 2004-12-21 Acorn Technologies, Inc. Insulated gate field effect transistor having passivated schottky barriers to the channel
US6984585B2 (en) 2002-08-12 2006-01-10 Applied Materials Inc Method for removal of residue from a magneto-resistive random access memory (MRAM) film stack using a sacrificial mask layer
JP3865233B2 (ja) 2002-08-19 2007-01-10 富士通株式会社 Cmos集積回路装置
US7358121B2 (en) 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
US7163851B2 (en) 2002-08-26 2007-01-16 International Business Machines Corporation Concurrent Fin-FET and thick-body device fabrication
JP5179692B2 (ja) 2002-08-30 2013-04-10 富士通セミコンダクター株式会社 半導体記憶装置及びその製造方法
US6770516B2 (en) 2002-09-05 2004-08-03 Taiwan Semiconductor Manufacturing Company Method of forming an N channel and P channel FINFET device on the same semiconductor substrate
JP3651802B2 (ja) 2002-09-12 2005-05-25 株式会社東芝 半導体装置の製造方法
US6794313B1 (en) 2002-09-20 2004-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Oxidation process to improve polysilicon sidewall roughness
JP3556651B2 (ja) 2002-09-27 2004-08-18 沖電気工業株式会社 半導体装置の製造方法
US6800910B2 (en) 2002-09-30 2004-10-05 Advanced Micro Devices, Inc. FinFET device incorporating strained silicon in the channel region
KR100481209B1 (ko) 2002-10-01 2005-04-08 삼성전자주식회사 다중 채널을 갖는 모스 트랜지스터 및 그 제조방법
JP4294935B2 (ja) 2002-10-17 2009-07-15 株式会社ルネサステクノロジ 半導体装置
US6833588B2 (en) 2002-10-22 2004-12-21 Advanced Micro Devices, Inc. Semiconductor device having a U-shaped gate structure
US6706571B1 (en) 2002-10-22 2004-03-16 Advanced Micro Devices, Inc. Method for forming multiple structures in a semiconductor device
US6706581B1 (en) 2002-10-29 2004-03-16 Taiwan Semiconductor Manufacturing Company Dual gate dielectric scheme: SiON for high performance devices and high k for low power devices
US6611029B1 (en) * 2002-11-08 2003-08-26 Advanced Micro Devices, Inc. Double gate semiconductor device having separate gates
US6787439B2 (en) 2002-11-08 2004-09-07 Advanced Micro Devices, Inc. Method using planarizing gate material to improve gate critical dimension in semiconductor devices
US6855990B2 (en) 2002-11-26 2005-02-15 Taiwan Semiconductor Manufacturing Co., Ltd Strained-channel multiple-gate transistor
US6709982B1 (en) * 2002-11-26 2004-03-23 Advanced Micro Devices, Inc. Double spacer FinFET formation
US6825506B2 (en) 2002-11-27 2004-11-30 Intel Corporation Field effect transistor and method of fabrication
US6821834B2 (en) 2002-12-04 2004-11-23 Yoshiyuki Ando Ion implantation methods and transistor cell layout for fin type transistors
US6645797B1 (en) * 2002-12-06 2003-11-11 Advanced Micro Devices, Inc. Method for forming fins in a FinFET device using sacrificial carbon layer
KR100487922B1 (ko) 2002-12-06 2005-05-06 주식회사 하이닉스반도체 반도체소자의 트랜지스터 및 그 형성방법
US7728360B2 (en) 2002-12-06 2010-06-01 Taiwan Semiconductor Manufacturing Co., Ltd. Multiple-gate transistor structure
US6686231B1 (en) 2002-12-06 2004-02-03 Advanced Micro Devices, Inc. Damascene gate process with sacrificial oxide in semiconductor devices
US6869868B2 (en) 2002-12-13 2005-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a MOSFET device with metal containing gate structures
US6794718B2 (en) 2002-12-19 2004-09-21 International Business Machines Corporation High mobility crystalline planes in double-gate CMOS technology
WO2004059726A1 (en) 2002-12-20 2004-07-15 International Business Machines Corporation Integrated antifuse structure for finfet and cmos devices
US6780694B2 (en) 2003-01-08 2004-08-24 International Business Machines Corporation MOS transistor
US7259425B2 (en) 2003-01-23 2007-08-21 Advanced Micro Devices, Inc. Tri-gate and gate around MOSFET devices and methods for making same
US6803631B2 (en) 2003-01-23 2004-10-12 Advanced Micro Devices, Inc. Strained channel finfet
US6762483B1 (en) 2003-01-23 2004-07-13 Advanced Micro Devices, Inc. Narrow fin FinFET
US6885055B2 (en) 2003-02-04 2005-04-26 Lee Jong-Ho Double-gate FinFET device and fabricating method thereof
KR100543472B1 (ko) 2004-02-11 2006-01-20 삼성전자주식회사 소오스/드레인 영역에 디플리션 방지막을 구비하는 반도체소자 및 그 형성 방법
US7304336B2 (en) * 2003-02-13 2007-12-04 Massachusetts Institute Of Technology FinFET structure and method to make the same
US6855606B2 (en) 2003-02-20 2005-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor nano-rod devices
US7105894B2 (en) 2003-02-27 2006-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Contacts to semiconductor fin devices
KR100499159B1 (ko) 2003-02-28 2005-07-01 삼성전자주식회사 리세스 채널을 갖는 반도체장치 및 그 제조방법
US6800885B1 (en) 2003-03-12 2004-10-05 Advance Micro Devices, Inc. Asymmetrical double gate or all-around gate MOSFET devices and methods for making same
US6716690B1 (en) 2003-03-12 2004-04-06 Advanced Micro Devices, Inc. Uniformly doped source/drain junction in a double-gate MOSFET
US6787854B1 (en) 2003-03-12 2004-09-07 Advanced Micro Devices, Inc. Method for forming a fin in a finFET device
JP4563652B2 (ja) 2003-03-13 2010-10-13 シャープ株式会社 メモリ機能体および微粒子形成方法並びにメモリ素子、半導体装置および電子機器
TW582099B (en) 2003-03-13 2004-04-01 Ind Tech Res Inst Method of adhering material layer on transparent substrate and method of forming single crystal silicon on transparent substrate
US6844238B2 (en) 2003-03-26 2005-01-18 Taiwan Semiconductor Manufacturing Co., Ltd Multiple-gate transistors with improved gate control
US20040191980A1 (en) 2003-03-27 2004-09-30 Rafael Rios Multi-corner FET for better immunity from short channel effects
US6790733B1 (en) 2003-03-28 2004-09-14 International Business Machines Corporation Preserving TEOS hard mask using COR for raised source-drain including removable/disposable spacer
US6764884B1 (en) 2003-04-03 2004-07-20 Advanced Micro Devices, Inc. Method for forming a gate in a FinFET device and thinning a fin in a channel region of the FinFET device
US6902962B2 (en) 2003-04-04 2005-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Silicon-on-insulator chip with multiple crystal orientations
TWI231994B (en) * 2003-04-04 2005-05-01 Univ Nat Taiwan Strained Si FinFET
US7442415B2 (en) 2003-04-11 2008-10-28 Sharp Laboratories Of America, Inc. Modulated temperature method of atomic layer deposition (ALD) of high dielectric constant films
JP2004319704A (ja) 2003-04-15 2004-11-11 Seiko Instruments Inc 半導体装置
TW200506093A (en) 2003-04-21 2005-02-16 Aviza Tech Inc System and method for forming multi-component films
WO2004097943A1 (ja) 2003-04-28 2004-11-11 Matsushita Electric Industrial Co., Ltd. 半導体装置とその製造方法
JP3976703B2 (ja) 2003-04-30 2007-09-19 エルピーダメモリ株式会社 半導体装置の製造方法
US6867433B2 (en) 2003-04-30 2005-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator chip incorporating strained-channel partially-depleted, fully-depleted, and multiple-gate transistors
US6838322B2 (en) 2003-05-01 2005-01-04 Freescale Semiconductor, Inc. Method for forming a double-gated semiconductor device
JP4084843B2 (ja) 2003-06-12 2008-04-30 日本電産株式会社 動圧軸受装置およびその製造方法
US6830998B1 (en) 2003-06-17 2004-12-14 Advanced Micro Devices, Inc. Gate dielectric quality for replacement metal gate transistors
US7045401B2 (en) * 2003-06-23 2006-05-16 Sharp Laboratories Of America, Inc. Strained silicon finFET device
US20040262683A1 (en) * 2003-06-27 2004-12-30 Bohr Mark T. PMOS transistor strain optimization with raised junction regions
US6909151B2 (en) 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
US6960517B2 (en) 2003-06-30 2005-11-01 Intel Corporation N-gate transistor
US6716686B1 (en) 2003-07-08 2004-04-06 Advanced Micro Devices, Inc. Method for forming channels in a finfet device
US6921982B2 (en) 2003-07-21 2005-07-26 International Business Machines Corporation FET channel having a strained lattice structure along multiple surfaces
KR100487566B1 (ko) 2003-07-23 2005-05-03 삼성전자주식회사 핀 전계 효과 트랜지스터 및 그 형성 방법
KR100487567B1 (ko) 2003-07-24 2005-05-03 삼성전자주식회사 핀 전계효과 트랜지스터 형성 방법
EP1519420A2 (de) 2003-09-25 2005-03-30 Interuniversitaire Microelectronica Centrum vzw ( IMEC) Halbleiterbauelement mit mehrfachem Gate und diesbezügliches Herstellungsverfahren
US6835618B1 (en) 2003-08-05 2004-12-28 Advanced Micro Devices, Inc. Epitaxially grown fin for FinFET
US7172943B2 (en) * 2003-08-13 2007-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple-gate transistors formed on bulk substrates
KR100496891B1 (ko) 2003-08-14 2005-06-23 삼성전자주식회사 핀 전계효과 트랜지스터를 위한 실리콘 핀 및 그 제조 방법
US7355253B2 (en) 2003-08-22 2008-04-08 International Business Machines Corporation Strained-channel Fin field effect transistor (FET) with a uniform channel thickness and separate gates
US6998301B1 (en) 2003-09-03 2006-02-14 Advanced Micro Devices, Inc. Method for forming a tri-gate MOSFET
US6877728B2 (en) 2003-09-04 2005-04-12 Lakin Manufacturing Corporation Suspension assembly having multiple torsion members which cooperatively provide suspension to a wheel
JP4439358B2 (ja) 2003-09-05 2010-03-24 株式会社東芝 電界効果トランジスタ及びその製造方法
US7170126B2 (en) 2003-09-16 2007-01-30 International Business Machines Corporation Structure of vertical strained silicon devices
US6970373B2 (en) 2003-10-02 2005-11-29 Intel Corporation Method and apparatus for improving stability of a 6T CMOS SRAM cell
US7612416B2 (en) 2003-10-09 2009-11-03 Nec Corporation Semiconductor device having a conductive portion below an interlayer insulating film and method for producing the same
EP1683193A1 (de) 2003-10-22 2006-07-26 Spinnaker Semiconductor, Inc. Dynamisches schottky-barrieren-mosfet-bauelement und herstellungsverfahren
US6946377B2 (en) 2003-10-29 2005-09-20 Texas Instruments Incorporated Multiple-gate MOSFET device with lithography independent silicon body thickness and methods for fabricating the same
US7138320B2 (en) 2003-10-31 2006-11-21 Advanced Micro Devices, Inc. Advanced technique for forming a transistor having raised drain and source regions
KR100515061B1 (ko) 2003-10-31 2005-09-14 삼성전자주식회사 핀 전계 효과 트랜지스터를 갖는 반도체 소자 및 그 형성방법
US6867460B1 (en) 2003-11-05 2005-03-15 International Business Machines Corporation FinFET SRAM cell with chevron FinFET logic
US6885072B1 (en) 2003-11-18 2005-04-26 Applied Intellectual Properties Co., Ltd. Nonvolatile memory with undercut trapping structure
US7545001B2 (en) 2003-11-25 2009-06-09 Taiwan Semiconductor Manufacturing Company Semiconductor device having high drive current and method of manufacture therefor
US7183137B2 (en) * 2003-12-01 2007-02-27 Taiwan Semiconductor Manufacturing Company Method for dicing semiconductor wafers
US7075150B2 (en) 2003-12-02 2006-07-11 International Business Machines Corporation Ultra-thin Si channel MOSFET using a self-aligned oxygen implant and damascene technique
US7018551B2 (en) 2003-12-09 2006-03-28 International Business Machines Corporation Pull-back method of forming fins in FinFets
US7388258B2 (en) 2003-12-10 2008-06-17 International Business Machines Corporation Sectional field effect devices
US7662689B2 (en) * 2003-12-23 2010-02-16 Intel Corporation Strained transistor integration for CMOS
US7223679B2 (en) 2003-12-24 2007-05-29 Intel Corporation Transistor gate electrode having conductor material layer
US7247578B2 (en) 2003-12-30 2007-07-24 Intel Corporation Method of varying etch selectivities of a film
US7105390B2 (en) 2003-12-30 2006-09-12 Intel Corporation Nonplanar transistors with metal gate electrodes
US7045407B2 (en) 2003-12-30 2006-05-16 Intel Corporation Amorphous etch stop for the anisotropic etching of substrates
US7078282B2 (en) 2003-12-30 2006-07-18 Intel Corporation Replacement gate flow facilitating high yield and incorporation of etch stop layers and/or stressed films
US6997415B2 (en) * 2003-12-31 2006-02-14 Gulfstream Aerospace Corporation Method and arrangement for aircraft fuel dispersion
US7705345B2 (en) 2004-01-07 2010-04-27 International Business Machines Corporation High performance strained silicon FinFETs device and method for forming same
US6974736B2 (en) 2004-01-09 2005-12-13 International Business Machines Corporation Method of forming FET silicide gate structures incorporating inner spacers
US7056794B2 (en) 2004-01-09 2006-06-06 International Business Machines Corporation FET gate structure with metal gate electrode and silicide contact
US7268058B2 (en) 2004-01-16 2007-09-11 Intel Corporation Tri-gate transistors and methods to fabricate same
US7385247B2 (en) 2004-01-17 2008-06-10 Samsung Electronics Co., Ltd. At least penta-sided-channel type of FinFET transistor
JP2005209782A (ja) 2004-01-21 2005-08-04 Toshiba Corp 半導体装置
US7250645B1 (en) 2004-01-22 2007-07-31 Advanced Micro Devices, Inc. Reversed T-shaped FinFET
US7224029B2 (en) 2004-01-28 2007-05-29 International Business Machines Corporation Method and structure to create multiple device widths in FinFET technology in both bulk and SOI
KR100587672B1 (ko) 2004-02-02 2006-06-08 삼성전자주식회사 다마신 공법을 이용한 핀 트랜지스터 형성방법
EP1566844A3 (de) 2004-02-20 2006-04-05 Samsung Electronics Co., Ltd. Mehrfach-Steuerelektroden Transistor und dessen Herstellungsmethode
US7060539B2 (en) 2004-03-01 2006-06-13 International Business Machines Corporation Method of manufacture of FinFET devices with T-shaped fins and devices manufactured thereby
JP4852694B2 (ja) 2004-03-02 2012-01-11 独立行政法人産業技術総合研究所 半導体集積回路およびその製造方法
US6921691B1 (en) 2004-03-18 2005-07-26 Infineon Technologies Ag Transistor with dopant-bearing metal in source and drain
KR100576361B1 (ko) 2004-03-23 2006-05-03 삼성전자주식회사 3차원 시모스 전계효과 트랜지스터 및 그것을 제조하는 방법
US7141480B2 (en) 2004-03-26 2006-11-28 Texas Instruments Incorporated Tri-gate low power device and method for manufacturing the same
US8450806B2 (en) 2004-03-31 2013-05-28 International Business Machines Corporation Method for fabricating strained silicon-on-insulator structures and strained silicon-on insulator structures formed thereby
US7154118B2 (en) 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US20050224797A1 (en) * 2004-04-01 2005-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS fabricated on different crystallographic orientation substrates
US20050230763A1 (en) 2004-04-15 2005-10-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a microelectronic device with electrode perturbing sill
KR100642632B1 (ko) 2004-04-27 2006-11-10 삼성전자주식회사 반도체소자의 제조방법들 및 그에 의해 제조된 반도체소자들
US7084018B1 (en) 2004-05-05 2006-08-01 Advanced Micro Devices, Inc. Sacrificial oxide for minimizing box undercut in damascene FinFET
US20050255642A1 (en) 2004-05-11 2005-11-17 Chi-Wen Liu Method of fabricating inlaid structure
US6864540B1 (en) 2004-05-21 2005-03-08 International Business Machines Corp. High performance FET with elevated source/drain region
KR100625177B1 (ko) 2004-05-25 2006-09-20 삼성전자주식회사 멀티-브리지 채널형 모오스 트랜지스터의 제조 방법
KR100634372B1 (ko) 2004-06-04 2006-10-16 삼성전자주식회사 반도체 소자들 및 그 형성 방법들
WO2005122276A1 (ja) 2004-06-10 2005-12-22 Nec Corporation 半導体装置及びその製造方法
US7132360B2 (en) 2004-06-10 2006-11-07 Freescale Semiconductor, Inc. Method for treating a semiconductor surface to form a metal-containing layer
US7291886B2 (en) 2004-06-21 2007-11-06 International Business Machines Corporation Hybrid substrate technology for high-mobility planar and multiple-gate MOSFETs
US8669145B2 (en) 2004-06-30 2014-03-11 International Business Machines Corporation Method and structure for strained FinFET devices
US7348284B2 (en) 2004-08-10 2008-03-25 Intel Corporation Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
US20060040054A1 (en) 2004-08-18 2006-02-23 Pearlstein Ronald M Passivating ALD reactor chamber internal surfaces to prevent residue buildup
US7105934B2 (en) 2004-08-30 2006-09-12 International Business Machines Corporation FinFET with low gate capacitance and low extrinsic resistance
US7250367B2 (en) 2004-09-01 2007-07-31 Micron Technology, Inc. Deposition methods using heteroleptic precursors
US7071064B2 (en) 2004-09-23 2006-07-04 Intel Corporation U-gate transistors and methods of fabrication
US7422946B2 (en) 2004-09-29 2008-09-09 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US7332439B2 (en) 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
US20060086977A1 (en) 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
US7247547B2 (en) 2005-01-05 2007-07-24 International Business Machines Corporation Method of fabricating a field effect transistor having improved junctions
US7875547B2 (en) 2005-01-12 2011-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Contact hole structures and contact structures and fabrication methods thereof
US20060172480A1 (en) 2005-02-03 2006-08-03 Taiwan Semiconductor Manufacturing Company, Ltd. Single metal gate CMOS device design
US7238564B2 (en) 2005-03-10 2007-07-03 Taiwan Semiconductor Manufacturing Company Method of forming a shallow trench isolation structure
US7177177B2 (en) 2005-04-07 2007-02-13 International Business Machines Corporation Back-gate controlled read SRAM cell
KR100699839B1 (ko) 2005-04-21 2007-03-27 삼성전자주식회사 다중채널을 갖는 반도체 장치 및 그의 제조방법.
US7429536B2 (en) 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7319074B2 (en) 2005-06-13 2008-01-15 United Microelectronics Corp. Method of defining polysilicon patterns
US7279375B2 (en) 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US20070023795A1 (en) 2005-07-15 2007-02-01 Kabushiki Kaisha Toshiba Semiconductor device and method of fabricating the same
US7352034B2 (en) 2005-08-25 2008-04-01 International Business Machines Corporation Semiconductor structures integrating damascene-body FinFET's and planar devices on a common substrate and methods for forming such semiconductor structures
US7416943B2 (en) 2005-09-01 2008-08-26 Micron Technology, Inc. Peripheral gate stacks and recessed array gates
US8513066B2 (en) 2005-10-25 2013-08-20 Freescale Semiconductor, Inc. Method of making an inverted-T channel transistor

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE112006003550B4 (de) * 2005-12-27 2010-12-02 Intel Corporation, Santa Clara Halbleitervorrichtung in Form einer Mehrgateanordnung mit vertieften und verspannten Source- und Drainbereichen sowie Herstellungsverfahren für diese
DE102010038742A1 (de) * 2010-07-30 2012-02-02 Globalfoundries Dresden Module One Llc & Co. Kg Verformungstechnologie in dreidimensionalen Transistoren auf der Grundlage eines verformten Kanalhalbleitermaterials
DE102010038742B4 (de) * 2010-07-30 2016-01-21 Globalfoundries Dresden Module One Llc & Co. Kg Verfahren und Halbleiterbauelement basierend auf einer Verformungstechnologie in dreidimensionalen Transistoren auf der Grundlage eines verformten Kanalhalbleitermaterials
US9929242B2 (en) 2015-01-12 2018-03-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10714581B2 (en) 2015-01-12 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11508825B2 (en) 2015-01-12 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
DE102015106700A1 (de) * 2015-02-13 2016-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Halbleiterstruktur mit erweiterter Gatestruktur und Ausbildungsverfahren dafür
US9502567B2 (en) 2015-02-13 2016-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor fin structure with extending gate structure
US9837510B2 (en) 2015-02-13 2017-12-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing semiconductor fin structure with extending gate structure
DE102015106700B4 (de) * 2015-02-13 2019-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Halbleiterstruktur mit erweiterter Gatestruktur und Ausbildungsverfahren dafür
US10553706B2 (en) 2015-02-13 2020-02-04 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing semiconductor fin structure with extending gate structure
US11217683B2 (en) 2015-02-13 2022-01-04 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with extending gate structure and method for forming the same

Also Published As

Publication number Publication date
US7781771B2 (en) 2010-08-24
KR20060130704A (ko) 2006-12-19
DE112005000704B4 (de) 2012-08-30
CN101189730B (zh) 2011-04-20
TWI269358B (en) 2006-12-21
US7154118B2 (en) 2006-12-26
CN101189730A (zh) 2008-05-28
WO2005098963A1 (en) 2005-10-20
US7326634B2 (en) 2008-02-05
US20080142841A1 (en) 2008-06-19
US20050218438A1 (en) 2005-10-06
US20050224800A1 (en) 2005-10-13
TW200535979A (en) 2005-11-01
KR100845175B1 (ko) 2008-07-10

Similar Documents

Publication Publication Date Title
DE112005000704B4 (de) Nicht-planarer Bulk-Transistor mit verspanntem Kanal mit erhöhter Mobilität und Verfahren zur Herstellung
DE112006000229B4 (de) Nicht-planare MOS-Struktur mit einer Strained-Channel-Region und Verfahren zur Herstellung einer solchen Struktur
DE112005003843B4 (de) Verfahren zum Ausbilden einer Halbleiter-Transistorstruktur und Halbleiter-Transistorstruktur
DE102006012416B4 (de) Halbleiterbauelement (FET) mit einem runden Nano-Leitungstransistorkanal
DE102013101113B4 (de) Leistungs-MOS-Transistor und Verfahren zu dessen Herstellung
DE112012000310B4 (de) SILICIUM-NANORÖHREN-MOSFET und HERSTELLUNGSVERFAHREN
DE102016119017B4 (de) Verfahren zur Halbleitervorrichtungsherstellung mit verbesserter Source-Drain-Epitaxie
DE602004006782T2 (de) Verfahren zur herstellung eines verformten finfet-kanals
DE102014204114B4 (de) Transistor mit einer Gateelektrode, die sich rund um ein oder mehrere Kanalgebiete erstreckt, und Verfahren zu seiner Herstellung
DE102006062862B4 (de) Verfahren zum Herstellen von Feldeffekttransistoren mit vertikal ausgerichteten Gate-Elektroden
EP0809860B1 (de) Verfahren zur Herstellung einer SCHICHTSTRUKTUR MIT EINER SILICID-SCHICHT
DE102017103419A1 (de) Verfahren zum herstellen einer halbleitervorrichtung mit getrennter fusionierter struktur
DE102017124145B4 (de) Verfahren zur Ausbildung von Source-/Drain-Epitaxiegebieten von FinFETs
DE4212829A1 (de) Verfahren zur herstellung von metall-oxid-halbleiter-feldeffekttransistoren
DE102012214077A1 (de) Integrierte Schaltungen mit abstehenden Source- und Drainbereichen und Verfahren zum Bilden integrierter Schaltungen
DE10141916A1 (de) MOS-Halbleitervorrichtung und Verfahren zum Herstellen derselben
DE102015117320A1 (de) Halbleitervorrichtung und deren herstellungsverfahren
DE112013001158B4 (de) Nichtplanare Halbleitereinheit und Verfahren zum Bilden dieser
DE102005006153A1 (de) Feldeffekttransistor und Verfahren zum Herstellen desselben
EP0293641B1 (de) Verfahren zur Herstellung eines voll selbstjustierten Bipolartransistors
DE3242736A1 (de) Verfahren zum herstellen feldgesteuerter elemente mit in vertikalen kanaelen versenkten gittern, einschliesslich feldeffekt-transistoren und feldgesteuerten thyristoren
DE102020119320A1 (de) Halbleitervorrichtung und verfahren
EP1188188B1 (de) Verfahren zur herstellung eines mehrkanal-mosfets
DE102021109107A1 (de) Gatestrukturen und verfahren zu deren ausbildung
DE19933564C1 (de) Verfahren zur Herstellung eines Vertikal-Halbleitertransistorbauelements und Vertikal-Halbleitertransistorbauelement

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final

Effective date: 20121201

R081 Change of applicant/patentee

Owner name: TAHOE RESEARCH, LTD., IE

Free format text: FORMER OWNER: INTEL CORPORATION, SANTA CLARA, CALIF., US

R082 Change of representative

Representative=s name: DENNEMEYER & ASSOCIATES S.A., DE