DE19581484B4 - Vorrichtung zur Bildung von Dünnschichten - Google Patents

Vorrichtung zur Bildung von Dünnschichten Download PDF

Info

Publication number
DE19581484B4
DE19581484B4 DE19581484T DE19581484T DE19581484B4 DE 19581484 B4 DE19581484 B4 DE 19581484B4 DE 19581484 T DE19581484 T DE 19581484T DE 19581484 T DE19581484 T DE 19581484T DE 19581484 B4 DE19581484 B4 DE 19581484B4
Authority
DE
Germany
Prior art keywords
sources
heat
shell
heating
reaction
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE19581484T
Other languages
English (en)
Other versions
DE19581484T1 (de
Inventor
Pekka Soininen
Janee Patteri
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM International NV
Original Assignee
ASM International NV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM International NV filed Critical ASM International NV
Publication of DE19581484T1 publication Critical patent/DE19581484T1/de
Application granted granted Critical
Publication of DE19581484B4 publication Critical patent/DE19581484B4/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/08Reaction chambers; Selection of materials therefor
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases

Abstract

Vorrichtung zum Beschichten von wenigstens einem Substrat in alternierend wiederholten Gasphasenreaktionen, die in einer Druckhülle (1) einen Substrathalter in einem Reaktionsbereich, mindestens zwei Feststoffmaterialquellen (S1 – S8) zur Erzeugung von Gasimpulsen und Gaszufuhrleitungen von den Quellen (S1 – S8) zum Reaktionsbereich enthält, wobei der Reaktionsbereich und die Quellen (S1 – S8) mit eigenen Heizungen ausgestattet sind, die ein unabhängiges Steuern der Temperatur ermöglichen und durch aktiv gekühlte thermische Isolierelemente voneinander getrennt sind.

Description

  • Die vorliegende Erfindung betrifft eine Vorrichtung zur Bildung von Dünnschichten auf einem Substrat, bei der das Substrat alternierend wiederholten Oberflächenreaktionen mit Gasphasen-Reaktanden unterworfen wird, um durch die Oberflächenreaktionen eine Festphasen-Dünnschicht auf dem Substrat zu bilden.
  • Die Vorrichtung enthält eine Reaktionskammer, in die das Substrat angeordnet werden kann, mindestens zwei Reaktanden- bzw. Feststoffmaterialquellen, von denen die bei dem Verfahren zur Bildung der Dünnschicht verwendeten Reaktanden in Form von Gasphasen-Reaktandenimpulsen eingebracht werden können, Reaktanden-Zufuhrleitungen, die zum Verbinden der Reaktandenquellen mit der Reaktionskammer geeignet sind und mit der Reaktionskammer verbundene Abfuhrleitungen, die zur Entfernung gasförmiger Reaktionsprodukte des Bildungsverfahrens und überschüssiger Reaktanden geeignet sind.
  • Gewöhnlich werden Dünnschichten unter Verwendung von Vakuumverdampfungsabscheidung, der Molecular Beam Epitaxy (MBE) und anderer Vakuumabscheidungsverfahren, unterschiedlichen Varianten des Chemical Vapor Deposition (CVD)-Verfahrens (einschließlich Niederdruck- und metallorganischem CVD und Plasmaverstärktem CVD) oder, alternativ, des vorstehend beschriebenen Abscheidungsverfahrens von alternierend wiederholten Oberflächenreaktionen, das Atomic Layer Epitaxy (ALE)-Verfahren genannt wird, gebildet. In den MBE- und CVD-Verfahren wird die Bildungsgeschwindigkeit von Dünnschichten auch durch Verfahrensvariablen, wie die Ausgangsmaterial-Konzentrationen in der Zufuhr beeinflusst. Um daher eine einheitliche Dicke der Schichten, die gemäß der ersten Kategorie herkömmlicher Verfahren abgeschieden wurden, zu erreichen, müssen die Konzentrationen und Reaktivitäten der Ausgangsmaterialien über den Substratbereich sorgfältig konstant gehalten werden. Werden die Ausgangsmaterialien vor Erreichen der Substratoberfläche vermischt, wie es beispielsweise bei dem CVD-Verfahren der Fall ist, können diese vorzeitig miteinander reagieren. Folglich ist das Risiko der Bildung von Kleinstteilchen bereits in den Zufuhrleitungen der gasförmigen Reaktanden vorhanden. Derartige Kleinstteilchen haben für die Qualität der Bildung der Dünnschicht schwerwiegende Folgen. Die Möglichkeit vorzeitiger Reaktionen in MBE- und CVD-Reaktoren werden daher dadurch verhindert, dass die Ausgangsmaterialien nicht vor der Substratoberfläche erhitzt werden. Zusätzlich zum Erhitzen kann die gewünschte Reaktion unter Verwendung von beispielsweise Plasma oder anderen, ähnlich aktivierenden Mitteln initiiert werden.
  • In den MBE- und CVD-Verfahren wird die Bildung von Dünnschichten hauptsächlich durch Steuern der Zufuhrmengen der Ausgangsmaterialien reguliert, die auf das Substrat treffen. Im Gegensatz dazu basiert das ALE-Verfahren darauf, dass die Abscheidung über die Oberflächenbeschaffenheit anstatt über die Konzentrationen des Ausgangsmaterials oder der Zufuhrvariablen der Substratoberflächenqualität gesteuert wird. Die einzige Voraussetzung in dem ALE-Verfahren besteht darin, dass das Ausgangsmaterial zur Bildung von Dünnschichten in ausreichender Konzentration auf allen Seiten des Substrates vorhanden ist.
  • Das ALE-Verfahren ist in den FI-Patentveröffentlichungen 52,359 und 57,975 und den US-Patentschriften 4,058,430 und 4,389,973 beschrieben, in denen auch einige Ausführungen für eine Vorrichtung offenbart sind, die zur Durchführung dieses Verfahrens geeignet sind. Vorrichtungskonstruktionen zur Bildung von Dünnschichten sind auch in den folgenden Veröffentlichungen zu finden: Material Science Reports 4(7) (1989), 261 und Tyhjiötekniikka (finnische Publikation für Vakuumtechniken), ISBN 951-794-422-5, 253 – 261.
  • In dem ALE-Verfahren werden Atome oder Moleküle so angeordnet, dass sie die Substrate überstreichen und somit kontinuierlich auf deren Oberfläche aufprallen, so dass eine vollständig gesättigte molekulare Schicht darauf gebildet wird. Gemäß den aus der FI-Patentveröffentlichung 57,975 bekannten konventionellen Techniken folgt auf den Sättigungsschritt ein Impuls mit einem Inertgas, das eine Diffusionsbarriere bildet, die überschüssiges Ausgangsmaterial und die gasförmigen Reaktionsprodukte oberhalb des Substrates entfernt. Die aufeinander folgenden Impulse der Ausgangsmaterialien und Diffusionsbarrieren eines Inertgases, die die vorhergenannten voneinander trennen, bewirken die Bildung der Dünnschicht in einer Geschwindigkeit, die durch die chemischen Oberflächeneigenschaften der unterschiedlichen Materialien gesteuert wird. Ein derartiger Reaktor wird „Wanderwellen"-Reaktor genannt. Zur Durchführung des Verfahrens ist es nicht von Bedeutung, ob die Gase oder die Substrate bewegt werden. Es ist vielmehr wichtig, dass die unterschiedlichen Ausgangsmaterialien der nachfolgenden Reaktionsschritte voneinander getrennt werden und so angeordnet werden, dass sie nacheinander auf das Substrat treffen.
  • Wird ein Reaktor mit heißen Wänden verwendet, werden diese im allgemeinen dadurch erhitzt, dass Heizelemente und die Isolierung um eine druckfeste Hülle angebracht werden. Aufgrund der verwendeten erhöhten Temperaturen müssen als Isoliermaterial anorganische Fasermaterialien oder Ziegel verwendet werden. Die Handhabung und Anbringung einer derartigen Isolierung setzt am Ort der Verfahrensausrüstung Staub frei. Dies stellt für die herkömmliche Anordnung einer Abscheidungsausrüstung in einer sauberen Umgebung ein Problem dar, da das Risiko von Schichtbildungsstörungen besteht, die durch Staubteilchen verursacht werden, die aus der umgebenden Luft in die abzuscheidende Schicht eingebaut werden.
  • Darüber hinaus beschränkt ein Erhitzen der Druckhülle der Abscheidungsausrüstung auch die Wahl geeigneter Materialien, die den Erfordernissen bezüglich Druck- und Hitzewiderstandsfähigkeit genügen und bei den Temperaturen gegenüber den in den Reaktionen auftretenden Chemikalien inert sind. Herkömmliche ALE-Reaktoren besitzen eine Druckhülle aus Borsilikat oder Quarzglas und rostfreiem Stahl. Der Aufbau der Reaktoren weist eine längliche Gestalt auf und die darin enthaltenen Druckhüllen werden erhitzt.
  • Im allgemeinen wird aufgrund der schlechten Widerstandsfähigkeit der verwendeten Elastomerdichtungen die Abscheidungsausrüstung mit einer länglichen Form versehen, wobei die Betriebs-Zufluss-/Abflussöffnungen an den Enden angeordnet sind, um einen ausreichenden Temperaturunterschied zwischen den heißen Teilen und den Dichtungen der Ausrüstung zu liefern. In großen Vorrichtungen sind die erhitzten Massen und die erforderlichen Heizleistungen erheblich, wobei zwischen den unterschiedlichen Teilen der Ausrüstung Temperaturunterschiede gebildet werden, die schwer zu eliminieren sind. Dies vergrößert die Ausrüstungsabmessungen und die gesamte Vorrichtung nimmt eine längliche Form mit getrennten, nach außen ragenden Quellenröhren an, da die verschiedenen Reaktandenquellen thermisch voneinander isoliert werden müssen, in dem die Quellen in ein getrenntes Heizgehäuse mit einer isolierenden Luftlücke zwischen den Gehäusen angeordnet werden. Die Quellen können auch so angeordnet werden, dass der Reaktionsbereich in einer Linie hinter den Quellen zum Liegen kommt. In einer derartigen Konstruktion ist der Reaktionsbereich mit der höchsten Temperatur am anderen Ende der Vorrichtung angeordnet und die Quellen sind in dem länglichen Reaktor derart angeordnet, so dass die Quelle, die die geringste Temperatur benötigt, im Bereich der geringsten Temperatur, am weitesten entfernt von dem Reaktionsbereich, angeordnet ist. Bei der Kontrolle des Temperaturunterschiedes (da die Quelle am Reaktionsbereichsende der Quelle bei einer höheren Temperatur betrieben wird, als das andere Ende der Quelle) und aufgrund der vergrößerten Länge der Vorrichtung tritt hier ein Problem auf.
  • Eine röhrenförmige Konstruktion kann auch die Verwendung verborgener Verbindungsstücke erfordern, die für den Betreiber nicht zugänglich sind, wobei dieser folglich den erfolgreichen Abschluss von Verbindungen mit der Substrathalterung nicht kontrollieren kann (dies aufgrund der Tatsache, dass die Leitungen der Substrathalterung in der heißen Reaktionsröhre mit anderen Leitungen verbunden sind).
  • Aufgrund des schlechten Verhaltens von Trägern in einer heißen Umgebung muss die Substrathalterung mittels eines Schlittens oder eines Liftmechanismusses in den Reaktionsbereich angehoben werden. Dies führt aufgrund eventuell möglicher ruckartiger Bewegungen zur Bildung von staubigem Abriebmaterial auf den gleitenden Oberflächen und zum Verkratzen von Glassubstraten.
  • Die vorstehend aufgeführten Nachteile werden beim Verarbeiten von Substraten mit größeren Bereichen noch verstärkt, wobei die Größe und Steuerung der Ausrüstung und deren Komponenten komplizierter wird.
  • In der US 5,183,510 A ist eine Vorrichtung zur ALE-Beschichtung beschrieben mit einem Reaktionsbereich, Feststoffmaterialquellen und sich von den Quellen zum Reaktionsbereich erstreckenden Gaszufuhrleitungen, die alle in einer Druckhülle angeordnet sind. Der Reaktionsbereich und die Quellen besitzen eigene unabhängige Heizungen und Isolierelemente.
  • Eine Aufgabe der vorliegenden Erfindung ist es, die Nachteile der herkömmlichen Technologie zu überwinden und eine völlig neue Vorrichtung zur Bildung dünner Filme unter Verwendung des ALE-Verfahrens zur Verfügung zu stellen. Eine besondere Aufgabe der Erfindung besteht darin, die mit erhitzten Druckbehältern assoziierten Probleme zu lösen, ohne auf die Vorteile eines Reaktors mit heißen Wänden zu verzichten.
  • Die erfindungsgemäße Aufgabe wird dadurch gelöst, dass ein heißwandiger Pulsstrom-Reaktor, bei dem die Heizmittel an das Innere der Druckhülle angepasst sind, eine Strahlung reflektierende Isolierung und eine äußere gekühlte Hülle, die von der Druckhülle getrennt oder darin integriert vorliegen kann, zur Verfügung gestellt wird. Erfindungsgemäß wird die Reaktionskammerpackung, die Quellen für das feste Material und die Zufuhrleitungen für das Ausgangsmaterial alle in der Druckhülle angeordnet, wobei individuelle Heizmittel für die Reaktionskammerpackung und die Quellen des festen Materials verwendet werden. Jede Quelle eines festen Materials wird unabhängig heizbar angepasst und die heizbaren Komponenten werden mittels aktiv gekühlter thermischer Isolierelemente voneinander isoliert.
  • Eine weitere neue, erfindungsgemäße Eigenschaft besteht auch in der Verwendung einer beheizten Beschickungstür, die, neben anderen Vorteilen, die Anordnung der Tür in unmittelbarer Nachbarschaft zur Reaktionskammerpackung erleichtert. Der Reaktor kann dann beispielsweise die Form eines Würfels annehmen, wobei eine Überprüfung der Dichtheit innerer Verbindungsstücke/Verbindungen leicht zu erreichen ist.
  • Bei der erfindungsgemäßen Ausführungsform können die Heizmittel der Reaktionskammerpackung und der Quellen durch eine Hülle gebildet werden, die die Reaktionskammerpackung bzw. die Quelle umgibt, wobei die Hülle eine erste, wärmeausgleichende Hülle oder Platte aufweist, die an der der Reaktionskammerpackung (bzw. der Quelle) zugewandten Seite angeordnet ist, während eine zweite, wärmeausgleichende Hülle oder Platte nach Wunsch auf der der Druckhülle zugewandten Seite angeordnet sein kann. Zwischen der ersten und zweiten Hülle wird dann ein Heizelement angepasst, das zum Erhitzen der Oberflächen der wärmeausgleichenden Hüllen geeignet ist. Die Heizelemente können beispielsweise röhrenförmige oder planare Heizwiderstandselemente umfassen. Es sollte hier bemerkt werden, dass die Heizelemente in der erfindungsgemäßen Vorrichtung auch in einer einseitigen Konfiguration der wärmeausgleichenden Hülle betrieben werden können.
  • Die nachstehend als aktive wärmeisolierende Mittel bezeichneten Elemente umfassen im allgemeinen ein Kühlmittel und eine Abschirmung. Die erfindungsgemäße Vorrichtung besitzt daher einen Bereich zwischen zwei wärmeren Punkten, der eine niedrigere Temperatur aufweist als der kühlere Punkt der beiden. Um die Heizmittel herum ist im allgemeinen mindestens ein Satz Wärmestrahlung reflektierender Hüllen angeordnet, wobei eine größere Anzahl konzentrischer Hüllen ebenfalls möglich ist. Zusätzlich ist um die Quellen ein Kühlmittel angeordnet, wobei der Isolierbereich erzielt wird. Die Kühlmittel können beispielsweise Wasserkühlung umfassen, wie in 4 näher dargestellt ist. Ein ähnliches Kühlmittel kann auch bei der Druckhülle vorgesehen sein.
  • Die Wände der Hüllenstruktur, die die Reaktionskammerpackung und die Quellen umgibt, bestehen vorteilhaft aus einem Metall, wie rostfreiem Stahl, Titan oder Aluminium oder alternativ aus einer Metalllegierung.
  • Indem der Reaktionsbereich und die Reaktandenquellen voneinander und von der Druckhülle thermisch isoliert gehalten werden, kann die Innentemperatur der Druck hülle unabhängig von der Temperatur der Quellen und des Reaktionsraums eingestellt werden. Die Temperatur der Druckhülle kann tatsächlich erheblich unter der Reaktionstemperatur gehalten werden.
  • Die Quellen werden vorteilhaft in zwei Gruppen eingeteilt, von denen eine die metallischen Reaktanden und die andere die nichtmetallischen Reaktanden umfasst, wobei die Quellen der gleichen Reaktandengruppe so angeordnet werden können, dass sie eine gemeinsame Zufuhrleitung verwenden. Dies kann vorteilhaft so eingerichtet werden, dass die Quellen der gleichen Reaktandengruppe übereinander oder parallel zueinander angeordnet werden, wobei sie an deren Enden mit einem gemeinsamen Verteilerrohr oder einer Zufuhrleitung verbunden sind, deren Ausgangsende beispielsweise über getrennte Verbindungsstücke mit der Reaktionskammerpackung verbunden ist.
  • In dem vorliegenden Zusammenhang betrifft der Ausdruck „Reaktand" ein verdampfbares Ausgangsmaterial, das mit der Substratoberfläche reagieren kann. In dem ALE-Verfahren werden gewöhnlich Reaktanden verwendet, die zwei verschiedenen Gruppen angehören. Die Reaktanden können Feststoffe, Flüssigkeiten oder Gase sein. Der Ausdruck „metallische Reaktanden" wird für metallische Verbindungen verwendet, die sogar elementare Metalle sein können. Geeignete metallische Reaktanden sind die Metallhalogenide, einschließlich beispielsweise Chloride und Bromide, und metallorganische Verbindungen, wie die thd-Komplexverbindungen. Als Beispiele für metallische Reaktanden können Zn, ZnCl2, TiCl4, Ca(thd)2, (CH3)3Al und Cp2Mg genannt werden. Der Ausdruck „nichtmetallische Reaktanden" wird für Verbindungen und Elemente verwendet, die zur Umsetzung mit metallischen Verbindungen befähigt sind. Die zuletzt genannte Gruppe ist zweckmäßig durch Wasser, Schwefel, Schwefelwasserstoff und Ammoniak dargestellt.
  • Wird die Vorrichtung als Würfel ausgebildet, dann wird dessen eine Seite so konstruiert, dass sie eine Beschickungs-/Entnahme-Tür bildet, durch die eine gestapelte Substratpackung, die den Reaktionsbereich in einer zusammengestellten Packung von Reaktionskammern darstellt, in den Druckbehälter eingebracht bzw. nach der Reaktion daraus entnommen werden kann. Gemäß einer besonders vorteilhaften Ausführungsform kann die Türe mit einer Heizeinrichtung versehen sein, die zu dem vorstehend beschriebenen Heizmittel äquivalent ist, wobei die Heizeinrichtung dazu dient, beispielsweise die Gasphasenimpulse der Reaktanden zu erwärmen, die aus den Quellen über die Zufuhrleitungen in die Reaktionskammerpackung eingebracht werden, wobei die Kondensation der Reaktandengase vermieden wird. Es ist dann nicht erforderlich um die Zufuhrleitungen separate Heizmittel anzubringen. Es ist vielmehr ausreichend die Vorrichtung derart zu konfigurieren, dass die Zufuhrleitungen nahe an der geheizten Tür vorbeigeleitet werden.
  • Durch die Erfindung werden gegenüber den im Stand der Technik verwendeten herkömmlichen ALE-Reaktoren erhebliche Vorteile erzielt.
  • Die thermische Isolierung wird durch gestapelte, nach außen verlagerte, Wärme reflektierende Schichten mit geringer Absorption und geringer Wärmeabstrahlung gebildet, die in diesem System mit geringem Druck als Satz nebeneinander liegender thermischer Isolierung dienen. Die Schichten dienen auch als herkömmliche thermische Isolierung, indem sie den freien Strom von Restgasen zwischen den heißen und kalten Teilen des Reaktors verhindern, wobei thermische Konduktion und Konvektion reduziert wird. Die hier verwendete Isolierungsstruktur eliminiert die Notwendigkeit der Verwendung anorganischer Fasern oder Ziegelisolierung.
  • Aufgrund der geringeren Temperatur der Druckhülle wird deren Stabilität hoch gehalten, was einen wichtigen Vorteil darstellt, wenn Substrate mit größerer Ausdehnung verarbeitet werden sollen. Weiterhin werden die Aufbaubeschränkungen hinsichtlich der Form eines bei niedrigerer Temperatur betriebenen metallischen Druckkessels gelockert, wobei die Form der Druckhülle in einer kostengünstigen Art und Weise auch planare Oberflächen aufweisen kann.
  • Aufgrund der geringen Temperatur der Druckhülle brauchen die Abmessungen der Vorrichtung nicht vergrößert werden, um eine Kühlung der Dichtungen zu ermöglichen. Gleichzeitig braucht der Aufbau nicht auf längliche Reaktorformen beschränkt zu werden, was eine unbeschränkte Anordnung der Beschickungstür auf jeder Seite der Vorrichtung erlaubt.
  • Die Verwendung eines einzelnen Typs interner Heiz/Kühlanordnungen auch in den Türen der Druckhülle erleichtert es, die Beschickungstüre in unmittelbarer Nachbarschaft der Quelle der Reaktionskammerpackung anzuordnen.
  • Aufgrund des Konzepts des inneren Heizens werden thermische Massen auf ein Minimum reduziert und bestehen nur aus den Quellen, den Transport- und Stromsteuerungsröhren der Gase, der Substrathalterung, den Substraten und den Heizmitteln selbst. Diese Verringerung thermischer Massen erleichtert ein schnelles Erhöhen/Absenken der Temperatur bei geringem Verbrauch in einer Art und Weise, die auch in realen Untersuchungen verifiziert wurde.
  • Wird zwischen einer Röhre mit größerem Durchmesser und einer Röhre mit kleinerem Durchmesser eine Verbindung hergestellt, indem beispielsweise die kleinere Röhre mit einem losen Anschlussstück in die Röhre mit größerem Durchmesser inseriert wird, dann führt dies zu einer undichten Verbindung mit einem Zwischenraum zwischen dem inneren Umfang der größeren Röhre und dem äußeren Umfang der kleineren Röhre. Bestehen hinsichtlich der Gaskonzentrationen außerhalb und innerhalb der Leitungsverbindung Unterschiede, so führt dies zu einem Gasstrom von der höheren Konzentration zu der niedrigeren Konzentration, gleichgültig ob der Unterschied auf Unterschieden des Partialdruckes oder auf Unterschieden im Systemdruck beruht. Dieser Strom kann dann als Leck bezeichnet werden und dessen Größenordnung hängt von den Druckunterschieden, dem vorherrschenden Druck, den Gaseigenschaften und der Temperatur ab. Wird der Druck von 1000 mbar auf 1 mbar gesenkt, dann wird das Gas im Verhältnis 1:1000 verdünnt, wobei auch die über den Zwischenraum ausströmende Menge proportional sinkt. Dies wird auch dann der Fall sein, wenn das Gas unter allen Bedingungen viskos ist. Wird ein Gas nahe seines molekularen Zustandes (d.h. die Dichte der Gasmoleküle im Volumen der Vakuumkammer ist so gering, dass die Moleküle mehr auf die Wand als aufeinander treffen) verdünnt, was für Abmessungen eines kleinen Zwischenraumes in der Größenordnung von 1 mbar liegt, dann wird die ausströmende Menge weiter verringert, da die Gasmoleküle im richtigen Winkel und in richtiger Position in den Zwischenraum gelangen müssen, um durch den Zwischenraum zu gelangen. Die ausströmenden Mengen bei Verbindungen innerhalb der Vakuumvorrichtung sind daher im wesentlichen kleiner als die entsprechend ausströmenden Mengen ähnlicher Verbindungen bei Atmosphärendruck. Indem ein Schutzgas in den Reaktor eingebracht wird, der die Röhren unter solchen Bedingungen umgibt, dass der Druck außerhalb der Röhren höher ist als in den Röhren, kann ein abdichtender Strom von außerhalb der Verbindung in das Innere geliefert werden. Dies führt zu noch geringeren ausströmenden Mengen aus den Röhren und deren Umgebung. Diese Wirkung und deren Verwendung sind im Stand der Technik bekannt und darauf basierende Dichtungsanordnungen werden in ALE-Reaktoren verwendet. Die Leitung kann daher aus mehreren verbundenen Bereichen hergestellt werden, wobei deren Konstruktion bei Verwendung kleinerer und einfacherer Komponenten möglich ist. Auch hier ist es jedoch für die richtige Wirkungsweise des Reaktors erforderlich, die Integrität der Verbindungsstücke/Verbindungen derartiger Komponente nachzuprüfen.
  • Wird die Quelle in einem kompakten Modul angeordnet, das eine Heizeinrichtung, eine Abschirmung und eine Kühlvorrichtung aufweist, dann können die Quellen im gleichen Raum wie die Reaktionskammerpackung, parallel zur Packung oder beispielsweise um diese herum, angeordnet werden. Dies erleichtert die Anordnung der gesamten Vorrichtung in beispielsweise einer würfelförmigen Druckhülle. Der von den Röhren und den Übertragungsabständen der Gasströme erforderliche Bereich wird daher auf ein Minimum reduziert und die Verbindungsstücke können an einem Punkt angeordnet werden, der von der Beschickungstür leicht zugänglich ist. Die damit erreichten Vorteile umfassen kleinere Zeitkonstanten, eine Minimierung der Leitungsoberflächen und eine kompakte Struktur der Vorrichtung.
  • Die Anordnung der Quellen, die die Reaktionskammerpackung umgeben, erleichtert die Verbindung der Quellen mit der Substrathalterung, so dass der Betreiber während eines jeden Beschickungszyklus die Integrität der Verbindungen nachprüfen kann. Diese Ausführungsform vereinfacht auch den Erhalt und die Säuberung der Vorrichtung.
  • Da die Druckhülle, das Heizsystem und die heißen Röhren voneinander getrennt sind, kann die Anzahl und die Größe der Quellen leicht variiert werden.
  • Aufgrund des Betriebs der heißwandigen Röhrenwandungen innerhalb der Druckhülle bei geringem Druck, müssen die Röhren nicht für den Betrieb bei Druck ausgebildet werden, wodurch Röhrenelemente, wie die Substrathalterungen, als beispielsweise ein Würfel ausgebildet werden können, wenn diese Form für die Strömungsdynamik vorteilhafter ist. Im allgemeinen weisen die ALE-Reaktoren Leitungskomponenten auf, die aus Borsilikatglas und Quarz bestehen, wobei das zuletzt genannte gegenüber in der Reaktion auftretenden Chemikalien äußerst inert ist. Die mechanische Stabilität von Glas ist jedoch im Vergleich zu Stahl schlechter.
  • Da der Boden der Druckhülle auf einem kalten Boden angeordnet ist, kann der Substratträger auf einem auf Rädern fahrenden Wagen, der sich auf dem inneren Boden der Druckhülle angebrachten Schienen bewegt, eingebracht werden. Der Beschickungswagen kann dann für den Transport schwerer Substrate und eine diese umgebenden Reaktionskammerpackung verwendet werden, und der Beschickungswagen braucht nicht mit beispielsweise einem Gabellift in den Reaktor angehoben werden.
  • Andere Vorteile und kennzeichnende Eigenschaften der Erfindung werden aus der folgenden ausführlichen Beschreibung unter Bezug zu den in den beiliegenden Zeichnungen gezeigten Diagrammen klar, in denen
  • 1 eine Vorderansicht der schematischen Struktur einer bevorzugten Ausführungsform der erfindungsgemäßen Vorrichtung ist;
  • 2 eine Seitenansicht der Struktur einer heizbaren Beschickungstüre ist;
  • 3A eine Seitenansicht der Kassettenanordnung, die die Reaktionskammer bildet, mit deren Kassettenträgerstrukturen und Schiebebühne ist, während 3B die Schiebebühne zeigt; und
  • 4 ein Querschnitt der schematischen Struktur der heizbaren Quelle zeigt.
  • In 1 ist eine mit Bezugszeichen 1 bezeichnete Druckhülle gezeigt, die eine Leitung oder ein Verteilerrohr zur Zirkulation eines Kühlmittels an deren äußerer Oberfläche aufweist. An der Druckhülle befindet sich weiter eine Nut 2 zum Abdichten einer Beschickungstür.
  • In der in 1 erläuterten Konfiguration sind im Inneren der Druckhülle 1 feste Materialquellen S1-S8 angeordnet, die in dem Diagramm durch gestrichelte Linien gezeigt sind. Eine feste Materialquelle in seiner einfachsten Form betrifft den Teil der Abscheidungsvorrichtung, in dem zur Anordnung eines festen Materials eine Position vorgesehen ist und der ein Ausgangsmaterial in seinem Schiffchen enthält. Eine derartige Quelle für festes Material soll auch eine Heizeinrichtung, eine thermische Isolierung und eine Kühlvorrichtung und Komponenten enthalten, die für die gepulste Zufuhr des Trägergases und die Steuerung der Gasströme erforderlich sind. Eine ausführliche Struktur einer festen Materialquelle ist in 4 gezeigt.
  • In der Druckhülle ist weiter eine Reaktionskammerpackung 13 angeordnet, die von mindestens einer thermischen Abschirmplatte 3 mit kleinem Absorptionskoeffizienten umgeben ist. Die Effizient einer derartigen Platte (oder Platten) als aktives thermisches Isolierelement basiert auf der Tatsache, dass die von dem Heizelement der Reaktionskammerpackung 13 emittierte thermische Strahlung von der Platte mit kleinem Absorptionskoeffizient reflektiert wird, und dass der kleine, durch die Platte hindurchgelassene Wärmestrom schlecht weitergegeben wird. Die thermische Isolierung wird bei der nächsten, benachbarten Platte in der gleichen An und Weise wiederholt usw. Bei erhöhtem Druck und ansteigendem Wärmedurchgang durch Konvektion und Konduktion verbessern die Platten immer noch die thermische Isolierung, indem die Bewegung der Moleküle behindert wird.
  • Das Heizmittel der Reaktionskammerpackung 13 umfasst eine planare Heizeinrichtung, die zwei wärmeausgleichende Platten beinhaltet, d.h. eine äußere wärmeausgleichende Platte 4 und eine innere wärmeausgleichende Platte 5, wobei ein Heizelement 6 wie ein Heizwiderstand zwischen den Platten angeordnet ist. Die Platten 4 und 5 können beispielsweise aus nicht rostendem Stahl sein. Da es deren Funktion ist, den von den Heizwiderstandselementen emittierten Wärmestrom über den gesamten, zwischen den Heizelementen 6 verbleibenden Bereich abzugleichen, sollte das Plattenmaterial ein hohes thermisches Emissionsvermögen aufweisen. In der Praxis wurde gefunden, dass sich die Temperatur der Platten gut abgleicht, was wiederum einen homogenen Wärmetransfer auf die Substrate verbessert. Die wärmeausgleichenden Platten 4, 5 und die thermischen Abschirmplatten 3 sind beispielsweise mit vier bis fünf Schrauben miteinander verbunden und durch Laufbuchsen voneinander getrennt. Es wurde gefunden, dass die thermische Leitfähigkeit der Schrauben vernachlässigbar ist.
  • Wie vorstehend aufgeführt kann das Heizelement 6 der Reaktionskammerpackung 13 beispielsweise einen röhrenförmigen Heizwiderstand enthalten. Die Funktion des Heizelements 6 wird durch ein Thermoelement zweckmäßig gesteuert, das die Temperatur des Heizelements 6 misst. Ein Beispiel eines Verfahrens zur homogenen Verteilung von Wärme auf alle Seiten der wärmeausgleichenden Platten 4, 5 ist eine gasdichte, im Vakuum anbringbare röhrenförmige Heizeinrichtung mit einem homogenen thermischen Fluss von dessen Oberfläche. Das Heizen kann auch unter Verwendung jeder anderen geeigneten planaren Heizmethode durchgeführt werden.
  • Alle sechs Wände des inneren Reaktorvolumens, das zur Aufnahme der Reaktionskammerpackung 13 konstruiert wurde, können mittels getrennt steuerbarer Heizwiderstandselemente 6 beheizt werden, wenn die erforderliche Heizleistung aus geometrischen Gründen auf den verschiedenen Wänden des Raums unterschiedlich sein sollte. Anderenfalls könnte ein einziges Heizelement 6 mehrere Wände heizen, wobei die Zahl der erforderlichen Durchführungen verringert würde. Eine notwendige Voraussetzung besteht hier jedoch darin, dass die Reaktionskammerpackung auf jeder Seite von einer Wand mit gleicher Temperatur umgeben ist oder alternativ, dass die innere thermische Leitfähigkeit der Reaktionskammerpackung so hoch ist, dass sie jede Änderung des auftreffenden thermischen Strahlungsflusses ausgleichen kann. In der Praxis wurden über eine Entfernung von 30 cm auf der Oberfläche des geheizten Raumes Temperaturunterschiede von weniger als 1 Kelvin gemessen.
  • Die gesamte Kombination der Heizeinrichtung 6, der thermischen Isolierung 4, 5 und der Kühlvorrichtung 3, oder alternativ nur ein Teil davon, kann nach Wunsch in ein integriertes Heizmodul eingebaut werden, wobei der Ersatz des Heizmoduls einfach ist und kein Entfernen anderer Teile des Reaktors erforderlich macht und ein Erneuern des Heizsystems des Reaktors gemäß technischer Fortschritte ermöglicht.
  • Die Reaktionskammerpackung 13 ist auf einem Beschickungswagen 7 angeordnet. Die Reaktionskammerpackung 13 und die in dem Raum zu verarbeitenden Substrate werden außerhalb der Vorrichtung auf einem Beschickungswagen 7 zusammengebracht, der auf einer getrennten Schiebebühne mit Rädern (siehe auch 4) befestigt ist. Die Schiebebühne wird dann vor die Vorrichtung gebracht, so dass die Schienen der Bühne eine Verlängerung der Schienen 8 des inneren Bodens der Druckhülle 1 werden, wobei der Beschickungswagen 7 in das Innere der Druckhülle 1 gebracht werden kann und auf den Rädern 9 auf den Schienen läuft. Die Räder 9 ermöglichen eine leichte und gängige Überführung des Beschickungswagens. Die Funktion der Schienen 8 ist es, die Überführung des Beschickungswagens und dessen genaue Anordnung zu erleichtern. Die Entfernung der Substrathalterung/Reaktionskammerpackung 13 aus dem Reaktor verläuft umgekehrt. Da während der Beschickung/Entnahme keine der Erdanziehung entgegenwirkende Arbeit verrichtet werden muss, ist auch jegliche Überführungsausrüstung zur Bewegung des Beschickungswagens und dessen Schiebebühne überflüssig. Darüber hinaus kann die Reaktionskammerpackung 13 vorgeheizt werden und sie kann außerhalb des Reaktorgehäuses zum Abkühlen gebracht werden, wodurch die Zeit der Handhabung der Verfahrensausrüstung verringert wird und die Durchsatzkapazität der Ausrüstung erhöht wird.
  • Eine Kondensationsröhre 10, die durch den kalten Bereich der Druckhülle 1 läuft, stellt die Kondensation kondensierbarer überschüssiger Chemikalien und Reaktionsprodukte vor der Pumpleitung sicher. Die Röhre ist mit der Druckhülle 1 über eine radiale Lippendichtung 14 verbunden. Die Röhre ist in longitudinaler Richtung der Röhre entlang den Lippen der radialen Lippendichtung verschiebbar, was gegebenenfalls winklige Anordnungsfehler ausgleichen kann. Die Verbindung der Röhre zu der Reaktionskammerpackung 13 ist einfach, sogar wenn in der Reaktionskammerpackungsstruktur oder der Lage des Beschickungswagens kleine Veränderungen auftreten sollten. Die Kondensationsröhre 10 kann beim Austausch verunreinigt werden. Deren Anbringung kann durch beispielsweise ein Kugelgelenk erreicht werden. Feststoffe und Materialien, die unter den beim Pumpen vorherrschenden Bedingungen gasförmig sind, können durch beispielsweise Filtration, Adsorption, Kühlfallen und andere ähnliche Mittel entfernt werden. Wie vorstehend aufgeführt, brauchen die Verbindungen nicht notwendigerweise abgedichtet werden.
  • Bei dem ALE-Verfahren wird die Schicht nur in den Bereichen gebildet, die den metallischen und nicht-metallischen Quellen-Gasen ausgesetzt sind. Stellen, an denen unerwünschte Schichtbildung vorkommt, d.h. die Oberflächen der Reaktionskammerpackung 13, die nicht Substratoberflächen sind, müssen in regelmäßigen Abständen von der gebildeten Schicht befreit werden oder die verunreinigten Teile müssen durch neue ersetzt werden.
  • Die metallischen und nicht-metallischen Quellen sind voneinander getrennt, um die Bildung dicker Mehrschichten-Ansammlungen zu verhindern, die durch aufeinanderfolgende Abscheidung dünner Schichten übereinander, während einer langen Reihe von Serien, gebildet wurden. Eine derartige dicke Schicht bricht unausweichlich nach Anwachsen auf eine bestimmte Dicke. Die getrennte Anordnung der Quellen verbessert auch die Wirksamkeit der Verwendung der Ausgangsmaterialien, da die auf unerwünschten Bereichen abgeschiedenen Schichten unnötigerweise eine Verschwendung der Ausgangsmaterialien darstellen. Die Bezugszeichen 11 und 12 in den Diagrammen bezeichnen getrennte Verbindungsstücke zum Verbinden der Verteilerrohre der metallischen und nichtmetallischen Quellen mit der Reaktionskammerpackung 13. Die Verbindungen sind mit geerdeten Kugelgelenken versehen.
  • Die bereits erwähnte Substrathalterung ist durch die Reaktionskammerpackung 13 gebildet. In dieser Ausführungsform der Substrathalterungsstruktur sind die Substrate so dazwischen angeordnet, dass sich deren Vorderseiten gegenüberliegen und an den Kanten abgedichtet sind, wobei der Reaktionsbereich gebildet wird, und wobei dann derartige Packungen aus zwei Substraten parallel Seite an Seite mit den hinteren Seiten der Substrate gestapelt sind und voneinander in einem bestimmten Abstand getrennt gegenüberliegen. Die sich gegebenenfalls zwischen den Substrat-Paarpackungen in dem Stapel bildenden Temperaturunterschiede können mittels beispielsweise Wärme ausgleichenden Platten aus Graphit, die in die Packungen inseriert sind, ausgeglichen werden. Die Gasströme der Ausgangsmaterialien, die in die Reaktionskammer 13 gebracht werden, werden in einer Sequenz von Gasimpulsen, die zu verschiedenen Zeiten in die Zwischenräume zwischen die gestapelten Substratpackungen geleitet werden, eingebracht. In dem Zwischenraum treffen die Moleküle oder Atome des verdampften Ausgangsmaterials auf die heiße Oberfläche des Substrats und bilden eine gesättigte Molekülschicht auf der Substratoberfläche. Die von dem Reaktionsbereich entfernten Gase werden an dem anderen Ende der Substratpackungen entfernt, wovon sie durch die Kondensationsröhre entnommen werden. Die Heizelemente 6 können auch ein integraler Bestandteil der Reaktionskammerstruktur sein, wobei der Wärmetransfer zur Reaktionskammerpackung und den Substraten darin maximiert ist.
  • Gemäß einer beispielhaften Ausführungsform kann ein kaltwandiger ALE-Reaktor aus den folgenden modularen Bestandteilen zusammengestellt werden:
    Druckhülle
    Heizeinrichtung – thermische Isolierung – Kühlvorrichtung
    Quelle für festes Material
    Röhren
    Reaktionskammerpackung
    Beschickungswagen
    Pumpleitung und Pumpe
    Steuerausrüstung
    Software
  • Jede modulare Einheit kann getrennt betreut, auf das Niveau des Standes der Technik erneuert werden und sogar durch eine völlig neue Konstruktion ersetzt werden, ohne dabei die innen gelegenen Module austauschen zu müssen. Die Verwendung interner Heizvorrichtungen trägt zum modularen Aufbau der Reaktorkonstruktion weiter bei.
  • Quellen, die gasförmige oder flüssige Ausgangsmaterialien verwenden, können als individuelle Module vorgesehen sein, die vom Rest der Reaktorkonstruktion völlig getrennt sind.
  • Wie vorstehend aufgeführt, besitzt die Ausführungsform der erfindungsgemäßen Vorrichtung eine neue Eigenschaft, da eine mit der Heizvorrichtung ausgestattete Beschickungstür verwendet wird. In 2 ist die Struktur einer derartigen Tür 21 gezeigt. Die Beschickungstür 21 weist letztendlich mindestens eine daran angebrachte Abschirmplatte 25 mit einem geringen Strahlungsabsorptions-Koeffizienten auf. Diese Platte liefert die gleiche Funktion wie die vorstehend beschriebenen Platten 3. Das Heizelement der Tür 21 stellt eine planare Heizvorrichtung 22 mit zwei wärmeausgleichenden Platten dar, wobei ein Heizelement 23, wie Heizwiderstandselemente zwischen den Platten angeordnet sind. Die Platten sind aus dem gleichen Material wie andere wärmeausgleichende Platten des Reaktors und deren Funktion ist es, die durch die Heizelemente 23 emittierte Wärme über die Platte gleichmäßig zu verteilen. Der Türmechanismus enthält weiter eine Steuereinheit 24 der Heizelemente 23, wobei die Steuereinheit beispielsweise einfach eine Steuerelektronik enthält, die über elektrische Verbindungen 26 mit dem Heizelement 23 verbunden ist. Die Abschirmplatten 25, die wärmeausgleichenden Platten 22 und die Heizelemente 23 sind an der inneren Oberfläche der Tür 21 über angebrachte Träger 27 befestigt.
  • Die vorstehend aufgeführten Strukturen ergeben solche Vorteile wie die Möglichkeit, die Beschickungstür 21 nahe an die Reaktionskammerpackung 13 zu bringen. Der Reaktor kann dann beispielsweise in Form eines Würfels gebracht werden, wobei eine Nachprüfung der Integrität der Verbindungsstücke/Verbindungen einfach ist. Die Heizmittel der Beschickungstür können zum Erhitzen der Gasphasen-Materialimpulse, die von den Quellen über die Zufuhrleitung zur Reaktionskammerpackung 13 strömen, verwendet werden, so dass eine Kondensation von Gasen in der Zuflussleitung verhindert wird.
  • Die 3A und 3B erläutern die Konstruktion des Transportwagens 31 der Substrathalterung 32 genauer. Diese Diagramme zeigen auch die Anordnung der Substrathalterung 32 auf dem Beschickungswagen 33 und die Lage der Räder 34 und der Schienen 35.
  • 4 zeigt eine kompakte, modulare Materialquelle zur Verwendung in Verbindung mit der vorliegenden Erfindung. Die modulare Quelle umfasst ein inneres Quellenrohr 41 (im allgemeinen aus Glas), in das das Ausgangsmaterial-Schiffchen überführt werden kann. Das innere Quellenrohr 41 ist von einem äußeren Quellenrohr 42 umgeben, das ebenfalls aus herkömmlichem Glas ist. Dieses Rohr kann als ein durchgehendes, verzweigtes Verteilerrohr ausgebildet sein, dessen Verzweigungen mit allen Quellen des festen Materials des gleichen Blocks in Verbindung stehen, die mittels des gemeinsamen Verteilerrohrs des Blocks vereinigt werden. Ein abdichtender Stickstoffstrom ist vorgesehen, um den Zwischenraum zwischen den Quellenrohren 41, 42 zu spülen. Um die Quellenrohre herum ist ein wärmeausgleichendes Rohr 43 oder eine Platte angeordnet, dessen Zweck es ist, die durch das Heizelement 44 emittierte Wärme gleichmäßig über die Oberfläche des Heizwiderstandselementes zu verteilen. Zusammen mit den Widerstandselementen bilden die wärmeausgleichenden Rohre eine röhrenförmige Heizeinrichtung, um die hier beschriebene Ausführungsform beispielhaft zu erläutern.
  • Die Wärme emittierenden Heizelemente 44 können beispielsweise röhrenförmige Heizeinrichtungen sein, deren Temperatur mittels Thermoelementen verfolgt wird. Eine röhrenförmige Heizeinrichtung mit einer gleichmäßigen Temperaturverteilung über die Oberfläche ist eine Möglichkeit zur gleichmäßigen Verteilung der Wärme über den gesamten Bereich der wärmeausgleichenden Platte. Alternativ ist jede andere Heizmittelstruktur denkbar, die ein homogenes Erhitzen ermöglicht. Röhrenförmige Reaktoren sind gewöhnlich so konstruiert, dass beide Enden des Reaktors mit Heizwiderstandselementen ausgestattet sind, die einen größeren Output besitzen als die Heizelemente im Zentrum des Reaktors, um den höheren Wärmeverlust an den Enden auszugleichen. In der erfindungsgemäßen symmetrischen Ausführungsform Heizvorrichtung – thermische Isolierung – Kühlvorrichtung ist die ständige Wärmeabführmenge der Kühlvorrichtung im Vergleich mit den anderen Wärmeverlusten so hoch, dass ein Abführen der Wärme auf diesem Weg maßgeblich wird. Wenn daher eine gleichmäßige Wärmeverteilung gewünscht ist, muss notwendigerweise der Wärme-Input mit ständiger Abführung der Wärme ausgeglichen werden.
  • Das röhrenförmige Heizelement ist von mindestens einer thermischen Isolierplatte umgeben. Die Funktion dieser Platten basiert auf der Tatsache, dass die von den Widerstandselementen emittierte thermische Strahlung von der benachbarten Platte mit niedrigem Absorptionskoeffizient zurückgeworfen wird und dass auch der geringe Wärmestrom, der durch die Platte gelassen wird, nur schlecht weitergegeben wird. Bei der nächsten, benachbarten Platte wird die thermische Isolierung in der gleichen Art und Weise wiederholt usw. Bei erhöhten Drücken und ansteigender thermischer Transmission durch Konvektion und Konduktion verbessern die Platten immer noch die thermische Isolierung, indem die Bewegung der Moleküle behindert wird.
  • Das äußere Gehäuse der modularen Quelle besteht aus einer die Temperatur verteilenden, ausgleichenden Platte oder Röhre 46, die zum Sammeln des Wärmestroms dient, der von der Oberfläche zwischen den außen gelegenen Kühlelementen 47 ausgeht. In der beispielhaft aufgeführten Ausführungsform wird ein Rohr als Kühlelement 47 verwendet. Ein für die Abmessungen der Wärme- und Kühlung-ausgleichenden Platten ist, dass die interne Wärmekonduktion in der Platte hinsichtlich des Wärmestroms, der von beispielsweise der Oberfläche zwischen den Kühlrohren aufgenommen wird, wirksam sein muss. Die Abmessungen werden hier durch die jeweilige Entfernung zwischen den Kühlrohren, das Schicht-/Rohrmaterial, die Dicke der Schicht-/Rohrwandung, erlaubten Temperaturunterschieden und der Wärmeströmungsgeschwindigkeit beeinflusst. Das Kühlelement 47, wie beispielsweise das Rohr, führt zusammen mit dem Wärmetransfermedium (Flüssigkeit oder Gas) die durch die thermische Isolierung durchgelassene Wärme ab. Wird als Kühlelement 47 ein Rohr verwendet, dann muss das Rohr vakuumdicht sein und vorzugsweise so angeordnet sein, dass es ohne Verbindungen, von außerhalb des Reaktors in diesen hinein und zurück zu dem äußeren Bereich verläuft. Das Kühlelement 47 ist durch Hartlöten, Schweißen, mechanischen oder ähnlichen Mitteln mit hoher thermischer Leitfähigkeit an die Temperatur verteilende, ausgleichende Kühlplatte angebracht. Die/das kühlende-ausgleichende Platte/Rohr und das Kühlelement kann in einer integrierten Strukturkomponente hergestellt werden.
  • Der Reaktor kann eine derartige Konstruktion aufweisen, bei der eine Heizeinrichtung, eine thermische Isolierung und eine Kühlvorrichtung auf einem getrennten Befestigungsflansch für die Quellen vereinigt sind, in der auch die radialen Lippendichtungen der Glasrohre und der Zufluss-/Abflussleitungs-Verbindungen des Prozessgases vereinigt sein können. Die in der Druckhülle des Reaktors vorkommende Öffnung muss einen Durchmesser aufweisen, der größer ist als der des Kühlelementes. Die Größe des modularen Befestigungsflansches für Quellen und deren Dichtung werden durch den Durchmesser der Druckhüllenöffnung bestimmt. Die Zahl fester Materialquellen, die mit dem Reaktor verbunden werden können, wird hauptsächlich durch die zur Befestigung der benachbarten Quellen-Flansche bestimmt. Diese Struktur ergibt die feste Materialquelle als modulare Form, die eine einfache Zugabe, Entfernung oder ein einfaches Ersetzen der Quellen in dem Reaktorsystem erlaubt. Die Konstruktion der modularen Quelle kann in einer einfachen Art und Weise variiert werden, ohne größere Änderungen in den anderen Strukturen des Reaktors erforderlich zu machen.
  • Neben festen Materialquellen kann der Reaktor mit einer fast unbegrenzten Anzahl flüssiger und gasförmiger Ausgangsmaterial-Quellen versehen werden. Es können daher dem Reaktorsystem eine größere Anzahl fester Materialquellen zugefügt werden, ohne die maximale Anzahl der anderen Quellen zu vermindern. Da die gewünschte Anzahl fester Materialquellen die Reaktorabmessungen beeinflusst, ist die maximale Zahl dieser Quellen für jede Reaktorkonstruktion beschränkt.
  • Der vorstehend beschriebene Reaktor wird wie folgt betrieben:
    • 1. Eine gewünschte Anzahl metallischer und nicht-metallischer Ausgangsmaterialquellen wird mit dem Reaktor verbunden.
    • 2. In die Quellen wird beispielsweise von der Seite der Beschickungstür aus ein mehrfach verzweigtes Verteilerrohr aus Glas eingeführt. Beide Gruppen der Ausgangsmaterial-Quellen werden mit jeweiligen Verteilerrohren versehen.
    • 3. Beide inneren Quellenrohre werden über die Quellenbefestigungs-Flansche eingebracht.
    • 4. In die inneren Quellenrohre werden die Thermoelemente und Ausgangsmaterial-Schiffchen eingebracht, von denen jedes ein Ausgangsmaterial enthält.
    • 5. Die Reaktionskammerpackung wird zusammengebracht und die Substrate werden darin angeordnet.
    • 6. Der Beschickungswagen und die Reaktionskammerpackung werden auf der Schiebebühne nahe an den Reaktor in eine Position gebracht, wobei die Schienen der Schiebebühne eine Verlängerung der Schienen sind, die am Boden der Druckhülle befestigt sind.
    • 7. Der Beschickungswagen wird in die Reaktordruckhülle bis zu seiner vorbestimmten Position überführt und die Schiebebühne wird wieder herausgenommen.
    • 8. Zwischen den Quellenrohren und der Reaktionskammerpackung werden die Verbindungsleitungen befestigt und die Kondensationsröhre wird an ihrem Platz angebracht. Die Integrität der Verbindungsstücke/Verbindungen wird überprüft.
    • 9. Die Beschickungstür wird verschlossen und die Reaktordruckhülle wird evakuiert.
    • 10. Das Systemsteuerungsprogramm wird gestartet (zur Steuerung der Wärme und der Führung der Reaktandenpulsströme).
    • 11. Nach dem Lauf wird die Reaktordruckhülle auf Atmosphärendruck gebracht und die Beschickungstür wird geöffnet.
    • 12. Die Kondensationsröhre und die Verbindungsleitungen werden entfernt und die offenen Rohrenden werden mit Dichtungsstopfen versehen.
    • 13. Die Reaktionskammerpackung wird entfernt und der Beschickungswagen wird auf dem Transportwagen aus dem Reaktor gebracht.
  • Mit der Maßgabe, dass die Ausgangsmaterialien in den Quellen noch nicht aufgebraucht sind, können diese für den nächsten Lauf belassen werden, wobei deren Entfernung nicht erforderlich ist. Ist eine größere Anzahl an Reaktionskammerpackungen verfügbar, dann kann die nächste Reaktionskammerpackung in die Reaktordruckhülle überführt werden und der Lauf kann unmittelbar gestartet werden. Die aus dem Reaktor entnommene Reaktionskammerpackung kann ungestört abkühlen gelassen werden und deren Abbau, Reinigung und erneutes Zusammensetzen kann weit vor dem nächsten Verarbeitungslauf erfolgen.

Claims (15)

  1. Vorrichtung zum Beschichten von wenigstens einem Substrat in alternierend wiederholten Gasphasenreaktionen, die in einer Druckhülle (1) einen Substrathalter in einem Reaktionsbereich, mindestens zwei Feststoffmaterialquellen (S1 – S8) zur Erzeugung von Gasimpulsen und Gaszufuhrleitungen von den Quellen (S1 – S8) zum Reaktionsbereich enthält, wobei der Reaktionsbereich und die Quellen (S1 – S8) mit eigenen Heizungen ausgestattet sind, die ein unabhängiges Steuern der Temperatur ermöglichen und durch aktiv gekühlte thermische Isolierelemente voneinander getrennt sind.
  2. Vorrichtung nach Anspruch 1, dadurch gekennzeichnet, dass die Innentemperatur der Druckhülle (1) unabhängig von den Temperaturen der Quellen (S1 – S8) und des Reaktionsbereichs mittels der thermischen Isolierungselemente gesteuert werden kann.
  3. Vorrichtung nach Anspruch 1 oder 2, dadurch gekennzeichnet, dass die Heizung des Reaktionsbereichs eine Hülle umfasst, die den Reaktionsbereich umgibt und die eine erste wärmeausgleichende Hülle enthält, die an der dem Reaktionsbereich zugewandten Seite angebracht ist, während eine zweite wärmeausgleichende Hülle gegebenenfalls an der der Druckhülle (1) zugewandten Seite angeordnet ist, und wobei zwischen der ersten und zweiten Hülle ein Heizelement (6) angepasst ist, das zum Erhitzen der Oberflächen der wärmeausgleichenden Hüllen geeignet ist.
  4. Vorrichtung nach Anspruch 3, dadurch gekennzeichnet, dass das thermische Isolierungselement des Reaktionsbereichs mindestens eine die Wärme reflektierende Hülle umfasst, die um das Heizelement des Reaktionsbereichs angepasst ist.
  5. Vorrichtung nach Anspruch 3 oder 4, dadurch gekennzeichnet, dass das Heizelement des Reaktionsbereichs ein röhrenförmiges Widerstandselement enthält.
  6. Vorrichtung nach einem der Ansprüche 3 bis 5, dadurch gekennzeichnet, dass die Wände der Hüllenstrukturen, die den Reaktionsbereich umgeben, aus einem Metall, wie nicht rostendem Stahl, Titan oder Aluminium, oder einer Metalllegierung bestehen.
  7. Vorrichtung nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass die Heizung der Quellen (S1 – S8) aus einer Hülle besteht, die die Quelle (S1 – S8) umgibt, wobei die Hülle eine erste wärmeausgleichende Hülle besitzt, die an der der Quelle (S1 – S8) zugewandten Seite angeordnet ist, während eine zweite wärmeausgleichende Hülle oder Platte gegebenenfalls an der der Druckhülle (1) zugewandten Seite angeordnet ist, und wobei zwischen der ersten und zweiten Hülle ein Heizelement (6) angepasst ist, das zum Erhitzen der Oberflächen der wärmeausgleichenden Hüllen geeignet ist.
  8. Vorrichtung nach Anspruch 7, dadurch gekennzeichnet, dass das thermische Isolierungselement der Quelle (S1 – S8) mindestens eine Wärmestrahlung reflektierende Hülle enthält, die um das Heizelement der Quelle angepasst ist.
  9. Vorrichtung nach Anspruch 7 oder 8, dadurch gekennzeichnet, dass das Heizelement der Quelle (S1 – S8) ein röhrenförmiges Heizelement umfasst.
  10. Vorrichtung nach Anspruch 1, wobei die Quellen in zwei Gruppen eingeteilt werden, wobei eine die metallischen Reaktanden und die andere die nicht-metallischen Reaktanden umfasst, wobei die Quellen der gleichen Gruppe von Reaktanden so angeordnet sind, dass sie eine gemeinsame Zufuhrleitung verwenden.
  11. Vorrichtung nach Anspruch 10, dadurch gekennzeichnet, dass die Quellen der gleichen Reaktandengruppe übereinander oder parallel angeordnet sind, wobei sie an deren einen Enden mit einer gemeinsamen Zufuhrleitung verbunden sind, deren Ausgang mit dem des Reaktionsbereichs verbunden ist.
  12. Vorrichtung nach Anspruch 9, dadurch gekennzeichnet, dass die Zufuhrleitung der Reaktanden durch Heizeinrichtungen, die außerhalb der Leitung angeordnet sind, heizbar ist.
  13. Vorrichtung nach einem der Ansprüche 1 bis 12, dadurch gekennzeichnet, dass die Druckhülle (1) mit einer Tür ausgestattet ist, deren Wand, die dem Inneren der Druckhülle (1) zugewandt ist, mit Heizeinrichtungen ausgestattet ist.
  14. Vorrichtung nach Anspruch 13, dadurch gekennzeichnet, dass die Zufuhrleitung der Reaktanden in der Druckhülle (1) an der der Tür zugewandten Seite angepasst ist, wobei die Leitung mit Hilfe der Heizeinrichtungen der Tür heizbar ist.
  15. Vorrichtung nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass eine Abfuhrleitung für nicht-umgesetzte Reaktionskomponenten mit dem Reaktionsbereich verbunden ist, wobei die Leitung so angepasst ist, dass sie in dem kühlen Bereich der Druckhülle (1) läuft, um eine Kondensation nicht-umgesetzter Komponenten durchzuführen.
DE19581484T 1994-11-28 1995-11-28 Vorrichtung zur Bildung von Dünnschichten Expired - Fee Related DE19581484B4 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
FI945610A FI97730C (fi) 1994-11-28 1994-11-28 Laitteisto ohutkalvojen valmistamiseksi
FI945610 1994-11-28
PCT/FI1995/000657 WO1996017106A1 (en) 1994-11-28 1995-11-28 Apparatus for growing thin films

Publications (2)

Publication Number Publication Date
DE19581484T1 DE19581484T1 (de) 1997-01-02
DE19581484B4 true DE19581484B4 (de) 2006-10-05

Family

ID=8541887

Family Applications (1)

Application Number Title Priority Date Filing Date
DE19581484T Expired - Fee Related DE19581484B4 (de) 1994-11-28 1995-11-28 Vorrichtung zur Bildung von Dünnschichten

Country Status (6)

Country Link
US (1) US5855680A (de)
KR (1) KR100255429B1 (de)
AU (1) AU3985595A (de)
DE (1) DE19581484B4 (de)
FI (1) FI97730C (de)
WO (1) WO1996017106A1 (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102016101003A1 (de) * 2016-01-21 2017-07-27 Aixtron Se CVD-Vorrichtung mit einem als Baugruppe aus dem Reaktorgehäuse entnehmbaren Prozesskammergehäuse

Families Citing this family (508)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
FI972874A0 (fi) * 1997-07-04 1997-07-04 Mikrokemia Oy Foerfarande och anordning foer framstaellning av tunnfilmer
TW411486B (en) * 1998-01-17 2000-11-11 Hanbekku Corp Horizontal reaction furnace for manufacturing compound semiconductor
FI118342B (fi) 1999-05-10 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
US6565820B1 (en) 1999-05-20 2003-05-20 University Technology Corporation Low temperature oxidation using support molten salt catalysts
FI110311B (fi) * 1999-07-20 2002-12-31 Asm Microchemistry Oy Menetelmä ja laitteisto aineiden poistamiseksi kaasuista
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
FI118343B (fi) 1999-12-28 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
FI118474B (fi) * 1999-12-28 2007-11-30 Asm Int Laite ohutkalvojen valmistamiseksi
US7060132B2 (en) * 2000-04-14 2006-06-13 Asm International N.V. Method and apparatus of growing a thin film
FI117980B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä ohutkalvon kasvattamiseksi alustalle
FI117978B (fi) * 2000-04-14 2007-05-15 Asm Int Menetelmä ja laitteisto ohutkalvon kasvattamiseksi alustalle
FI118805B (fi) 2000-05-15 2008-03-31 Asm Int Menetelmä ja kokoonpano kaasufaasireaktantin syöttämiseksi reaktiokammioon
EP2293322A1 (de) * 2000-06-08 2011-03-09 Genitech, Inc. Verfahren zur Abscheidung einer Metallnitridschicht
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6551929B1 (en) * 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7405158B2 (en) * 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7964505B2 (en) * 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
FR2818442B1 (fr) * 2000-12-20 2003-10-17 Energy Systems Internat Bv Dispositif photovoltaique formant vitrage
US20020083897A1 (en) * 2000-12-29 2002-07-04 Applied Materials, Inc. Full glass substrate deposition in plasma enhanced chemical vapor deposition
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US6825447B2 (en) 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US6811814B2 (en) 2001-01-16 2004-11-02 Applied Materials, Inc. Method for growing thin films by catalytic enhancement
US20020127336A1 (en) * 2001-01-16 2002-09-12 Applied Materials, Inc. Method for growing thin films by catalytic enhancement
US6951804B2 (en) * 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6734020B2 (en) 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
US6939579B2 (en) * 2001-03-07 2005-09-06 Asm International N.V. ALD reactor and method with controlled wall temperature
US6849545B2 (en) 2001-06-20 2005-02-01 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques
US7211144B2 (en) * 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US20070009658A1 (en) * 2001-07-13 2007-01-11 Yoo Jong H Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
US20030198754A1 (en) * 2001-07-16 2003-10-23 Ming Xi Aluminum oxide chamber and process
US20090004850A1 (en) * 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US8110489B2 (en) * 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US9051641B2 (en) * 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
JP2005504885A (ja) * 2001-07-25 2005-02-17 アプライド マテリアルズ インコーポレイテッド 新規なスパッタ堆積方法を使用したバリア形成
US20080268635A1 (en) * 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US7085616B2 (en) 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
US7138336B2 (en) * 2001-08-06 2006-11-21 Asm Genitech Korea Ltd. Plasma enhanced atomic layer deposition (PEALD) equipment and method of forming a conducting thin film using the same thereof
US6718126B2 (en) * 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US20030059538A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US7204886B2 (en) * 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
KR100760291B1 (ko) * 2001-11-08 2007-09-19 에이에스엠지니텍코리아 주식회사 박막 형성 방법
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US6911092B2 (en) * 2002-01-17 2005-06-28 Sundew Technologies, Llc ALD apparatus and method
WO2003065424A2 (en) * 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6866746B2 (en) * 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US6827978B2 (en) * 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6833161B2 (en) * 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) * 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
AU2003220088A1 (en) * 2002-03-08 2003-09-22 Sundew Technologies, Llc Ald method and apparatus
US7439191B2 (en) * 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6869838B2 (en) * 2002-04-09 2005-03-22 Applied Materials, Inc. Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications
US20030194825A1 (en) * 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20030235961A1 (en) * 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
US6955211B2 (en) 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US7066194B2 (en) * 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
US6772072B2 (en) 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US6915592B2 (en) * 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US20040065255A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040069227A1 (en) * 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US6905737B2 (en) * 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US20040142558A1 (en) 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
US6994319B2 (en) * 2003-01-29 2006-02-07 Applied Materials, Inc. Membrane gas valve for pulsing a gas
US6868859B2 (en) * 2003-01-29 2005-03-22 Applied Materials, Inc. Rotary gas valve for pulsing a gas
US20040177813A1 (en) 2003-03-12 2004-09-16 Applied Materials, Inc. Substrate support lift mechanism
US20040198069A1 (en) 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US7601223B2 (en) 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
US7537662B2 (en) 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
US7211508B2 (en) * 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
US7662233B2 (en) * 2003-06-27 2010-02-16 Ofer Sneh ALD apparatus and method
US20100129548A1 (en) * 2003-06-27 2010-05-27 Sundew Technologies, Llc Ald apparatus and method
US20050067103A1 (en) * 2003-09-26 2005-03-31 Applied Materials, Inc. Interferometer endpoint monitoring device
US20050095859A1 (en) * 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US20050221004A1 (en) * 2004-01-20 2005-10-06 Kilpela Olli V Vapor reactant source system with choked-flow elements
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US20060153995A1 (en) * 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
US8323754B2 (en) * 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US20060062917A1 (en) * 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US8119210B2 (en) * 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
KR20070048177A (ko) * 2004-06-28 2007-05-08 캠브리지 나노테크 인크. 증착 시스템 및 방법
WO2006106764A1 (ja) * 2005-03-30 2006-10-12 Matsushita Electric Industrial Co., Ltd. 伝送線路
FI119478B (fi) * 2005-04-22 2008-11-28 Beneq Oy Reaktori
US7396415B2 (en) * 2005-06-02 2008-07-08 Asm America, Inc. Apparatus and methods for isolating chemical vapor reactions at a substrate surface
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US20070049043A1 (en) * 2005-08-23 2007-03-01 Applied Materials, Inc. Nitrogen profile engineering in HI-K nitridation for device performance enhancement and reliability improvement
US7402534B2 (en) * 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US7464917B2 (en) * 2005-10-07 2008-12-16 Appiled Materials, Inc. Ampoule splash guard apparatus
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US20070119370A1 (en) * 2005-11-04 2007-05-31 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
US20070252299A1 (en) * 2006-04-27 2007-11-01 Applied Materials, Inc. Synchronization of precursor pulsing and wafer rotation
US20070259111A1 (en) * 2006-05-05 2007-11-08 Singh Kaushal K Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film
US7798096B2 (en) * 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US8268409B2 (en) * 2006-10-25 2012-09-18 Asm America, Inc. Plasma-enhanced deposition of metal carbide films
US8158526B2 (en) 2006-10-30 2012-04-17 Applied Materials, Inc. Endpoint detection for photomask etching
US20080099436A1 (en) * 2006-10-30 2008-05-01 Michael Grimbergen Endpoint detection for photomask etching
US7775508B2 (en) * 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US7598170B2 (en) * 2007-01-26 2009-10-06 Asm America, Inc. Plasma-enhanced ALD of tantalum nitride films
US7595270B2 (en) * 2007-01-26 2009-09-29 Asm America, Inc. Passivated stoichiometric metal nitride films
US20080206987A1 (en) * 2007-01-29 2008-08-28 Gelatos Avgerinos V Process for tungsten nitride deposition by a temperature controlled lid assembly
US20080241387A1 (en) * 2007-03-29 2008-10-02 Asm International N.V. Atomic layer deposition reactor
US7713874B2 (en) * 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process
US20090035946A1 (en) * 2007-07-31 2009-02-05 Asm International N.V. In situ deposition of different metal-containing films using cyclopentadienyl metal precursors
KR20090018290A (ko) * 2007-08-17 2009-02-20 에이에스엠지니텍코리아 주식회사 증착 장치
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
JP5551681B2 (ja) 2008-04-16 2014-07-16 エーエスエム アメリカ インコーポレイテッド アルミニウム炭化水素化合物を使用する金属炭化物膜の原子層堆積
US8383525B2 (en) * 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
KR101436564B1 (ko) * 2008-05-07 2014-09-02 한국에이에스엠지니텍 주식회사 비정질 실리콘 박막 형성 방법
US7666474B2 (en) 2008-05-07 2010-02-23 Asm America, Inc. Plasma-enhanced pulsed deposition of metal carbide films
US8076237B2 (en) * 2008-05-09 2011-12-13 Asm America, Inc. Method and apparatus for 3D interconnect
US8146896B2 (en) * 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
CN102308174B (zh) * 2008-11-28 2015-08-05 福尔克尔·普洛波斯特 生产半导体层和由单质硒和/或单质硫处理的涂层衬底特别是平面衬底的方法
JP5088331B2 (ja) * 2009-01-26 2012-12-05 東京エレクトロン株式会社 熱処理装置用の構成部品及び熱処理装置
FI123769B (fi) * 2009-02-13 2013-10-31 Beneq Oy Kaasukasvatusreaktori
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20100266765A1 (en) * 2009-04-21 2010-10-21 White Carl L Method and apparatus for growing a thin film onto a substrate
US8071452B2 (en) * 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
US8003059B2 (en) 2009-05-18 2011-08-23 R3 Fusion, Inc. Continuous processing reactors and methods of using same
BRPI1013027A2 (pt) * 2009-05-18 2018-08-07 R3 Fusion Inc "reatores para processamento contínuo e métodos de utilizar os mesmos"
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US9117773B2 (en) * 2009-08-26 2015-08-25 Asm America, Inc. High concentration water pulses for atomic layer deposition
CN102906305B (zh) * 2010-04-15 2016-01-13 诺发系统公司 气体和液体的喷射的方法和装置
JP2013530821A (ja) * 2010-05-17 2013-08-01 アール3フュージョン・インコーポレイテッド 連続処理反応器およびその使用方法
US8778204B2 (en) 2010-10-29 2014-07-15 Applied Materials, Inc. Methods for reducing photoresist interference when monitoring a target layer in a plasma process
US9915475B2 (en) * 2011-04-12 2018-03-13 Jiaxiong Wang Assembled reactor for fabrications of thin film solar cell absorbers through roll-to-roll processes
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8961804B2 (en) 2011-10-25 2015-02-24 Applied Materials, Inc. Etch rate detection for photomask etching
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
DE102011055061A1 (de) * 2011-11-04 2013-05-08 Aixtron Se CVD-Reaktor bzw. Substrathalter für einen CVD-Reaktor
US8808559B2 (en) 2011-11-22 2014-08-19 Applied Materials, Inc. Etch rate detection for reflective multi-material layers etching
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US8900469B2 (en) 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US9805939B2 (en) 2012-10-12 2017-10-31 Applied Materials, Inc. Dual endpoint detection for advanced phase shift and binary photomasks
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8778574B2 (en) 2012-11-30 2014-07-15 Applied Materials, Inc. Method for etching EUV material layers utilized to form a photomask
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9412602B2 (en) 2013-03-13 2016-08-09 Asm Ip Holding B.V. Deposition of smooth metal nitride films
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US8841182B1 (en) 2013-03-14 2014-09-23 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
CN103741096B (zh) * 2013-12-27 2015-11-11 深圳市华星光电技术有限公司 Oled蒸镀机的蒸发源组件
US9394609B2 (en) 2014-02-13 2016-07-19 Asm Ip Holding B.V. Atomic layer deposition of aluminum fluoride thin films
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10002936B2 (en) 2014-10-23 2018-06-19 Asm Ip Holding B.V. Titanium aluminum and tantalum aluminum thin films
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
JP6529129B2 (ja) * 2015-11-30 2019-06-12 株式会社フィルテック 成膜装置
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
US11158500B2 (en) 2017-05-05 2021-10-26 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
KR20190065962A (ko) 2017-12-04 2019-06-12 에이에스엠 아이피 홀딩 비.브이. 유전체와 금속 표면 상에 SiOC의 균일한 증착
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
FI129577B (en) * 2019-06-28 2022-05-13 Beneq Oy Atomic layer growth equipment
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
USD1016761S1 (en) * 2020-12-10 2024-03-05 Nuflare Technology, Inc. Top plate for semiconductor manufacturaing equipment
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
FI130387B (fi) 2021-03-30 2023-08-07 Beneq Oy Atomikerroskasvatuslaite
FI130670B1 (fi) * 2021-03-30 2024-01-10 Beneq Oy Alipainekammio ja järjestely atomikerroskasvatusta varten
FI129580B (en) * 2021-03-30 2022-05-13 Beneq Oy Charging device, arrangement and method for charging the reaction chamber
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
CN113564563A (zh) * 2021-07-20 2021-10-29 江苏微导纳米科技股份有限公司 蒸发装置及真空镀膜设备
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4058430A (en) * 1974-11-29 1977-11-15 Tuomo Suntola Method for producing compound thin films
US4389973A (en) * 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US5183510A (en) * 1988-11-30 1993-02-02 Fujitsu Limited Apparatus and process for chemical vapor deposition

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR1562855A (de) * 1967-12-05 1969-04-11
US4062318A (en) * 1976-11-19 1977-12-13 Rca Corporation Apparatus for chemical vapor deposition
FI57975C (fi) * 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
US4263872A (en) * 1980-01-31 1981-04-28 Rca Corporation Radiation heated reactor for chemical vapor deposition on substrates
US4309240A (en) * 1980-05-16 1982-01-05 Advanced Crystal Sciences, Inc. Process for chemical vapor deposition of films on silicon wafers
US4339645A (en) * 1980-07-03 1982-07-13 Rca Corporation RF Heating coil construction for stack of susceptors
US4421786A (en) * 1981-01-23 1983-12-20 Western Electric Co. Chemical vapor deposition reactor for silicon epitaxial processes
JPS59111997A (ja) * 1982-12-14 1984-06-28 Kyushu Denshi Kinzoku Kk エピタキシヤル成長装置
US4825806A (en) * 1984-02-17 1989-05-02 Kanegafuchi Kagaku Kogyo Kabushiki Kaisha Film forming apparatus
JPS6126217A (ja) * 1984-07-16 1986-02-05 Matsushita Electric Ind Co Ltd 気相成長装置
JPS61289623A (ja) * 1985-06-18 1986-12-19 Matsushita Electric Ind Co Ltd 気相反応装置
JPS63112495A (ja) * 1986-10-29 1988-05-17 Nec Kyushu Ltd 気相成長装置
DE3707672A1 (de) * 1987-03-10 1988-09-22 Sitesa Sa Epitaxieanlage
DE3739528A1 (de) * 1987-11-21 1989-06-01 Bbc Brown Boveri & Cie Cvd-rohrofenreaktor
DE3743938C2 (de) * 1987-12-23 1995-08-31 Cs Halbleiter Solartech Verfahren zum Atomschicht-Epitaxie-Aufwachsen einer III/V-Verbindungshalbleiter-Dünnschicht
DE3936016A1 (de) * 1989-10-28 1991-05-02 Philips Patentverwaltung Verfahren zur herstellung optischer schichten auf planaren substraten
US5091335A (en) * 1990-03-30 1992-02-25 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration MBE growth technology for high quality strained III-V layers
JP3131005B2 (ja) * 1992-03-06 2001-01-31 パイオニア株式会社 化合物半導体気相成長装置

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4058430A (en) * 1974-11-29 1977-11-15 Tuomo Suntola Method for producing compound thin films
US4389973A (en) * 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US5183510A (en) * 1988-11-30 1993-02-02 Fujitsu Limited Apparatus and process for chemical vapor deposition

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Material Science Reports 4(7) (1989), S. 261 *

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102016101003A1 (de) * 2016-01-21 2017-07-27 Aixtron Se CVD-Vorrichtung mit einem als Baugruppe aus dem Reaktorgehäuse entnehmbaren Prozesskammergehäuse

Also Published As

Publication number Publication date
FI97730C (fi) 1997-02-10
JP3917654B2 (ja) 2007-05-23
WO1996017106A1 (en) 1996-06-06
DE19581484T1 (de) 1997-01-02
JPH09508889A (ja) 1997-09-09
FI945610A0 (fi) 1994-11-28
FI97730B (fi) 1996-10-31
AU3985595A (en) 1996-06-19
FI945610A (fi) 1996-05-29
KR970700786A (ko) 1997-02-12
KR100255429B1 (ko) 2000-05-01
US5855680A (en) 1999-01-05

Similar Documents

Publication Publication Date Title
DE19581484B4 (de) Vorrichtung zur Bildung von Dünnschichten
EP2144296B2 (de) Verfahren zum Herstellen einer Halbleiterschicht
DE3601711C2 (de)
DE3427057A1 (de) Anlage zum herstellen von halbleiter-schichtstrukturen durch epitaktisches wachstum
AT405279B (de) Verfahren und vorrichtung zum bilden einer beschichtung durch pyrolyse
WO2010060646A1 (de) Verfahren zum herstellen von halbleiterschichten bzw. von mit elementarem selen und/oder schwefel behandelten beschichteten substraten, insbesondere flächigen substraten
DE102009054677A1 (de) Linearablagerungsquelle
DE3721636A1 (de) Quarzglasreaktor fuer mocvd-anlagen
DE3638931A1 (de) Verfahren und vorrichtung zur herstellung von hochreinem polykristallinem silizium
DE102005050528A1 (de) Mikrowellenautoklav
DE3715644A1 (de) Molekularstrahlepitaxieanlage
DE10100670A1 (de) Zuführvorrichtung für eine CVD-Anlage
WO2021099247A1 (de) Wechselbaubehälter und vorrichtung für die additive fertigung eines werkstücks, prozessstation und system dafür
DE102008026001A1 (de) Verfahren und Vorrichtung zur Erzeugung und Bearbeitung von Schichten auf Substraten unter definierter Prozessatmosphäre
DE19730007C1 (de) Verfahren und Vorrichtung zur Gasphasendiffusionsbeschichtung von Werkstücken aus warmfestem Material mit einem Beschichtungsmaterial
EP2369033A1 (de) Verfahren zum Nachfüllen einer Verdampferkammer
DE69910698T2 (de) Vorrichtung zur Verhinderung von Kohlenstoffablagerungen auf festen Gegenständen
DE2829568A1 (de) Verfahren zur gleichmaessigen abscheidung von refraktaermetallschichten in rohren aus der gasphase, sowie vorrichtung zur durchfuehrung des verfahrens
EP1007761A1 (de) Gasleitungssystem für einen prozessreaktor sowie verfahren zur behandlung von halbleitersubstraten
DE102008030679B4 (de) Vorrichtung zur Diffusionsbehandlung von Werkstücken
EP3009187B1 (de) Lichtbogenreaktor und verfahren zur herstellung von nanopartikeln
DE1802729A1 (de) Verfahren zum Erhitzen von fliessenden Medien und hierfuer geeignete Vorrichtung
DE3008960A1 (de) Kuehlvorrichtung fuer eine floatglasanlage
DE19920745C2 (de) Verfahren und Vorrichtung zum Beschichten eines Substrates mit einem Material
DE102013104555B4 (de) System und Verfahren für eine Endlagerung von abgebranntem nuklearem Brennstoff mit Verwertung anfallender Wärme und Gammastrahlung

Legal Events

Date Code Title Description
8110 Request for examination paragraph 44
8127 New person/name/address of the applicant

Owner name: ASM INTERNATIONAL N.V., BILTHOVEN, NL

8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee