DE19719699A1 - Verfahren zur Bildung eines dynamischen Speichers mit hoher Dichte und wahlfreiem Zugang - Google Patents

Verfahren zur Bildung eines dynamischen Speichers mit hoher Dichte und wahlfreiem Zugang

Info

Publication number
DE19719699A1
DE19719699A1 DE19719699A DE19719699A DE19719699A1 DE 19719699 A1 DE19719699 A1 DE 19719699A1 DE 19719699 A DE19719699 A DE 19719699A DE 19719699 A DE19719699 A DE 19719699A DE 19719699 A1 DE19719699 A1 DE 19719699A1
Authority
DE
Germany
Prior art keywords
layer
source
over
etch stop
etch
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Ceased
Application number
DE19719699A
Other languages
English (en)
Inventor
Shih-Wei Sun
Tri-Rung Yew
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
United Microelectronics Corp
Original Assignee
United Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority to GB9707790A priority Critical patent/GB2324408A/en
Priority to SG1997001260A priority patent/SG67386A1/en
Priority to FR9705665A priority patent/FR2763174B1/fr
Application filed by United Microelectronics Corp filed Critical United Microelectronics Corp
Priority to DE19719699A priority patent/DE19719699A1/de
Priority to JP9121242A priority patent/JPH10321814A/ja
Priority to US08/864,299 priority patent/US6010931A/en
Priority to NL1006172A priority patent/NL1006172C2/nl
Publication of DE19719699A1 publication Critical patent/DE19719699A1/de
Ceased legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor

Description

Hintergrund der Erfindung Gebiet der Erfindung
Die vorliegende Erfindung betrifft die Bildung von integrierten Schaltungen mit hoher Dichte und insbesondere die Bildung von dynamischen Speichern mit hoher Dichte und wahlfreiem Zugang.
Beschreibung des Standes der Technik
Es gibt einen kontinuierlichen Trend zu der Erhöhung der Speicherdichte von integrierten Speicherschaltungen, um höhere Niveaus von Datenspeichern auf einem einzigen Chip zur Verfügung zu stellen. Speicher mit einer höheren Dichte stellen einen Speicher zur Verfügung, der im allgemeinen kompakter und oft billiger bei einer Berechnung auf Bitbasis ist als die gleiche Menge von Speichern, die auf mehreren Chips zur Verfügung gestellt werden. Es war im allgemeinen möglich, diese höheren Speicherniveaus mit gleichen oder besseren Leistungsniveaus im Vergleich zu den früheren, weniger dichteren Speicherchips zur Verfügung zu stellen. Geschichtlich wurde die Dichte von integrierten Schaltungseinrichtungen teilweise durch die Verringerung der Größe von Strukturen erhöht, wie z. B. Drahtleitungen und Transistoren, als auch durch die Verringerung der Trennung zwischen den Strukturen, die eine integrierte Schaltungseinrichtung ausmachen. Die Verringerung der Größe von Schaltungsstrukturen wird generell als Reduzierung der "Designregeln" bezeichnet, die für die Herstellung von integrierten Schaltungseinrichtungen verwendet werden.
In dynamischen Speichern mit wahlfreiem Zugang (DRAMs) werden Informationen normalerweise durch wahlweises Laden oder Entladen von jedem Kondensator von einer Gruppierung von Kondensatoren gespeichert, die auf der Oberfläche von einem Halbleitersubstrat gebildet sind. Meistens wird ein einzelnes Bit von einer binären Information in jedem Kondensator gespeichert, indem ein entladener Kondensatorzustand einer logischen NULL zugeordnet wird und ein entladener Kondensator einer logischen EINS zugeordnet wird. Der Oberflächenbereich der Elektroden der Speicherkondensatoren bestimmt die Ladungsmenge, die auf jedem der Kondensatoren für eine gegebene Betriebsspannung für die Elektrodentrennung, die zuverlässig hergestellt werden kann, und für die dielektrische Konstante von dem Kodensatordielektrikum, das normalerweise zwischen den Elektroden von den Ladungsspeicherkondensatoren verwendet wird, gespeichert werden kann. Lese- und Schreibvorgänge werden in dem Speicher ausgeführt, indem wahlweise der Ladungsspeicherkondensator mit einer Bitleitung gekoppelt wird, um entweder Ladung auf oder von dem Ladungsspeicherkondensator zu übertragen. Das wahlweise Koppeln von dem Ladungsspeicherkondensator mit der Bitleitung wird normalerweise unter Verwendung eines Übertragungs-Feldeffekttransistors (FET) ausgeführt. Der Bitleitungskontakt wird normalerweise mit einer der Source/Drain-Elektroden von dem Übertragungs-FET gemacht, und der Ladungsspeicherkondensator wird normalerweise in Kontakt mit der anderen der Source/Drain-Elektroden von dem Übertragungs-FET gebildet. Wortleitungssignale werden dem Gate des FETs zugeführt, um eine Elektrode von dem Ladungsspeicherkondensator durch den Übertragungs-FET mit dem Bitleitungskontakt zu verbinden, um die Übertragung von Ladung zwischen dem Ladungsspeicherkondensator und der Bitleitung zu vereinfachen.
Fig. 1 zeigt in schematischer Schnittansicht zwei Speicherzellen eines DRAM's in einem Zwischenzustand der Herstellung. Die dargestellten DRAM-Zellen sind auf einem P-Typ-Substrat 10 gebildet und umfassen Feldoxidbereiche 12, um eine Isolation von anderen angrenzenden Speicherzellen zur Verfügung zu stellen. Eine Gateoxidschicht 14 wird durch thermische Oxidation auf dem aktiven Bereich der Einrichtung zwischen den Feldoxidationsbereichen gebildet, und Polysilizium-Gate-Elektroden 16 sind auf der Gateoxidschicht 14 gebildet. Die zwei Gate-Elektroden 16, die in Fig. 1 dargestellt sind, werden als unabhängige Übertragungs-FETs für die zwei dargestellten Speicherzellen dienen. Polysilizium-Gate-Elektroden 16 werden durch die Ablagerung einer Schicht von nicht-dotiertem Polysilizium über das Substrat gebildet, wobei dies normalerweise unter der Verwendung von chemischer Niederdruck-Dampfablagerung (LPCVD) geschieht, und dann werden Verunreinigungen in das Polysilizium implantiert und die Verunreinigungen aktiviert, um die Polysiliziumschicht leitend zu machen. Die Gate-Elektroden werden dann unter Verwendung von herkömmlichen fotolithografischen Techniken strukturiert. Eine Schicht Siliziumoxid 18 wird über den Polysilizium-Gatelektroden 16 zur Verfügung gestellt, um die Gate-Elektroden in den folgenden Verarbeitungsschritten zu schützen, und oft, um als Ätzstop für nachfolgende Ätzschritte zu dienen. Seitenwandoxid-Zwischenlagen-Strukturen 20 werden angrenzend an die Gate-Elektroden gebildet, wenn ein zweistufiger Implantationsprozeß (im folgenden diskutiert) für die Bildung der Source/Drainbereiche verwendet wird. Gleichzeitig zu der Bildung der Gate-Elektroden 16 werden Drahtleitungen 22, die die unterschiedlichen Gate-Elektroden verbinden, auf den Feldoxidbereichen 12 gebildet. Da die Drahtleitungen normalerweise in dem Prozeß gebildet werden, der verwendet wird, um die Gate-Elektroden 16 zu bilden, haben die Drahtleitungen eine ähnliche Struktur wie die Gate-Elektroden. Wie dargestellt, umfassen die Drahtleitungen normalerweise Polysiliziumschichten 22, die von Oxidschichten 24 zugedeckt sind, mit Seitenwandoxid-Zwischen­ lagen-Strukturen 26, die auf beiden Seiten der Polysilizium-Draht­ leitungen 22 gebildet worden sind.
Dotierte Source/Drainbereiche 28, 30 und 32 werden auf beiden Seiten der Polysilizium-Gate-Elektroden 16 gebildet, um die Kanalbereiche von den Übertragungs-FETs zu definieren. Der Source/Drainbereich 30, der für die Übertragungs-FETs gleich ist, wird als die Bitleitung für die zwei dargestellten Übertragungs-FET's dienen. Leicht dotierte Drainstrukturen (LDD) werden oft in kleinen Designregeln-Speichertransistoren des Typs verwendet, der in erster Linie in modernen Speicher- und Logikeinrichtungen verwendet wird. LDD-Source/Drain­ bereiche 28, 30 und 32 werden normalerweise in einem Zweistufenprozeß gebildet, der mit einer Implantation auf einem relativ niedrigen Dotierungsniveau beginnt, die zu den Polysilizium-Gate-Elektroden 16 selbst-ausgerichtet gemacht wird. Ein Zwischenlagenoxidbereich 20 wird dann auf beiden Seiten der Gate-Elektroden 16 gebildet, indem zuerst mittels chemischer Dampfablagerung (CVD) eine Schicht von Siliziumoxid über der Einrichtung abgelagert wird und dann die Oxidschicht anisotroph zurückgeätzt wird, um das Substrat über den Source/Drainbereichen 28, 30 und 32 freizulegen. Das Zurückätzen der CVD-Oxidschicht erzeugt die Zwischenlagen-Oxid­ bereiche 20 auf beiden Seiten der Polysilizium-Gate-Elektroden 16 und auf beiden Seiten der Polysilizium-Drahtleitungen 20. Nach dem Zurverfügungstellen der Zwischenlagen-Oxidbereiche 20 auf beiden Seiten der Polysilizium-Gate-Elektroden 16 wird eine zweite Schwerere-Ionenimplantation in die Source/Drainbereiche 28, 30 und 32 ausgeführt, die mit den Zwischenlagen-Oxidregionen 20 selbst- ausgerichtet ist.
Nach der Bildung der Übertragungs-FETs der DRAM-Zellen geht die Verarbeitung mit der Bildung der Ladungsspeicher­ kondensatoren weiter, indem zuerst in der Struktur von Fig. 1 eine Schicht 34 aus einem isolierenden Material, wie z. B. CVD-Siliziumoxid, abgelagert wird. Die sich ergebende Struktur ist in Fig. 2 gezeigt. Durch herkömmliche Fotolithografie werden Öffnungen 36 durch die Siliziumoxidschicht 34 gebildet, um die Source/Drainbereiche 28, 32 des Substrats freizulegen. Im folgenden wird mit Verweis auf Fig. 3 eine Schicht aus undotiertem Polysilizium 38 mittels chemischer Niederdruck-Dampfablagerung (LPCVD) über der Oberfläche der Einrichtung und innerhalb der Öffnungen 36 in Kontakt mit den Source/Drainbereichen 28, 32 abgelagert. Die Polysiliziumschicht 38 bildet den letzten Teil der unteren Elektrode des Ladungsspeicher-Kondensator der DRAM-Speicherzellen. Die Schicht ist in situ oder mittels Ionenimplantation und Ausglühen dotiert, und dann werden die unteren Elektroden 38 mittels Fotolithografie definiert. Eine dielektrische Kapazitätsschicht, wie z. B. eine Zwei­ schicht-Struktur aus Siliziumnitrid und Siliziumoxid, wird über der Oberfläche der unteren Elektroden 38 zur Verfügung gestellt. Dann werden untere Kondensatorelektroden mittels Ablagerung, Dotierung und der Strukturierung einer Schicht aus Polysilizium gebildet, wie allgemein üblich bekannt.
Die Verarbeitung wird mit einer Deck-Ablagerung einer Schicht aus einem Zwischenlagen-dielektrischen Material, wie z. B. einem dotierten Glas, das mittels atmosphärischer CVD von einem TEOS-Quellgas aufgebracht wird, über der DRAM-Struktur fortgeführt. Eine Bitleitungskontakt-Öffnung wird durch die dielektrische Schicht mittels herkömmlicher Fotolithografie gebildet, um den gemeinsamen Source/Drain-Kontakt 30 beizulegen. Ein Bitleitungskontakt bestehend aus einer oder mehreren Schichten von Metall, das mittels Spatterung oder CVD über der Einrichtung und in Kontakt mit einem gemeinsamen Source/Drainbereich 30 aufgebracht wird, wird üblicherweise innerhalb der Bitleitungs-Kontaktöffnung gebildet. Die Bitleitung wird dann strukturiert und es werden weitere Verarbeitungsschritte ausgeführt, um die Einrichtung zu vollenden.
Eine Reduzierung der Designregeln, die verwendet werden, um die Einrichtung innerhalb eines hochdichten DRAMs zu bilden, erhöht die Anforderungen an viele der Strukturen, die in den Fig. 1 bis 3 dargestellt sind, und an die Verarbeitungstechniken, die verwendet werden, um die Strukturen zu bilden. Es ist deshalb wünschenswert, leicht handhabbarere und zuverlässigere Verfahren zur Bildung eines DRAMs zur Verfügung zu stellen.
Zusammenfassung der bevorzugten Ausführungsformen
Ein Aspekt der vorliegenden Erfindung ist, ein Verfahren zur Verfügung zu stellen, um ein DRAM auf einem Substrat zu bilden, das Isolationsstrukturen für Einrichtungen aufweist, die darauf gebildet worden sind, wo ein aktiver Bereich einer Einrichtung zwischen den Isolationsstrukturen einer Einrichtung definiert wird. Eine Isolierungsschicht wird auf dem Substrat über dem aktiven Bereich einer Einrichtung zur Verfügung gestellt und erste und zweite Übertragungstransistoren werden auf dem aktiven Bereich einer Einrichtung zur Verfügung gestellt, wobei der erste Übertragungstransistor eine erste Gate-Elektrode über der Isolierungsschicht aufweist, und erste und zweite Source/Drainbereiche in dem Substrat gebildet sind, wobei der zweite Übertragungstransistor eine zweite Gate-Elektrode über der Isolierungsschicht aufweist, wobei der zweite Source/Drainbereich und ein dritter Source/Drainbereich in dem Substrat gebildet sind. Die ersten und zweiten Übertragungstransistoren teilen sich den zweiten Source/Drainbereich. Eine Ätzstoppschicht ist über den ersten und zweiten Gate-Elektroden und über den ersten, zweiten und dritten Source/Drainbereichen zur Verfügung gestellt. Eine dielektrische Schicht, die ungleich der Ätzstoppschicht ist, wird über der Ätzstoppschicht gebildet. Das Verfahren fährt fort, indem durch die dielektrische Schicht über dem zweiten Source/Drainbereich geätzt wird, Stoppen des Ätzprozesses auf der Ätzstoppschicht, Ausführen eines weiteren Ätzprozesses, um durch die Ätzstoppschicht zu ätzen und dann mit der Bildung eines Bitleitungskontaktes zu dem zweiten Source/Drainbereich. Die dielektrische Schicht wird von über dem dritten Source/Drainbereichs weggeätzt. Dieser Ätzprozeß wird auf der Ätzstoppschicht gestoppt und ein weiterer Ätzprozeß ätzt durch die Ätzstoppschicht, und dann wird ein Ladungsspeicherkondensator mit einer Elektrode, die mit dem dritten Source/Drainbereich verbunden ist, gebildet.
Ein anderer Aspekt der vorliegenden Erfindung bildet ein DRAM auf einem Substrat, das Einrichtungs-Isolations-Strukturen aufweist, und einen aktiven Bereich einer Einrichtung zwischen den Isolationsstrukturen einer Einrichtung definiert hat. Eine Isolierungsschicht wird auf dem Substrat über dem aktiven Bereich einer Einrichtung zur Verfügung gestellt, und ein Übertragungstransistor wird auf dem aktiven Bereich einer Einrichtung gebildet, wobei der Übertragungstransistor eine erste Gate-Elektrode über der Isolierungsschicht aufweist, und erste und zweite Source/Drainbereiche, die in dem Substrat gebildet worden sind. Eine Ätzstoppschicht wird über der ersten Gate-Elektrode über den ersten und zweiten Source/Drainbereichen zur Verfügung gestellt, und eine dielektrische Schicht, die sich von der Ätzstoppschicht unterscheidet, wird über der Ätzstoppschicht gebildet. Die Oberfläche der dielektrischen Schicht wird geglättet und dann wird gemäß dem Verfahren durch die geglättete Oberfläche der dielektrischen Schicht oberhalb des ersten Source/Drainbereichs geätzt und der Ätzprozeß auf der Ätzstoppschicht gestoppt. Ein weiterer Ätzvorgang ätzt durch die Ätzstoppschicht und bildet dann einen Bitleitungskontakt zu dem ersten Source/Drainbereich. Die geglättete Oberfläche der dielektrischen Schicht wird oberhalb des zweiten Source/Drainbereichs geätzt und das Verfahren geht weiter, indem der Ätzprozeß auf der Ätzstoppschicht gestoppt wird und ein weiterer Ätzprozeß ausgeführt wird, um durch die Ätzstoppschicht zu ätzen und dann einen Ladungsspeicherkondensator mit einer Elektrode, die mit dem zweiten Source/Drainbereich verbunden ist, zu bilden.
In einer besonderen Ausführungsform der vorliegenden Erfindung wird die Oberfläche der dielektrischen Schicht mittels chemisch-mechanischer Aufbereitung geglättet.
Kurze Beschreibung der Figuren
Fig. 1 bis 3 stellen Schritte des herkömmlichen Verfahrens zur Formung eines DRAMs dar.
Fig. 4 bis 11 stellen Schritte zur Formung eines DRAMs gemäß einer bevorzugten Ausführungsform der vorliegenden Erfindung dar.
Detaillierte Beschreibung der bevorzugten Ausführungsformen
Die Verwendung von geschichteten Kondensatorstrukturen sowie andere Aspekte der Struktur und Herstellung eines DRAMs mit hoher Dichte, wie das, das teilweise fertig in Fig. 3 dargestellt ist, bringt bemerkenswerte Veränderungen in die Topografie auf der Oberfläche eines DRAMs, besonders in Zwischenschritten des Herstellungsprozesses. Die Existenz solcher Variationen auf der Oberfläche einer Einrichtung kann folgende Verarbeitungsschritte auf der Einrichtung schwerer und ungenauer gestalten. Zum Beispiel kann die ungerade Oberfläche der Einrichtung von Fig. 2 Verzeichnungen in den fogolithografischen Schritten, die über der Oberfläche der Einrichtung von Fig. 2 ausgeführt werden, bringen. Hochauflösende Stepper von dem Typus, der für Fotolithografie in der Herstellung von hochdichten Speichern verwendet wird, haben beschränkte Feldtiefen. Dadurch, wenn eine Fotoresistschicht belichtet wird, um eine Maske über einer unebenen Oberfläche, wie der, die in Fig. 2 dargestellt ist, zu bilden, können die Oberflächenvariationen bewirken, daß Abschnitte von dem von dem Stepper projizierten Bild innerhalb der Fotoresistschicht außer Fokus sind. Das von dem Stepper projizierte Licht zur Belichtung des Fotoresists kann dadurch in verschiedenen Tiefen innerhalb der Fotoresistschicht unscharf sein. Die verzerrte Belichtung der Fotoresistschicht kann eine verzerrte Maske bilden und die Verwendung von solch einer verzerrten Maske für einen Ätz- und anderen Prozeß kann Strukturen erzeugen, die eine ungewünschte, verzerrte Form aufweisen. Dadurch ist es wünschenswert, eine nicht in signifikanter Weise ungleiche (d. h. im Vergleich zu der Feldtiefe von dem Stepper) Oberfläche auf der Einrichtung während zwischenschritten des Herstellungsprozesses zu haben.
Ein anderer nachteiliger Aspekt von Oberflächentopografien bei zwischenschritten bei der Verarbeitung der Einrichtung ist, daß einige auf der Oberfläche der Einrichtung aufgebrachte Schichten beträchtliche Unterschiede in der Dicke aufweisen können. Solche Dickenunterschiede können einen nachteiligen Einfluß auf Ätzschritte haben. Mit einem weiteren Verweis zu Fig. 2 wird nach der Bildung von Kontaktlöchern durch die Isolierungsschicht 34 eine Polysiliziumschicht 38 über der Oberfläche der Einrichtung aufgebracht. Dieses zweite Polysiliziumniveau wird strukturiert, um zumindest einen Bereich der unteren Elektrode von einem Kondensator zu bilden. Polysilizium wird normalerweise unter der Verwendung von chemischer Niederdruck-Dampfablagerung (LPCVD) in einer im wesentlichen konformen Art und Weise aufgebracht. Die Seitenverhältnisse von gewissen Zwischenräumen zwischen Strukturen auf der Oberfläche der Einrichtung von Fig. 2 sind ausreichend, so daß die Zwischenräume vollkommen ausgefüllt sind und die Oberfläche der Polysiliziumschicht über den Zwischenräumen relativ glatt ist. Dementsprechend ist die Polysiliziumschicht 38 über den Zwischenräumen besonders dick, wie z. B. die eine zwischen den zwei Drahtleitungen 22.
Nach der Fotolithografie, um eine Maske über der Polysiliziumschicht zu bilden, wird das Ausmaß der unteren Kondensatorelektrode 38 (Fig. 3) durch Ätzen unter Verwendung eines anisotrophen Ätzprozesses definiert, wie z. B. Plasmaätzen unter Verwendung einer von HCl und HBr stammenden Ätze. Wenn es beträchtliche Unterschiede in der Dicke von der zu ätzenden Polysiliziumschicht gibt, ist es normalerweise notwendig, ausreichend lang zu ätzen, um den dicksten freigelegten Bereich der Polysiliziumschicht zu entfernen. Diese Ätzdauer ist unnötig lang für die meisten Polysiliziumschichten. Dementsprechend ist solch ein überätzender Prozeß nicht wünschenswert, da dieser den Durchsatz des Verarbeitungsprozesses reduziert. Das Überätzen, das verwendet wird, um den dicksten Bereich der Polysiliziumschicht abzutragen, ist des weiteren nicht wünschenswert, da dies zu einer Schädigung der Strukturen unter den dünneren Bereichen der Polysiliziumschicht führen kann. Andererseits können, wenn die Ätzzeit nicht ausreichend ist, Stringer aus nichtgeätztem Polysilizium auf der Oberfläche der Einrichtung zurückbleiben. Ein solcher Stringer ist mit der Bezugsziffer 40 in Fig. 3 bezeichnet. Stringer, die auf der Oberfläche der Einrichtung in Zwischenstufen des Herstellungsprozesses zurückgelassen werden, können als elektrische Kurzschlüsse in der fertigen Einrichtung wirken, und sind somit nicht wünschenswert.
Gewisse Ausführungsformen der vorliegenden Erfindung stellen besser geglättete Oberflächen zur Verfügung, auf denen nachfolgende Verarbeitungsschritte ausgeführt werden können. Zum Beispiel kann eine dicke Oxidschicht über den Übertragungs-FETs aufgebracht werden und dann kann chemisch­ mechanisches Glätten (CMP) verwendet werden, um eine glatte Oberfläche für weitere Verarbeitungsschritte zur Verfügung zu stellen. In diesen Ausführungsformen werden Öffnungen durch die geglättete Oxidschicht zu einem Source/Drainbereich von dem Übertragungs-FET gebildet, und die untere Kondensatorelektrode wird innerhalb der Öffnung und teilweise auf der Oberfläche der geglätteten Oxidschicht gebildet. Diese Ausführungsformen der Erfindung stellen eine verbesserte Planarität (Glattheit) zur Verfügung, die besser für hochauflösende Fotolithografie und für besser kontrollierte Ätzprozesse geeignet ist, als die ungerade Oberfläche der in den Fig. 1 bis 3 dargestellten Strukturen.
Bei der Bildung von DRAMs mit hoher Dichte ist es im allgemeinen notwendig, Kontaktlöcher zu den Source/Drainbereichen von den Übertragungs-FETs für die Bildung von Ladungsspeicherkondensatoren und für Bitleitungskontakte zur Verfügung zu stellen. Zum Beispiel stellen bevorzugte Ausführungsformen eines DRAMs gemäß der vorliegenden Erfindung eine dicke Oxidschicht über den Übertragungs-FETs zur Verfügung, glätten die dicke Oxidschicht und bilden dann untere Kondensatorelektroden und Bitleitungskontakte auf und innerhalb dicken Abschnitten der geglätteten Oxidschicht. Ein anderer Aspekt der vorliegenden Erfindung stellt ein DRAM zur Verfügung, das eine Ätzstoppschicht über dem Übertragungs-FET und über den Source/Drainbereichen der FETs und unterhalb der dicken Oxidschicht, die den Übertragungs-FET bedeckt, umfaßt. Diese Ätzstoppschicht kann verwendet werden, um selbst­ ausgerichtete Kontakte für die untere Kondensatorelektrode und den Bitleitungskontakt zu bilden. Es ist verständlich, daß diese Aspekte der vorliegenden Erfindung besonders geeignet für die Verwendung mit den Ausführungsformen der vorliegenden Erfindung sind, die eine geglättete Oxidschicht über den Übertragungs-FETs zur Verfügung stellen, bevor die untere Kondensatorelektrode und ein Bitleitungskontakt gebildet werden.
Es werden nun besonders bevorzugte Ausführungsformen der vorliegenden Erfindung mit Verweis auf die Fig. 4 bis 11 beschrieben. Es wird darauf hingewiesen, daß, obwohl die folgende Beschreibung eine "Bitleitung über einem Kondensator" DRAM-Struktur beschreibt, Aspekte der vorliegenden Erfindung auch Anwendung in "Kondensator über einer Bitleitung" Struktur finden. In Fig. 4 ist ein kleiner Abschnitt eines DRAMs gemäß einer bevorzugten Ausführungsform der Erfindung in einem Zwischenzustand in dem Herstellungsprozeß dargestellt. Die teilweise fertiggestellte Einrichtung ist auf einem Siliziumsubstrat 50 gebildet, das Isolationsstrukturen 52 für Einrichtung aufweist. In der dargestellten Ausführungsform sind die Isolationsstrukturen 52 für Einrichtungen Feldoxidbereiche, die unter Verwendung eines Standard-Lokaloxidationsprozesses von Silizium (LOCOS) gebildet worden sind. In alternativen Ausführungsformen können die Isolationsstrukturen für Einrichtungen z. B. flache Gräben, die mit einem CVD-Oxid gefüllt sind, sein. Obwohl eine Flach-Gräbenisolation einige Oberflächenunebenheiten, die mit einem herkömmlichen Herstellungsprozeß verbunden sind, verringern würde, wird angenommen, daß die vorliegende Erfindung trotzdem Anwendungen in solch Niederprofileinrichtungen finden wird. Obwohl eine Flach- Gräben-Isolationsstruktur für Einrichtungen ein niedrigeres Profil aufweist, werden Drahtleitungen und Gate-Elektroden immer noch erhebliche Niveaus von Oberflächenungenauigkeiten einführen, die durch Anwendung der vorliegenden Erfindung verringert werden können. Die Isolationsstrukturen 52 für Einrichtungen dienen zum Definieren des aktiven Bereichs, auf dem die Übertragungs-FETs des DRAMs zu bilden sind.
Eine Gateoxidschicht 54 wird auf der Oberfläche des Substrats bis normalerweise zu einer Dicke von ungefähr 30 bis 200 Å mittels eines thermischen Oxidationsprozesses in einer oxygenen Umgebung bei einer Temperatur von 800 bis 1000°C gezüchtet (grown). Eine Schicht aus Polysilizium wird mittels LPCVD über der Oberfläche der Einrichtung bis zu einer Dicke von 100 bis 300 Å und in bevorzugter Art und Weise bis zu einer Dicke von 1500 Å aufgebracht. Die Polysiliziumschicht wird entweder in situ während der Aufbringung dotiert oder mittels Ionenimplantation, typischerweise unter Verwendung von Arsen oder Phosphor (III) in der herkömmlichen Art und Weise. Dann wird eine Schicht aus Metall oder Metall-Silicid über der Oberfläche der Polysiliziumschicht zur Verfügung gestellt. Die Metallschicht ist in bevorzugter Weise Wolframsilicid (WSix) oder Titaniumsilicid (TiSix) mit einer Dicke von ungefähr 1500 Å, die direkt mittels chemischer Dampfablagerung oder Beschichtung oder anderen physikalischen Dampfablagerungstechniken aufgebracht werden kann. Nachdem die Silicidschicht auf der Polysiliziumschicht zur Verfügung gestellt worden ist, wird eine Schicht aus Siliziumoxid auf der Silicidschicht typischerweise mittels CVD bis zu einer Dicke in der Größenordnung von 500 bis 3000 Å aufgebracht. Diese Oxidschicht schützt die Gate-Elektrode während folgenden Verarbeitungsschritten und kann als ein Ätzstopp in einigen Ausführungsformen der Erfindung verwendet werden.
Die Dreischichtstruktur aus Siliziumoxid über Wolfram oder anderen Siliciden über Polysilizium wird dann unter Verwendung konventioneller Fotolithografie strukturiert, um eine Anordnung von Gate-Elektroden 56 zu bilden, die eine untere Schicht aus Polysilizium 58, die von einer Schicht aus Wolframsilicid 60 bedeckt ist, oder anderen spröden Metallsiliciden, die wiederum von einer Oxidschicht 62 bedeckt sind, umfaßt. Ebenso umfassen die Drahtleitungen 66 eine untere Schicht aus Polysilizium 68, die von einer Schicht aus Wolframsilicid 70 oder anderen spröden Metallsiliciden bedeckt ist, die von der Oxidschicht 72 bedeckt ist. Leicht dotierte Bereiche der Source/Drainbereiche werden mittels Ionenimplantation, die zu den Gate-Elektroden 56 selbst-ausgerichtet ist, gebildet, und Isolationsbereiche 52 für Einrichtungen werden in konventioneller Art und Weise gebildet. Schwerer dotierte Abschnitte der LDD-Source/Drainbereiche werden durch ein erstes Aufbringen einer Schicht aus Isolationsmaterial über die Gate-Elektroden, Zurückätzen, um Abstandhalter auf beiden Seiten der Gate-Elektroden zu bilden, und dem folgenden Implantieren einer zweiten Dosierung von Dotierungsionen auf die Source/Drainbereiche, die selbst-ausgerichtet mit den Abstandshaltern sind, gebildet. Zum Beispiel kann eine Schicht aus Siliziumoxid mittels chemischer Dampfablagerung (CVD) zu einer Dicke von z. B. 100 bis 200 Å aufgebracht werden. Die Siliziumoxidschicht wird dann zurückgeätzt, um Platzhalter 64 entlang den Gate-Elektroden 56 zu bilden, bevorzugterweise durch einen reaktiven Ionenätzprozeß (RIE) unter Verwendung einer Ätze, die Ionen umfaßt, die in einem Plasmaprozeß von CF4 abgeleitet wurden. Normalerweise wird der Seitenwand-Abstandsstück-Ätzprozeß das Gateoxid von der Oberfläche der Source/Drainbereiche entfernen. Wenn Rückstände von der Gateoxidschicht über den Source/Drainbereichen nach dem Atzen von den Abstandstücken übrigbleiben, ist es zu bevorzugen, daß die Oberfläche der Source/Drainbereichen von solchen Rückständen gereinigt wird, bevor Kondensatorelektroden oder Bitleitungskontakte auf den Source/Drainbereichen gebildet werden. Seitenwand-Ab­ standsstücke 74 werden normalerweise entlang Drahtleitungen 66 gleichzeitig mit den Seitenwand-Abstandsstücken 64 gebildet, die entlang den Gate-Elektroden gebildet werden. Implantation wird ausgeführt, um die Source/Drainbereiche 80, 82 und 84 der dargestellten FETs fertigzustellen. Unter gewissen Umständen ist es zu bevorzugen, keine LDD-Struktur für die Source/Drainbereiche zu verwenden und statt dessen eine einzige Dotierung zu verwenden, um gleichmäßiger dotierte Source/Drainbereiche zu erzeugen. Für derartige Ausführungsformen ist es nach wie vor zu bevorzugen, daß Seitenwand-Abstandshalter zur Verfügung gestellt werden, um die Seitenwände von den Elektroden vor dem Freilegen zu schützen. Des weiteren ist anzumerken, daß sowohl kompliziertere als auch weniger kompliziertere Gate-Elektroden­ strukturen und Drahtleitungsstrukturen verwendet werden können. Der Rest der Figuren stellt eine vereinfachte Struktur dar, die eine untere Schicht aus Polysilizium umfaßt, die von einer Schicht aus einem isolierenden Siliziumoxid bedeckt ist.
In Fig. 5 wird eine Schicht 90 aus Ätzstoppmaterial auf die Oberfläche der Einrichtung aufgebracht, wobei normalerweise die Schicht aus Ätzstoppmaterial direkt auf die Oberfläche der Source/Drainbereiche aufgebracht wird oder getrennt von den Source/Drainbereichen durch eine dünne Oxidschicht. Das Ätzstoppmaterial wirkt dergestalt, daß es die Source/Drainbereiche davor schützt, während des Ätzens von Öffnungen durch dicke dielektrische Schichten, die die Source/Drainbereiche bedecken, angeätzt zu werden, und infolgedessen einen erhöhten Widerstand aufweisen. In bevorzugter Art und Weise ist die Ätzstoppschicht 90 eine Schicht aus Siliziumnitrid, die mittels CVD zu einer Dicke von 100 bis 500 Å aufgebracht wird. Die Ätzstoppschicht ist in bevorzugter Art und Weise dünn, um sicherzustellen, daß ein Ätzen der Ätzstoppschicht schnell und mit einer hohen Sicherheit ausgeführt werden kann, um den Ätzprozeß zu stoppen. Die Ätzstoppschicht ist in bevorzugter Art und Weise ausreichend dick, so daß ein Ätzprozeß durch eine dicke (2000 bis 10.000 Å) Schicht aus dielektrischem Material, wie z. B. Siliziumoxid, zuverlässig auf der Ätzstoppschicht 90 gestoppt werden kann. Die Ätzstoppschicht ist in bevorzugter Art und Weise unterschiedlich von der dielektrischen Schicht, die über der Ätzstoppschicht gebildet ist. In diesem Sinne ist das Ausreichen des Unterschiedes zwischen den Materialien, die für die Ätzstoppschicht und die darüberliegende dielektrische Schicht verwendet werden, dadurch bestimmt, ob ein passendes Ätzmittel existiert, das leicht zwischen der dielektrischen Schicht und der Ätzstoppschicht unterscheiden kann. Es ist weiter zu bevorzugen, aber nicht so wichtig, daß die Ätzstoppschicht in der gleichen Art und Weise unterschiedlich zu den darunterliegenden dotierten Siliziumbereichen ist. Siliziumnitrid ist eine passende Wahl für die Ätzstoppschicht, da moderne, kommerziell verfügbare Ätzsysteme ein gutes Auflösungsvermögen zwischen Siliziumnitrid und den Siliziumoxiden, die normalerweise als Zwischenlagen-dielektrische Materialien verwendet werden, aufweisen. Zusätzlich weisen die meisten verfügbaren Ätzchemikalien für Siliziumnitride eine hohe Trennschärfe in bezug auf Silizium auf.
In Fig. 6 wird eine dicke Schicht 92 aus dielektrischem Material über der Oberfläche der Übertragungs-FETs und der Drahtleitungen aufgebracht. Das Zwischenlagen-Dielektrikum 92 kann z. B. Siliziumoxid sein, das durch einen CVD-Prozeß, der ein TEOS-Quellgas verwendet, aufgebracht werden kann, oder die dielektrische Schicht kann aus einem anderen bekannten dielektrischen Material ausgestaltet sein. Das Innenlagen-Dielektrikum 92 wird bis zu einer Dicke von 10.000 Å oder mehr auf die Einrichtung aufgebracht. Als nächstes wird die Einrichtung geglättet, in bevorzugter Art und Weise unter der Verwendung eines CMP-Prozesses, um eine geglättete dielektrische Schicht 94 zu bilden (Fig. 7). In bevorzugter Art und Weise wird eine geglättete dielektrische Schicht 94 mit einer signifikanten Dicke über den Source/Drainbereichen 80, 84 gelassen, auf welcher Kondensatorelektroden zu bilden sind, da eine größere Dicke zu der Kapazität zu der Ladungsspeicher-Kondensatoren beiträgt. Eine Maske wird über dem geglätteten Zwischenlagen-Dielektrikum 94 gebildet und dann werden Öffnungen durch das Innenlagen-Dielektrikum 94 über den Source/Drainbereichen 80, 84 geätzt. Da die Ätzstoppschicht 90 die Übertragungselektroden, die Isolationsstrukturen 52 der Einrichtungen und die Drahtleitungen bedeckt, öffnet dieser Ätzschritt Kontakte auf dem Substrat für die unteren Elektroden der Ladungsspeicher-Kondensatoren in einer selbst-ausgerichteten Art und Weise. Die Öffnungen werden bevorzugterweise unter Verwendung eines anisotrophen Prozesses in einem hochdichten Plasmaätzer mit einem Plasma geätzt, das von einer Mischung von Quellgasen abgeleitet wurde, die C4F8, CHF3 oder CH3F und CO oder CO2 und O2 und Ar umfaßt. Dieser Ätzschritt stoppt in bevorzugter Art und Weise auf der Ätzstoppschicht 90 und läßt Teile der dielektrischen Schicht 96 über anderen Abschnitten der Einrichtung. Die Ätzmaske wird entweder zu diesem Zeitpunkt entfernt oder bevor die Ätzstoppschicht entfernt wird. Die Ätzstoppschicht wird dann in den Öffnungen in der verbleibenden dielektrischen Schicht 96 geätzt, unter Verwendung z. B. eines anisotrophen Ätzprozesses mit einem Plasma, das von CHF3 abgeleitet wird. Wahlweise kann ein Naßätzen verwendet werden, daß heiße Phosphorsäure verwendet, um die Ätzstoppschicht von innerhalb den Öffnungen in der dielektrischen Schicht 96 zu entfernen. Nach den Ätzschritten werden die Source/Drainbereiche 80, 84 bevorzugterweise freigelegt und gereinigt, wie in Fig. 8 gezeigt.
Als nächstes werden untere Elektroden der Ladungsspeicher-Kondensatoren gebildet. In der in Fig. 9 dargestellten Ausführungsform umfassen die unteren Elektroden 98 eine untere Schicht aus herkömmlichem Polysilizium, die mit einer Schicht aus "zerklüfteten" oder halbsphärisch granularem Polysilizium (HSG-Si) bedeckt ist. Um diese Struktur zu bilden, wird eine Schicht aus herkömmlichem Polysilizium mittels chemischer Niederdruck-Dampfablagerung (LPCVD) bei 620°C von Siliziumwasserstoff (SiH4) auf die Source/Drainelektroden und über die Schicht aus Siliziumoxid 96 über einem Siliziumsubstrat aufgebracht. Die Schicht aus herkömmlichem Polysilizium wird in bevorzugter Art und Weise in situ während der Ablagerung mittels Ionenimplantation und Ausglühen oder mittels eines thermischen Diffusionsprozesses dotiert, wobei alle diese Verfahren bekannt sind. Zum Beispiel kann die herkömmliche Polysiliziumschicht stark N-Typ mittels Implantation von Phosphor (III) Ionen, gefolgt von einem schnellen thermischen Ausglühen bei einer Temperatur von 1000 bis 1100°C für ungefähr 10 bis 30 Sekunden dotiert sein. Eine Platte aus herkömmlichem Polysilizium, die den Kern der unteren Elektroden bilden wird, wird von der Schicht durch Fotolithografie und Ätzen, in bevorzugter Art und Weise, bevor die Schicht aus HSG-Si auf der Platte zur Verfügung gestellt wird, definiert. Normalerweise hat die Schicht aus herkömmlichem Polysilizium eine Dicke in der Größenordnung von 1000 bis 1500 Å.
Es ist zu bevorzugen, daß der HSG-SI-Zuchtprozeß (growth process) auf einer sauberen Siliziumoberfläche eingeleitet wird, indem die Oberfläche von natürlichem Oxid auf der Oberfläche der Polysiliziumschicht gereinigt wird, bevor das HSG-Si aufgebracht wird. Ein ausgeprägter Reinigungsschritt kann unnötig sein, wenn das Wachsen der HSG-Si-Schicht unmittelbar nach der Bildung der darunterliegenden Siliziumschicht eingeleitet wird, wenn die Oberfläche von der darunterliegenden Siliziumschicht in einem ausreichenden Vakuum gehalten wird, um Oxidwuchs zu verhindern. Praktisch ist anzunehmen, daß es einen Zeitraum zwischen dem Wachsen von der darunterliegenden Siliziumschicht und dem Einleiten des HSG-Si-Wachsens geben wird. Im Wechsel wird, wenn die Schicht aus Polysilizium mittels Implantation oder Glühen oder mittels thermaler Diffusion dotiert wird, eine Oxidschicht auf der Oberfläche der Schicht aus Polysilizium gewachsen sein. Demgemäß ist die Oberfläche von der darunterliegenden Siliziumschicht in bevorzugter Art und Weise gereinigt, bevor das HSG-Si-Wachstum eingeleitet wird. Natürliche Oxide können von der Oberfläche von Polysilizium mittels verschiedenster Techniken, wie HF-Eintauchen (HF dip), Drehätzen (spin-etching) unter der Verwendung von HF, Dampf-HF-Reinigung oder mittels einer H2-Plasmareinigung entfernt werden. In bevorzugter Art und Weise wird die Oberfläche von der darunterliegenden Siliziumschicht als Ergebnis der Reinigung hydriert, weil die hydrierte Oberfläche dazu dient, die Polysiliziumoberfläche vor dem Wiederoxidieren zu schützen. Jede der oben angedeuteten Reinigungstechniken erzielt die gewünschte Hydrierung der Polysiliziumoberfläche.
Nach der Reinigung wird eine Schicht aus HSG-Si auf der Oberfläche der herkömmlichen Polysiliziumschicht gebildet.
Die Schicht kann mittels jedem der wohlbekannten Verfahren gebildet werden und kann aus der Ablagerung von HSG-Si mittels LPCVD von einem Silizium-Wasserstoff-Quellgas aus einem Substrat, das auf einer Temperatur von zwischen 555°C bis 595°C gehalten wird, bestehen. Die sich ergebende Struktur ist in Fig. 9 als untere Elektrode 98 gezeigt und umfaßt eine unregelmäßige Oberfläche aus HSG-Si. Wegen des zufälligen Wesens der Keimbildung des HSG-Si-Wachstums ist es wahrscheinlich, daß die darunterliegende dotierte Polysiliziumschicht an besonders dünnen Bereichen in der Schicht aus HSG-Si freigelegt wird. Es ist beobachtet worden, daß das Wachstum einer Schicht aus HSG-Si auf der Oberfläche einer Schicht aus Polysilizium die Kapazität um einen Faktor von 1,8 über die Kapazität erhöht, die von der glatten Oberfläche von der Kernpolysiliziumplatte zur Verfügung gestellt wird. Ein Rückätzvorgang (unter Verwendung von z. B. einer Plasmaätze, die von HCl und HBr abgeleitet wird) wird normalerweise ausgeführt, um das HSG-Si von den dielektrischen Schichten 96 zu entfernen und um zumindest Teile von dem HSG-Si von der Oberfläche von der Polysiliziumkernschicht zu entfernen. Verbleibendes HSG-Si kann dann mittels Implantation oder Ausdiffusion von dem Kernpolysilizium dotiert werden.
Eine Schicht 100 aus einem Kapazitätsdielektrikum wird dann auf der Oberfläche von den unteren Gate-Elektroden (Fig. 10) zur Verfügung gestellt. Die Schicht aus Kapazitätsdielektrikum kann eine dünne zweilagige Struktur sein, die aus einer unteren Schicht aus Siliziumnitrid, das von einer Oberflächenschicht aus Siliziumoxid bedeckt wird, besteht. Wahlweise kann ein kapazitives dielektrisches Material mit einer höheren dielektrischen Konstante gewählt werden, wie z. B. Tantalpentoxid oder Bariumstrontiumtitanat.
Obere Kondensatorelektroden 102 werden dann mittels Ablagerung einer Schicht aus herkömmlichem Polysilizium mittels LPCVD, Dotierung der Polysiliziumschicht und Strukturierung der Schichten, um seitlich das Ausmaß der oberen Kondensatorelektroden zu definieren, zur Verfügung gestellt. Als nächstes wird eine andere Schicht 110 aus dielektrischem Material über der Einrichtung zur Verfügung gestellt, in bevorzugter Weise eine Siliziumoxidschicht, die mittels plasmaverbessertem CVD gebildet worden ist, und dann wird die dicke Schicht 110 aus dielektrischem Material mittels CMP geglättet. Eine Bitleitungskontaktmaske wird dann über die Oberfläche von der zweiten dielektrischen Innenlage 110 zur Verfügung gestellt und ein Bitleitungskontakt wird durch die dielektrische Schicht 110 geätzt, wobei der Ätzprozeß auf der Ätzstoppschicht 90 in der Art und Weise gestoppt wird, wie vorhergehend in bezug auf die Source/Drainbereiche 80, 84 beschrieben worden ist. Es ist darauf hinzuweisen, daß dieser Ätzprozeß ebenso in einer selbst-ausgerichteten Art und Weise ausgeführt wird, um erweiterte Grenzen für eine Ausrichtung zur Verfügung zu stellen. Die Ätzstoppschicht wird dann entfernt und dann wird der Bitleitungskontakt 112 in der bekannten Art und Weise gebildet.
Die vorliegende Erfindung wurde anhand von gewissen bevorzugten Ausführungsformen beschrieben. Es ist für einen Fachmann offensichtlich, daß gewisse Modifikationen und Veränderungen der hier beschriebenen Ausführungsformen innerhalb des Geistes der vorliegenden Erfindung gemacht werden können. Dadurch ist der Umfang der vorliegenden Erfindung nicht auf die besonderen Ausführungsformen, die hierin beschrieben worden sind, limitiert. Der Umfang der vorliegenden Erfindung wird von den folgenden Ansprüchen bestimmt.

Claims (14)

1. Ein Verfahren zur Bildung eines DRAMs, umfassend die Schritte:
Zurverfügungstellen eines Substrats mit darauf gebildeten Einrichtungs-Isolations-Strukturen, wobei ein aktiver Bereich der Einrichtung zwischen den Einrichtungs-Isolations-Strukturen definiert ist;
Zurverfügungstellen einer Isolationsschicht auf dem Substrat über dem aktiven Bereich der Einrichtung;
Zuverfügungstellen eines ersten und zweiten Übertragungstransistors auf dem aktiven Bereich der Einrichtung, wobei der erste Übertragungstransistor eine erste Gate-Elektrode über der Isolierungsschicht aufweist, und erste und zweite Source/Drainbereiche in dem Substrat gebildet sind, wobei der zweite Übertragungstransistor eine zweite Gate-Elektrode über der Isolierungsschicht aufweist, der zweite Source/Drainbereich und ein dritter Source/Drainbereich im Substrat gebildet worden sind, und wobei sich die ersten und zweiten Übertragungstransistoren den zweiten Source/Drainbereich teilen;
Bildung einer Ätzstoppschicht über den ersten und zweiten Gate-Elektroden und über den ersten, zweiten und dritten Source/Drainbereichen;
Bildung einer dielektrischen Schicht über der Ätzstoppschicht, wobei die dielektrische Schicht unterschiedlich von der Ätzstoppschicht ausgebildet ist;
Ätzen durch die dielektrische Schicht über dem zweiten Source/Drainbereich, Stoppen des Ätzprozesses auf der Ätzstoppschicht, Ausführen eines weiteren Ätzprozesses, um durch die Ätzstoppschicht zu ätzen, und dann Bildung eines Bitleitungskontaktes zu dem zweiten Source/Drainbereich; und
Ätzen durch die dielektrische Schicht über dem dritten Source/Drainbereich, Stoppen des Ätzprozesses auf der Ätzstoppschicht, Ausführen eines weiteren Ätzprozesses, um durch die Ätzstoppschicht zu ätzen, und dann Bildung eines Ladungsspeicherkondensators mit einer Elektrode, die mit dem dritten Source/Drainbereich verbunden ist.
2. Ein Verfahren gemäß Anspruch 1, dadurch gekennzeichnet, daß es des weiteren einen Schritt umfaßt, in dem die Oberfläche der dielektrischen Schicht vor dem Ätzen durch die dielektrische Schicht über dem dritten Source/Drainbereich geglättet wird.
3. Ein Verfahren gemäß Anspruch 2, worin der Glättungsschritt zur Verwendung von chemisch­ mechanischem Glätten ausgeführt wird.
4. Ein Verfahren gemäß Anspruch 2, worin der Glättungsschritt vor dem Schritt ausgeführt wird, in dem durch die dielektrische Schicht über dem zweiten Source/Drainbereich geätzt wird.
5. Ein Verfahren gemäß Anspruch 1, worin die dielektrische Schicht ein Siliziumoxid ist und die Ätzstoppschicht Siliziumnitrid ist.
6. Ein Verfahren gemäß Anspruch 1, worin die Ätzstoppschicht in Kontakt mit den ersten, zweiten und dritten Source/Drainbereichen gebildet wird.
7. Ein Verfahren gemäß Anspruch 6, worin die Ätzstoppschicht durch eine Oxidschicht von den ersten und zweiten Gate-Elektroden getrennt ist.
8. Ein Verfahren gemäß Anspruch 6, worin die Ätzstoppschicht Siliziumnitrid ist und das Dielektrikum ein Siliziumoxid ist.
9. Ein Verfahren zur Bildung eines DRAMs, umfassend die Schritte:
Zurverfügungstellen eines Substrats mit darauf gebildeten Einrichtungs-Isolations-Strukturen, wobei ein aktiver Bereich der Einrichtung zwischen den Einrichtungs-Isolations-Strukturen definiert ist;
Zurverfügungstellen einer Isolationsschicht auf dem Substrat über dem aktiven Bereich der Einrichtung;
Zurverfügungstellen eines Übertragungstransistors auf dem aktiven Bereich der Einrichtung, wobei der Übertragungstransistor eine erste Gate-Elektrode über der Isolierungsschicht umfaßt, und erste und zweite Source/Drainbereiche in dem Substrat gebildet sind;
Bildung einer Ätzstoppschicht über der ersten Gate-Elektrode und über den ersten und zweiten Source/­ Drainbereichen;
Bildung einer dielektrischen Schicht über der Ätzstoppschicht, wobei die dielektrische Schicht unterschiedlich von der Ätzstoppschicht ausgebildet ist;
Glättung der Oberfläche von der dielektrischen Schicht, um eine glatte Oberfläche zur Verfügung zu stellen;
Ätzen durch die geglättete Oberfläche von der dielektrischen Schicht über dem ersten Source/­ Drainbereich, Stoppen des Ätzprozesses auf der Ätzstoppschicht, Ausführen eines weiteren Ätzprozesses, um durch die Ätzstoppschicht zu ätzen, und dann Bildung eines Bitleitungskontakts zu dem ersten Source/­ Drainbereich; und
Ätzen durch die geglättete Oberfläche von der dielektrischen Schicht über dem zweiten Source/­ Drainbereich, Stoppen des Ätzprozesses auf der Ätzstoppschicht, Ausführen eines weiteren Ätzprozesses, um durch die Ätzstoppschicht zu ätzen, und dann Bildung eines Ladungsspeicher-Kondensators mit einer Elektrode, die mit dem zweiten Source/Drainbereich verbunden ist.
10. Ein Verfahren gemäß Anspruch 9, worin der Schritt zur Bildung einer dielektrischen Schicht eine chemische Dampfablagerung von einem TEOS-Quellgas umfaßt.
11. Ein Verfahren gemäß Anspruch 10, worin der Glättungsschritt unter Verwendung von chemisch­ mechanischer Glättung ausgeführt wird.
12. Ein Verfahren gemäß Anspruch 9, worin die dielektrische Schicht ein Siliziumoxid ist und die Ätzstoppschicht Siliziumnitrid ist.
13. Ein Verfahren gemäß Anspruch 12, worin die Ätzstoppschicht auf den ersten und zweiten Source/­ Drainbereichen gebildet wird.
14. Ein Verfahren zur Bildung eines DRAMs, umfassend die Schritte:
Zurverfügungstellen eines Substrats mit darauf gebildeten Einrichtungs-Isolations-Strukturen, wobei ein aktiver Bereich der Einrichtung zwischen den Einrichtungs-Isolations-Strukturen definiert ist;
Zurverfügungstellen einer Isolationsschicht auf dem Substrat über dem aktiven Bereich der Einrichtung;
Zuverfügungstellen eines Übertragungstransistors auf dem aktiven Bereich der Einrichtung, wobei der Übertragungstransistor eine erste Gate-Elektrode über der Isolierschicht umfaßt, und erste und zweite Source/Drainbereiche in dem Substrat gebildet sind;
Bildung einer Ätzstoppschicht über den ersten Gate-Elektroden und auf einer Oxidschicht, die die ersten und zweiten Source/Drainbereiche bedeckt;
Zurverfügungstellen einer dielektrischen Schicht über der Ätzstoppschicht, wobei die dielektrische Schicht ungleich der Ätzstoppschicht ist und wobei die Ätzstoppschicht ungleich der Oxidschicht ist;
chemisch-mechanisches Glätten der Oberfläche von der dielektrischen Schicht, um eine geglättete Oberfläche zur Verfügung zu stellen; und
Ätzen durch die geglättete Oberfläche von der dielektrischen Schicht über den ersten Source/­ Drainbereichen, Stoppen des Ätzprozesses auf der dielektrischen Schicht, Ausführen eines weiteren Ätzprozesses, um durch die Ätzstoppschicht zu ätzen, Entfernen der Oxidschicht, um zumindest einen Abschnitt von dem ersten Source/Drainbereich freizulegen, und dann Zurverfügungstellen eines Leiters in Kontakt mit dem einen Source/Drainbereich.
DE19719699A 1997-01-21 1997-05-09 Verfahren zur Bildung eines dynamischen Speichers mit hoher Dichte und wahlfreiem Zugang Ceased DE19719699A1 (de)

Priority Applications (7)

Application Number Priority Date Filing Date Title
GB9707790A GB2324408A (en) 1997-01-21 1997-04-17 Forming DRAM cells
SG1997001260A SG67386A1 (en) 1997-01-21 1997-04-19 A planarization technique for dram cell capacitor electrode
FR9705665A FR2763174B1 (fr) 1997-01-21 1997-05-07 Procede de fabrication d'une memoire vive dynamique
DE19719699A DE19719699A1 (de) 1997-01-21 1997-05-09 Verfahren zur Bildung eines dynamischen Speichers mit hoher Dichte und wahlfreiem Zugang
JP9121242A JPH10321814A (ja) 1997-01-21 1997-05-12 Dramセルキャパシタ電極用の平坦化技法
US08/864,299 US6010931A (en) 1997-01-21 1997-05-28 Planarization technique for DRAM cell capacitor electrode
NL1006172A NL1006172C2 (nl) 1997-01-21 1997-05-30 Werkwijze voor het vormen van een DRAM.

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US3614497P 1997-01-21 1997-01-21
GB9707790A GB2324408A (en) 1997-01-21 1997-04-17 Forming DRAM cells
SG1997001260A SG67386A1 (en) 1997-01-21 1997-04-19 A planarization technique for dram cell capacitor electrode
FR9705665A FR2763174B1 (fr) 1997-01-21 1997-05-07 Procede de fabrication d'une memoire vive dynamique
DE19719699A DE19719699A1 (de) 1997-01-21 1997-05-09 Verfahren zur Bildung eines dynamischen Speichers mit hoher Dichte und wahlfreiem Zugang
JP9121242A JPH10321814A (ja) 1997-01-21 1997-05-12 Dramセルキャパシタ電極用の平坦化技法
NL1006172A NL1006172C2 (nl) 1997-01-21 1997-05-30 Werkwijze voor het vormen van een DRAM.

Publications (1)

Publication Number Publication Date
DE19719699A1 true DE19719699A1 (de) 1998-11-12

Family

ID=27561750

Family Applications (1)

Application Number Title Priority Date Filing Date
DE19719699A Ceased DE19719699A1 (de) 1997-01-21 1997-05-09 Verfahren zur Bildung eines dynamischen Speichers mit hoher Dichte und wahlfreiem Zugang

Country Status (7)

Country Link
US (1) US6010931A (de)
JP (1) JPH10321814A (de)
DE (1) DE19719699A1 (de)
FR (1) FR2763174B1 (de)
GB (1) GB2324408A (de)
NL (1) NL1006172C2 (de)
SG (1) SG67386A1 (de)

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6255159B1 (en) * 1997-07-14 2001-07-03 Micron Technology, Inc. Method to form hemispherical grained polysilicon
US5910880A (en) 1997-08-20 1999-06-08 Micron Technology, Inc. Semiconductor circuit components and capacitors
KR100247935B1 (ko) * 1997-10-22 2000-03-15 윤종용 오산화 이탄탈륨 유전체막을 갖는 커패시터 형성방법
US6911371B2 (en) * 1997-12-19 2005-06-28 Micron Technology, Inc. Capacitor forming methods with barrier layers to threshold voltage shift inducing material
US6682970B1 (en) 1998-02-27 2004-01-27 Micron Technology, Inc. Capacitor/antifuse structure having a barrier-layer electrode and improved barrier layer
US6150706A (en) * 1998-02-27 2000-11-21 Micron Technology, Inc. Capacitor/antifuse structure having a barrier-layer electrode and improved barrier layer
US7034353B2 (en) 1998-02-27 2006-04-25 Micron Technology, Inc. Methods for enhancing capacitors having roughened features to increase charge-storage capacity
US6191443B1 (en) 1998-02-28 2001-02-20 Micron Technology, Inc. Capacitors, methods of forming capacitors, and DRAM memory cells
TW498440B (en) * 1998-03-30 2002-08-11 Hitachi Ltd Manufacture method of semiconductor device
US6730559B2 (en) * 1998-04-10 2004-05-04 Micron Technology, Inc. Capacitors and methods of forming capacitors
US6156638A (en) * 1998-04-10 2000-12-05 Micron Technology, Inc. Integrated circuitry and method of restricting diffusion from one material to another
US6737696B1 (en) 1998-06-03 2004-05-18 Micron Technology, Inc. DRAM capacitor formulation using a double-sided electrode
TW421886B (en) * 1998-06-10 2001-02-11 Siemens Ag Memory-capacitor for a DRAM
US6140233A (en) * 1998-06-25 2000-10-31 Samsung Electronics Co., Ltd. Method of manufacturing semiconductor devices, etching compositions for manufacturing semiconductor devices, and semiconductor devices thereby
US6218239B1 (en) * 1998-11-17 2001-04-17 United Microelectronics Corp. Manufacturing method of a bottom plate
US6303972B1 (en) 1998-11-25 2001-10-16 Micron Technology, Inc. Device including a conductive layer protected against oxidation
US7067861B1 (en) 1998-11-25 2006-06-27 Micron Technology, Inc. Device and method for protecting against oxidation of a conductive layer in said device
US6268285B1 (en) * 1999-01-04 2001-07-31 Advanced Micro Devices, Inc. Method of removing plasma etch damage to pre-silicidized surfaces by wet silicon etch
US6291289B2 (en) 1999-06-25 2001-09-18 Micron Technology, Inc. Method of forming DRAM trench capacitor with metal layer over hemispherical grain polysilicon
KR100339244B1 (ko) 1999-06-30 2002-05-31 박종섭 고부하저항 타입의 에스램 제조방법
US6319764B1 (en) 1999-08-25 2001-11-20 Micron Technology, Inc. Method of forming haze-free BST films
KR100512904B1 (ko) * 1999-12-24 2005-09-07 주식회사 하이닉스반도체 반도체소자의 제조방법
JP2001196558A (ja) * 2000-01-13 2001-07-19 Seiko Epson Corp 半導体装置の製造方法およびその半導体装置
JP2001196559A (ja) 2000-01-13 2001-07-19 Seiko Epson Corp 半導体装置及びその製造方法
JP2001196560A (ja) 2000-01-14 2001-07-19 Seiko Epson Corp 半導体装置及びその製造方法
JP2001196561A (ja) * 2000-01-14 2001-07-19 Seiko Epson Corp 半導体装置及びその製造方法
US7005695B1 (en) * 2000-02-23 2006-02-28 Micron Technology, Inc. Integrated circuitry including a capacitor with an amorphous and a crystalline high K capacitor dielectric region
JP4570204B2 (ja) 2000-05-31 2010-10-27 Okiセミコンダクタ株式会社 半導体装置の製造方法
US7253076B1 (en) * 2000-06-08 2007-08-07 Micron Technologies, Inc. Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers
JP3445965B2 (ja) * 2000-08-24 2003-09-16 松下電器産業株式会社 半導体装置およびその製造方法
JP2002190582A (ja) * 2000-12-21 2002-07-05 Mitsubishi Electric Corp 半導体メモリ及びその製造方法
US6753618B2 (en) * 2002-03-11 2004-06-22 Micron Technology, Inc. MIM capacitor with metal nitride electrode materials and method of formation
KR100480636B1 (ko) * 2002-11-22 2005-03-31 삼성전자주식회사 반도체 장치의 제조방법
KR100470155B1 (ko) * 2003-03-07 2005-02-04 광주과학기술원 아연산화물 반도체 제조방법
KR100583118B1 (ko) 2003-12-19 2006-05-23 주식회사 하이닉스반도체 반도체 소자의 캐패시터 형성방법
KR100685735B1 (ko) * 2005-08-11 2007-02-26 삼성전자주식회사 폴리실리콘 제거용 조성물, 이를 이용한 폴리실리콘 제거방법 및 반도체 장치의 제조 방법
JP2007305747A (ja) * 2006-05-10 2007-11-22 Nec Electronics Corp 半導体装置、及びコンデンサマイクロフォン
CN103928310B (zh) * 2014-04-28 2018-04-06 上海集成电路研发中心有限公司 打开多晶硅栅极的方法
US9633999B1 (en) * 2015-11-16 2017-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for semiconductor mid-end-of-line (MEOL) process
US9768062B1 (en) 2016-06-16 2017-09-19 Samsung Electronics Co., Ltd. Method for forming low parasitic capacitance source and drain contacts

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0282629A1 (de) * 1987-03-06 1988-09-21 Siemens Aktiengesellschaft Dreidimensionale 1-Transistorzellenanordung für dynamische Halbleiterspeicher mit Grabenkondensator und Verfahren zur Herstellung des Bitleitungskontaktes
DE4229363A1 (de) * 1991-09-06 1993-03-11 Micron Technology Inc Verfahren zur bildung eines kondensators
US5292677A (en) * 1992-09-18 1994-03-08 Micron Technology, Inc. Reduced mask CMOS process for fabricating stacked capacitor multi-megabit dynamic random access memories utilizing single etch stop layer for contacts
DE4400034C1 (de) * 1993-12-28 1995-06-22 Gold Star Electronics Verfahren zur Herstellung einer Halbleiterstruktur, wenigstens bestehend aus einem Transistor und einer Kondensatorelektrode

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2723530B2 (ja) * 1988-04-13 1998-03-09 日本電気株式会社 ダイナミック型ランダムアクセスメモリ装置の製造方法
JP3199717B2 (ja) * 1989-09-08 2001-08-20 株式会社東芝 半導体装置およびその製造方法
US5275972A (en) * 1990-02-19 1994-01-04 Matsushita Electric Industrial Co., Ltd. Method for fabricating a semiconductor integrated circuit device including the self-aligned formation of a contact window
US5338700A (en) * 1993-04-14 1994-08-16 Micron Semiconductor, Inc. Method of forming a bit line over capacitor array of memory cells
US5501998A (en) * 1994-04-26 1996-03-26 Industrial Technology Research Institution Method for fabricating dynamic random access memory cells having vertical sidewall stacked storage capacitors
KR0126640B1 (ko) * 1994-05-07 1998-04-02 김주용 반도체소자 및 그 제조방법
KR100388519B1 (ko) * 1995-02-22 2003-09-19 마이크론 테크놀로지, 인크. 메모리셀의커패시터배열위에비트선을형성하는방법및이를이용한집적회로및반도체메모리장치
KR0170308B1 (ko) * 1995-12-05 1999-02-01 김광호 강유전체 캐패시터의 제조방법
US5770498A (en) * 1996-01-31 1998-06-23 Micron Technology, Inc. Process for forming a diffusion barrier using an insulating spacer layer
US5702989A (en) * 1996-02-08 1997-12-30 Taiwan Semiconductor Manufacturing Company Ltd. Method for fabricating a tub structured stacked capacitor for a DRAM cell having a central column
US5668036A (en) * 1996-06-21 1997-09-16 Vanguard International Semiconductor Corporation Fabrication method of the post structure of the cell for high density DRAM

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0282629A1 (de) * 1987-03-06 1988-09-21 Siemens Aktiengesellschaft Dreidimensionale 1-Transistorzellenanordung für dynamische Halbleiterspeicher mit Grabenkondensator und Verfahren zur Herstellung des Bitleitungskontaktes
DE4229363A1 (de) * 1991-09-06 1993-03-11 Micron Technology Inc Verfahren zur bildung eines kondensators
US5292677A (en) * 1992-09-18 1994-03-08 Micron Technology, Inc. Reduced mask CMOS process for fabricating stacked capacitor multi-megabit dynamic random access memories utilizing single etch stop layer for contacts
DE4400034C1 (de) * 1993-12-28 1995-06-22 Gold Star Electronics Verfahren zur Herstellung einer Halbleiterstruktur, wenigstens bestehend aus einem Transistor und einer Kondensatorelektrode

Also Published As

Publication number Publication date
FR2763174B1 (fr) 1999-12-31
SG67386A1 (en) 1999-09-21
FR2763174A1 (fr) 1998-11-13
GB2324408A (en) 1998-10-21
US6010931A (en) 2000-01-04
GB9707790D0 (en) 1997-06-04
JPH10321814A (ja) 1998-12-04
GB2324408A8 (en) 1998-10-26
NL1006172C2 (nl) 1998-12-01

Similar Documents

Publication Publication Date Title
DE19719699A1 (de) Verfahren zur Bildung eines dynamischen Speichers mit hoher Dichte und wahlfreiem Zugang
DE19521489B4 (de) Kondensatorplatte und Kondensator, je in einer Halbleitervorrichtung gebildet, die Verwendung eines solchen Kondensators als Speicherkondensator einer Halbleitervorrichtung, Verfahren zur Herstellung eines Kondensators und Verwendung eines solchen Verfahrens zur Herstellung von DRAM-Vorrichtungen
DE19933480B4 (de) Verfahren zur Herstellung eines zylindrischen Kondensators
DE4136420C2 (de) Verfahren zur Bildung eines Kondensators
DE10141948B4 (de) Halbleiterspeichervorrichtung und Herstellungsverfahren dafür
DE10021385B4 (de) Verfahren zur Herstellung eines Kondensators mit Erzeugung einer unteren Kondensatorelektrode unter Verwendung einer CMP-Stoppschicht
DE4301690A1 (de)
DE10206149C1 (de) Verfahren zur Herstellung von Kontakten
EP0971414A1 (de) Grabenkondensator mit Isolationskragen und vergrabenen Kontakt und entsprechendes Herstellungsverfahren
DE19842704C2 (de) Herstellverfahren für einen Kondensator mit einem Hoch-epsilon-Dielektrikum oder einem Ferroelektrikum nach dem Fin-Stack-Prinzip unter Einsatz einer Negativform
DE19930295A1 (de) Säulenförmiger Speicherknoten eines Kondensators und Verfahren zur Herstellung desselben
DE19921110A1 (de) Verfahren zur Herstellung eines Halbleiterbauelements
DE4327813C2 (de) Verfahren zur Herstellung eines DRAM's
DE102004030806A1 (de) Halbleitrvorrichtung und Verfahren zur Herstellung derselben
DE19947053C1 (de) Grabenkondensator zu Ladungsspeicherung und Verfahren zu seiner Herstellung
DE4203565C2 (de) Verfahren zur Herstellung einer Halbleitervorrichtung
DE19929859B4 (de) Herstellungsverfahren für Trenchkondensator
DE69835184T2 (de) DRAM-Zelle mit Graben-Kondensator und vertikalem Transistor
EP1125328B1 (de) Verfahren zur herstellung einer dram-zellenanordnung
DE4407532C2 (de) DRAM-Speicherzelle und Verfahren zur Herstellung derselben
DE10012198B4 (de) Zylindrisches Kondensatorbauelement mit innenseitigem HSG-Silicium und Verfahren zu seiner Herstellung
DE10242877A1 (de) Halbleitersubstrat sowie darin ausgebildete Halbleiterschaltung und zugehörige Herstellungsverfahren
DE10146226B4 (de) Vertikale intern verbundene Grabenzelle und Herstellungsverfahren dafür
DE10145173C2 (de) Verfahren zur Bildung von Kontakten bei der Herstellung einer integrierten DRAM-Schaltung
DE4113999A1 (de) Integrierte halbleitereinrichtung und herstellungsverfahren fuer diese

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8131 Rejection