DE4232475C2 - Process for plasma chemical dry etching of Si¶3¶N¶4¶ layers highly selective to SiO¶2¶ layers - Google Patents

Process for plasma chemical dry etching of Si¶3¶N¶4¶ layers highly selective to SiO¶2¶ layers

Info

Publication number
DE4232475C2
DE4232475C2 DE19924232475 DE4232475A DE4232475C2 DE 4232475 C2 DE4232475 C2 DE 4232475C2 DE 19924232475 DE19924232475 DE 19924232475 DE 4232475 A DE4232475 A DE 4232475A DE 4232475 C2 DE4232475 C2 DE 4232475C2
Authority
DE
Germany
Prior art keywords
layers
etching
plasma chemical
dry etching
highly selective
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE19924232475
Other languages
German (de)
Other versions
DE4232475A1 (en
Inventor
Wolfgang E Dipl Ing Frank
Christian Dr Diekmann
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Infineon Technologies AG
Original Assignee
Siemens AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Siemens AG filed Critical Siemens AG
Priority to DE19924232475 priority Critical patent/DE4232475C2/en
Publication of DE4232475A1 publication Critical patent/DE4232475A1/en
Application granted granted Critical
Publication of DE4232475C2 publication Critical patent/DE4232475C2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C17/00Surface treatment of glass, not in the form of fibres or filaments, by coating
    • C03C17/22Surface treatment of glass, not in the form of fibres or filaments, by coating with other inorganic material
    • C03C17/225Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C2218/00Methods for coating glass
    • C03C2218/30Aspects of methods for coating glass not covered above
    • C03C2218/32After-treatment
    • C03C2218/328Partly or completely removing a coating
    • C03C2218/33Partly or completely removing a coating by etching

Description

Die Erfindung betrifft ein Verfahren zum plasmachemischen Trockenätzen von Siliziumnitridschichten hochselektiv zu Siliziumoxidschichten.The invention relates to a method for plasma chemical mixing Dry etching of silicon nitride layers is highly selective Silicon oxide layers.

Zum Stand der Ätztechnik in der Halbleitertechnologie wird auf D. Widmann et al, "Technologie hochintegrierter Schal­ tungen", Springer-Verlag Berlin 1988, insbesondere auf die Abschnitte 5.2.2 und 5.3.1 hingewiesen.With regard to the state of etching technology in semiconductor technology, reference is made to D. Widmann et al, "Technology of Highly Integrated Circuits", Springer-Verlag Berlin 1988 , in particular to sections 5.2.2 and 5.3.1.

Beim plasmachemischen Ätzen, beispielsweise in einem kon­ ventionellen Barrelreaktor, werden als Ätzmittel gasförmi­ ge Verbindungen eingesetzt, die mit dem Material der zu entfernenden Schicht ein gasförmiges, flüchtiges Reaktions­ produkt bilden. Die im Plasma des Ätzreaktors entstehenden neutralen reaktiven Teilchen (Radikale) diffundieren zu den im Reaktor befindlichen Prozeßscheiben und reagieren dort spontan und exotherm mit den Oberflächenatomen der zu ätzenden Schicht. Das Reaktionsprodukt diffundiert an­ schließend von der Scheibe weg. Beim plasmachemischen Ät­ zen erfolgt der Ätzangriff isotrop, d. h. gleichförmig in jede Richtung.In plasma chemical etching, for example in a con conventional barrel reactor, are gaseous as etching agents Ge connections used with the material of the removing layer a gaseous, volatile reaction form product. The resulting in the plasma of the etching reactor neutral reactive particles (radicals) diffuse to the process disks in the reactor and react there spontaneous and exothermic with the surface atoms of the caustic layer. The reaction product diffuses closing away from the pane. With plasma chemical etching Zen etching is isotropic, i. H. uniform in any direction.

Als Variante des plasmachemischen, isotropen Ätzens sind Downstream- oder Afterglow-Reaktoren ("Remote plasma") be­ kannt geworden. Dabei wird das Plasma nicht direkt im Reaktor, sondern in einer Entladekammer erzeugt. Die dort erzeugten reaktiven Spezies strömen in der Folge über eine Leitung in die Ätzkammer, in der sich die zu ätzenden Scheiben befinden. Der große Vorteil dieses Verfahrens liegt darin, daß die Prozeßscheibe vor dem Beschuß mit hochenergetischen Ionen, die ebenfalls durch die Gasent­ ladungen erzeugt werden, abgeschirmt ist. Beschädigungen sowohl im Hinblick auf das Kristallgitter, als auch auf Kontamination durch gesputterte Fremdstoffe sind somit minimal.As a variant of plasma chemical, isotropic etching Downstream or afterglow reactors ("remote plasma") be became known. The plasma is not directly in the Reactor, but generated in an unloading chamber. These generated reactive species subsequently flow over a Line into the etching chamber in which the to be etched Washers. The big advantage of this procedure lies in the fact that the process disk with the bombardment  high-energy ions, also by the gas ent charges are generated, is shielded. Damage with regard to the crystal lattice as well Contamination from sputtered foreign substances are therefore minimal.

Siliziumnitrid (Si3N4)-Schichten werden in der Halbleiter­ technologie hauptsächlich als Barriereschichten gegen Dif­ fusionen aller Art und zur Passivierung von fertigen inte­ grierten Schaltungen gegen das Eindringen von korrosiven und kontaminierenden Stoffen verwendet. Oftmals, insbeson­ dere beim sogenannten LOCOS-Prozeß, ist es erforderlich, die Siliziumnitridschicht ganzflächig und sehr selektiv zur Unterlage aus Siliziumoxid (SiO2) zu entfernen. Da es in diesen Fällen nicht um Strukturierungsprozesse geht, können vorteilhaft isotrope, die Scheibenoberfläche scho­ nende Ätzprozesse eingesetzt werden. Da Fluor als einzige Halogenspezies spontan mit Si3N4 reagiert, ist jedoch die Wahl der Ätzmittel beim plasmachemischen Ätzen stark ein­ geschränkt. Üblicherweise werden Mischungen aus CF4 als Fluorlieferant und anderen nicht halogenhaltigen Gasen (z. B. O2 und N2) verwendet.Silicon nitride (Si 3 N 4 ) layers are mainly used in semiconductor technology as barrier layers against diffusions of all kinds and for passivation of finished integrated circuits against the penetration of corrosive and contaminating substances. Often, in particular in the so-called LOCOS process, it is necessary to remove the entire area of the silicon nitride layer and very selectively from the silicon oxide (SiO 2 ) base. Since structuring processes are not involved in these cases, it is advantageous to use isotropic etching processes that protect the wafer surface. Since fluorine is the only halogen species that reacts spontaneously with Si 3 N 4 , the choice of etchants in plasma-chemical etching is very limited. Mixtures of CF 4 as a fluorine supplier and other non-halogen-containing gases (e.g. O 2 and N 2 ) are usually used.

Generell ist festzuhalten, daß die Selektivität zwischen der Si3N4-Schicht und der darunterliegenden SiO2-Schicht bei allen bisher üblichen, nur fluorhaltige Gase verwen­ denden Prozessen eher gering ist (maximal 10 : 1). Für neue Chipgenerationen muß jedoch, insbesondere aufgrund der immer dünner werdenden Schichtdicken, eine Selektivi­ tät von mindestens 15 : 1 erreicht werden. Da dies mit konventionellen Ätzgasmischungen nicht erreichbar ist, wurde neuerdings (N. Hayasaka et al, Solid State Technolo­ gy / April 1988, Seiten 127 bis 130) vorgeschlagen, als Ätzgasmischung einen Fluorlieferanten, beispielsweise NF3 und zusätzlich, zur Erhöhung der Selektivität, Chlor (Cl2) zu verwenden. Auf diesem Wege kann zwar die Selektivität auf mehr als 30 : 1 gesteigert werden, jedoch ist molekulares Chlor ein giftiges, aggressives Ätzgas mit stark korrosiven Eigenschaften. Aus anlagentechnischen und aus gesundheitli­ chen Gründen sollte ein Einsatz von Chlor möglichst vermieden werden.In general, it should be noted that the selectivity between the Si 3 N 4 layer and the underlying SiO 2 layer is rather low in all processes which have hitherto been customary and only use fluorine-containing gases (maximum 10: 1). For new chip generations, however, a selectivity of at least 15: 1 must be achieved, especially due to the thinning layer thicknesses. Since this cannot be achieved with conventional etching gas mixtures, it has recently been proposed (N. Hayasaka et al, Solid State Technology / April 1988, pages 127 to 130) that a fluorine supplier, for example NF 3 and additionally, to increase the selectivity, chlorine be used as the etching gas mixture (Cl 2 ) to use. In this way, the selectivity can be increased to more than 30: 1, but molecular chlorine is a toxic, aggressive etching gas with highly corrosive properties. For technical and health reasons, the use of chlorine should be avoided as far as possible.

Aus der US 4,717,447 ist ebenfalls ein Verfahren der eingangs genannten Art gegeben, bei dem vorgeschlagen wird, einem Fluorlieferanten, beispielsweise F2 oder NF3, das einem Trägergas bei­ gemischt ist und als Ätzgas fungiert, als Additiv bromierte bzw. chlorierte Fluorkohlenstoffe beizumengen. Die. Verwendung von molekularem Fluor ist aber ebenfalls aus Gründen der Ar­ beitssicherheit problematisch.From US 4 , 717 , 447 a method of the type mentioned is also given, in which it is proposed to a fluorine supplier, for example F2 or NF 3 , the is mixed with a carrier gas and acts as an etching gas, and add brominated or chlorinated fluorocarbons as an additive. The. The use of molecular fluorine is also problematic for reasons of occupational safety.

Aufgabe der Erfindung ist es daher, ein Verfahren der ein­ gangs genannten Art anzugeben, daß eine Selektivität von min­ destens 15 : 1 aufweist, ohne jedoch auf das problematische mo­ lekulare Chlor bzw. Fluor angewiesen zu sein.The object of the invention is therefore a method of Specify the type mentioned above that a selectivity of min at least 15: 1, but without the problematic mo molecular chlorine or fluorine.

Diese Aufgabe wird erfindungsgemäß dadurch gelöst, daß als Ätzgas eine Fluorkohlenstoffverbindung oder eine Mischung aus verschiedenen Fluorkohlenstoffverbindungen der allgemeinen Formel CxHiFyXz (x, y, z ≧ 1, i ≧ 0), worin X Chlor und/oder Brom und/oder Jod bedeutet, verwendet.This object is achieved in that a fluorocarbon compound or a mixture of different fluorocarbon compounds of the general formula C x H i F y X z (x, y, z ≧ 1, i ≧ 0), wherein X is chlorine and / or bromine, as the etching gas and / or iodine is used.

Weiterbildungen der Erfindung sind in den Unteransprüchen ge­ kennzeichnet. Im folgenden wird die Erfindung anhand eines Ausführungsbeispiels noch näher erläutert.Developments of the invention are ge in the dependent claims indicates. In the following the invention is based on a Embodiment explained in more detail.

Erfindungsgemäß erhält man durch Bindung der aggressiven Fluor- und Chloratome an Kohlenstoffatome besonders handhab­ bare Ätzgase. Diese sogenannten Halogenkohlenstoffe sind im allgemeinen ungiftig, nicht korrosiv sowie anlagentechnisch und aus gesundheitlichen Gründen gut handhabbar. Im gegebenen Zusammenhang sind insbesondere die Ungiftigkeit und Reakti­ onsträgheit der Fluorchlorkohlenstoffe von Vorteil. Als Bei­ spiele seien CF3Cl, CF2Cl2, CFCl3, C2F5Cl, C2F4Cl2, C2F3Cl3 usw. genannt.According to the invention, particularly manageable etching gases are obtained by binding the aggressive fluorine and chlorine atoms to carbon atoms. These so-called halocarbons are generally non-toxic, non-corrosive, and are easy to handle for technical reasons and for health reasons. In the given context, the non-toxicity and inertness of the chlorofluorocarbons are particularly advantageous. Examples are CF 3 Cl, CF 2 Cl 2 , CFCl 3 , C 2 F 5 Cl, C 2 F 4 Cl 2 , C 2 F 3 Cl 3 , etc.

Der positive Effekt des erfindungsgemäßen Verfahrens wird nicht dadurch eingeschränkt, daß in der Molekülstruk­ tur des Fluorkohlenstoffs Wasserstoffatome eingebaut sind. The positive effect of the method according to the invention is not restricted by the fact that in the molecular structure fluorocarbon structure are installed.  

Einfache Vertreter dieser Verbindungen sind beispielsweise CHF2Cl und CH2FCl.Simple representatives of these compounds are, for example, CHF 2 Cl and CH 2 FCl.

Es können auch Sauerstoff- und/oder Stickstoffatome eingebaut werden.Oxygen and / or nitrogen atoms can also be incorporated.

Eine allgemeine Formel für diese Ver­ bindungen lautet CxHaObNCFyClzBruIv.A general formula for these compounds is C x H a O b N C F y Cl z Br u I v .

Durch weitergehende Versuche wurde festgestellt, daß der positive Effekt auf die Selektivität auch dann eintritt, wenn die Chloratome durch Brom und/oder Jod substituiert bzw. durch diese ergänzt werden. Bei der Auswahl einer ge­ eigneten Verbindung ist jedoch immer darauf zu achten, daß das Ätzmittel mit dem zu entfernenden Material eine flüch­ tige Verbindung bildet und daß das Ätzmittel einen genü­ gend großen Dampfdruck besitzt.Through further experiments it was found that the positive effect on selectivity also occurs if the chlorine atoms are substituted by bromine and / or iodine or be supplemented by these. When choosing a ge suitable connection, however, it must always be ensured that the etchant with the material to be removed is cursed term connection and that the etchant a sufficient has high vapor pressure.

Alle Versuche wurden an einer Standard-Downstreamanlage der Firma Tylan Tokuda durchgeführt. Als Ätzgase wurden CF3Cl, CF2Cl2, CBrF3 und CIF3 verwendet. Folgende typische Hauptprozeßparameter konnten festgelegt werden:
Prozeßdruck: 1 bis 500 Pa
Gasfluß (CF3Cl): 3 bis 3000 Kubikzentimeter pro Minute (sccm)
Mikrowellenleistung: 50 bis 5000 W
Scheibentemperatur: 0 bis 80°C
All tests were carried out on a standard downstream system from Tylan Tokuda. CF 3 Cl, CF 2 Cl 2 , CBrF 3 and CIF 3 were used as etching gases. The following typical main process parameters could be defined:
Process pressure: 1 to 500 Pa
Gas flow (CF 3 Cl): 3 to 3000 cubic centimeters per minute (sccm)
Microwave power: 50 to 5000 W.
Disc temperature: 0 to 80 ° C

Die Obergrenze der Temperatur war anlagentechnisch auf 80°C beschränkt. Höhere Temperaturen steigern die Ätzrate und können somit bei anderen Anlagen von Vorteil sein.The upper limit of the temperature was on the system Limited to 80 ° C. Higher temperatures increase the etch rate and can therefore be an advantage for other systems.

Maschinenspezifische Parameter bei einem anderen Equipment beeinflussen das Prinzip der erfindungsgemäßen Ätzung nicht und können jeweils auf einfache Weise optimiert wer­ den. Bei einer hinreichenden Feinabstimmung können auch gute Ergebnisse mit anderen als den zuvor genannten Haupt­ parametereinstellungen erzielt werden. Mit neueren Anlagen können beispielsweise auch Prozeßdrücke weit unter 1 Pa erreicht werden, was den Prozeß eher positiv beeinflussen kann. Ist neben der hohen Selektivität zusätzlich die Op­ timierung anderer Eigenschaften des Ätzprozesses er­ wünscht, so ist dies auf einfache Weise durch Beimischung einer nicht halogenhaltigen kohlenstoff-, stickstoff-, sauerstoff- oder wasserstoffhaltigen Verbindung zur Ätz­ gasmischung möglich. Außerdem kann der Ätzgaszusammenset­ zung ohne weiteres ein Trägergas, z. B. ein inertes Edel­ gas (He, Ne, Ar, Kr, Xe), oder Stickstoff, Sauerstoff bzw. Wasserstoff beigemischt werden.Machine-specific parameters for other equipment influence the principle of the etching according to the invention not and can each be optimized easily the. With sufficient fine tuning, too good results with main other than the aforementioned parameter settings can be achieved. With newer systems For example, process pressures well below 1 Pa can be achieved, which have a rather positive effect on the process can. In addition to the high selectivity, the op  timing of other properties of the etching process wishes, this is easily done by admixture a non-halogen containing carbon, nitrogen, oxygen or hydrogen-containing compound for etching gas mixture possible. In addition, the etching gas composition tongue a carrier gas, e.g. B. an inert noble gas (He, Ne, Ar, Kr, Xe), or nitrogen, oxygen or Hydrogen can be added.

Claims (5)

1. Verfahren zum plasmachemischen Trockenätzen von Silizium­ nitrid-Schichten hochselektiv zu Siliziumoxid-Schichten, dadurch gekennzeichnet, daß man als Ätzgas eine Fluorkohlenstoffverbindung oder eine Mi­ schung aus verschiedenen Fluorkohlenstoffverbindungen der allgemeinen Formel CxHiFyXz (x, y, z ≧ 1, i ≧ 0), worin X Chlor und/oder Brom und/oder Jod bedeutet, verwendet.1. A method for plasma chemical dry etching of silicon nitride layers highly selective to silicon oxide layers, characterized in that a fluorocarbon compound or a mixture of different fluorocarbon compounds of the general formula C x H i F y X z (x, y, z ≧ 1, i ≧ 0), where X is chlorine and / or bromine and / or iodine. 2. Verfahren nach Anspruch 1, bei dem der Ätzgasmischung zu­ sätzliche, nicht halogenhaltige Verbindungen und/oder Träger­ gase beigemischt werden.2. The method of claim 1, wherein the etching gas mixture additional, non-halogen-containing compounds and / or carriers gases are added. 3. Verfahren nach Anspruch 2, bei dem als Trägergas ein iner­ tes Edelgas (He, Ne, Ar, Kr, Xe) verwendet wird.3. The method according to claim 2, wherein the carrier gas is an inert noble gas (He, Ne, Ar, Kr, Xe) is used. 4. Verfahren nach Anspruch 2, bei dem als Trägergas Stick­ stoff, Sauerstoff oder Wasserstoff verwendet wird.4. The method according to claim 2, in which as a carrier gas stick substance, oxygen or hydrogen is used. 5. Verfahren nach Anspruch 2, bei dem eine nicht halogenhal­ tige, aus den Elementen Stickstoff, Sauerstoff und Wasser­ stoff zusammengesetzte Verbindung, insbesondere N2O, NO2, NH3 oder H2O, verwendet wird.5. The method according to claim 2, in which a non-halogen-containing compound composed of the elements nitrogen, oxygen and hydrogen, in particular N 2 O, NO 2 , NH 3 or H 2 O, is used.
DE19924232475 1992-09-28 1992-09-28 Process for plasma chemical dry etching of Si¶3¶N¶4¶ layers highly selective to SiO¶2¶ layers Expired - Lifetime DE4232475C2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
DE19924232475 DE4232475C2 (en) 1992-09-28 1992-09-28 Process for plasma chemical dry etching of Si¶3¶N¶4¶ layers highly selective to SiO¶2¶ layers

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE19924232475 DE4232475C2 (en) 1992-09-28 1992-09-28 Process for plasma chemical dry etching of Si¶3¶N¶4¶ layers highly selective to SiO¶2¶ layers

Publications (2)

Publication Number Publication Date
DE4232475A1 DE4232475A1 (en) 1994-03-31
DE4232475C2 true DE4232475C2 (en) 1998-07-02

Family

ID=6469028

Family Applications (1)

Application Number Title Priority Date Filing Date
DE19924232475 Expired - Lifetime DE4232475C2 (en) 1992-09-28 1992-09-28 Process for plasma chemical dry etching of Si¶3¶N¶4¶ layers highly selective to SiO¶2¶ layers

Country Status (1)

Country Link
DE (1) DE4232475C2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10016938C2 (en) * 1999-05-05 2003-04-24 Ibm Selective dry etching of a dielectric film

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0854502A3 (en) * 1997-01-21 1998-09-02 Texas Instruments Incorporated Iodofluorocarbon gas for the etching of dielectric layers and the cleaning of process chambers
US6376386B1 (en) 1997-02-25 2002-04-23 Fujitsu Limited Method of etching silicon nitride by a mixture of CH2 F2, CH3F or CHF3 and an inert gas
WO1999008805A1 (en) 1997-08-20 1999-02-25 Air Liquide Electronics Chemicals & Services, Inc. Plasma cleaning and etching methods using non-global-warming compounds
DE59914708D1 (en) * 1998-12-24 2008-05-08 Atmel Germany Gmbh Process for the anisotropic plasma chemical dry etching of silicon nitride layers by means of a fluorine-containing gas mixture
US6919147B2 (en) * 2002-09-25 2005-07-19 Infineon Technologies Ag Production method for a halftone phase mask
JP7261159B2 (en) 2017-06-08 2023-04-19 株式会社レゾナック Etching method

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4374698A (en) * 1980-07-11 1983-02-22 U.S. Philips Corporation Method of manufacturing a semiconductor device
US4678539A (en) * 1984-11-20 1987-07-07 Matsushita Electric Industrial Co., Ltd. Dry-etching method
US4717447A (en) * 1982-11-16 1988-01-05 U.S. Philips Corporation Method of manufacturing a semiconductor device by means of plasma etching
US4726879A (en) * 1986-09-08 1988-02-23 International Business Machines Corporation RIE process for etching silicon isolation trenches and polycides with vertical surfaces

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4374698A (en) * 1980-07-11 1983-02-22 U.S. Philips Corporation Method of manufacturing a semiconductor device
US4717447A (en) * 1982-11-16 1988-01-05 U.S. Philips Corporation Method of manufacturing a semiconductor device by means of plasma etching
US4678539A (en) * 1984-11-20 1987-07-07 Matsushita Electric Industrial Co., Ltd. Dry-etching method
US4726879A (en) * 1986-09-08 1988-02-23 International Business Machines Corporation RIE process for etching silicon isolation trenches and polycides with vertical surfaces

Non-Patent Citations (4)

* Cited by examiner, † Cited by third party
Title
Bennett, R.S.: Highly Selective Etching of SiO¶2¶ using C CCF¶3¶+H¶2¶. In: IBM TDB, Vol. 25, No. 9, Feb. 1983, p. 4589 *
HAYASAKA, N. et.al.: Highly Selective Etching... In: Solid State Technology, April 1988,pp.127-130 *
Sanders, F.H.M. et al.: Selective Isotropic Dry Etching of Si¶3¶N¶4¶ over SiO¶2¶. In: J. Electrochem. Soc. Solid-State Science and Technol., Vol. 129, No. 11, Nov. 1982, pp. 2559-2561 *
WIEDMANN, D.: Technologie hochintegrierter Schal- tungen, Springer-Verlag, Berlin 1988, Abschnitt 5.2.2. und 5.3.1. *

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10016938C2 (en) * 1999-05-05 2003-04-24 Ibm Selective dry etching of a dielectric film

Also Published As

Publication number Publication date
DE4232475A1 (en) 1994-03-31

Similar Documents

Publication Publication Date Title
DE4107006C2 (en)
DE69935100T2 (en) Process for etching a metallization by means of a hard mask
DE3125054C2 (en)
DE19706682C2 (en) Anisotropic fluorine-based plasma etching process for silicon
DE3118839A1 (en) DRY WET PROCESS
DE69724192T2 (en) Process for etching polycide structures
DE2930293A1 (en) ACTION PROCESS IN PRODUCING AN OBJECT
DE69734626T2 (en) Etching a metal silicide with HCl and chlorine
DE69830776T2 (en) Gas for removing deposits and their use
DE10328578A1 (en) Hard mask made of amorphous carbon-hydrogen layers
DE69837112T2 (en) METHOD AND COMPOSITION FOR REMOVING PHOTORESIS IN SEMICONDUCTOR PRODUCTION
DE4204848A1 (en) Passivation of semiconductor surfaces after reactive plasma etching - involves additional plasma treatment using passivation mixture to replace reactive compounds adsorbed on the surface
DE4133332A1 (en) METHOD FOR ETCHING SEMICONDUCTOR COMPONENTS
DE4232475C2 (en) Process for plasma chemical dry etching of Si¶3¶N¶4¶ layers highly selective to SiO¶2¶ layers
DE69729553T2 (en) SOLUTIONS AND METHODS FOR REMOVING SIDE DEPOSITS AFTER A DRY STEP
DE69819023T2 (en) METHOD OF ETCHING A CONDUCTIVE LAYER
DE19706763B4 (en) Process for etching a metal layer
EP0126969B1 (en) Process for manufacturing structures comprising metallic silicides, especially silicide-polysilicon, for integrated semiconductor circuits using reactive ion etching
WO2003100843A2 (en) Etching gas and method for dry etching
DE2730156A1 (en) GAS-PLASMA ETCHING OF ALUMINUM AND ALUMINUM OXIDE
DE10338292A1 (en) Etching metal layer for semiconductor device, comprises etching exposed portions of metal layer with etching gas formed by mixing chlorine and nitrogen
DE3935189A1 (en) Ionic etching substrates of silicon di:oxide coated - with poly-silicon or silicide layers-using etching gas of chlorine, silicon chloride and nitrogen
DE2930200A1 (en) METHOD FOR ETCHING METAL FILMS WITH A GAS PLASMA
EP3761346A1 (en) Protective fluid for alumina, protection method, and production method for semiconductor substrate having alumina layer using same
WO2000054327A1 (en) Method of producing a trench isolation for electrically active components

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
D2 Grant after examination
8364 No opposition during term of opposition
R081 Change of applicant/patentee

Owner name: INFINEON TECHNOLOGIES AG, DE

Free format text: FORMER OWNER: SIEMENS AKTIENGESELLSCHAFT, 80333 MUENCHEN, DE

Effective date: 20111107

Owner name: INFINEON TECHNOLOGIES AG, DE

Free format text: FORMER OWNER: SIEMENS AG, 80333 MUENCHEN, DE

Effective date: 20111107

R071 Expiry of right
R071 Expiry of right