DE60018328T2 - Mehrschichtiger Spiegel mit erhöhter Reflektivität für Extrem-Ultraviolett-Strahlung und lithographische Projektionsvorrichtung mit einem solchen Spiegel - Google Patents

Mehrschichtiger Spiegel mit erhöhter Reflektivität für Extrem-Ultraviolett-Strahlung und lithographische Projektionsvorrichtung mit einem solchen Spiegel Download PDF

Info

Publication number
DE60018328T2
DE60018328T2 DE60018328T DE60018328T DE60018328T2 DE 60018328 T2 DE60018328 T2 DE 60018328T2 DE 60018328 T DE60018328 T DE 60018328T DE 60018328 T DE60018328 T DE 60018328T DE 60018328 T2 DE60018328 T2 DE 60018328T2
Authority
DE
Germany
Prior art keywords
stack
layer
substrate
mask
reflector
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE60018328T
Other languages
English (en)
Other versions
DE60018328D1 (de
Inventor
Mandeep Twickenham Singh
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of DE60018328D1 publication Critical patent/DE60018328D1/de
Application granted granted Critical
Publication of DE60018328T2 publication Critical patent/DE60018328T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/08Mirrors
    • G02B5/0891Ultraviolet [UV] mirrors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70233Optical aspects of catoptric systems, i.e. comprising only reflective elements, e.g. extreme ultraviolet [EUV] projection systems
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7095Materials, e.g. materials for housing, stage or other support having particular properties, e.g. weight, strength, conductivity, thermal expansion coefficient
    • G03F7/70958Optical materials or coatings, e.g. with particular transmittance, reflectance or anti-reflection properties
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70983Optical system protection, e.g. pellicles or removable covers for protection of mask
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K1/00Arrangements for handling particles or ionising radiation, e.g. focusing or moderating
    • G21K1/06Arrangements for handling particles or ionising radiation, e.g. focusing or moderating using diffraction, refraction or reflection, e.g. monochromators
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K1/00Arrangements for handling particles or ionising radiation, e.g. focusing or moderating
    • G21K1/06Arrangements for handling particles or ionising radiation, e.g. focusing or moderating using diffraction, refraction or reflection, e.g. monochromators
    • G21K1/062Devices having a multilayer structure
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K2201/00Arrangements for handling radiation or particles
    • G21K2201/06Arrangements for handling radiation or particles using diffractive, refractive or reflecting elements
    • G21K2201/061Arrangements for handling radiation or particles using diffractive, refractive or reflecting elements characterised by a multilayer structure
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S359/00Optical: systems and elements
    • Y10S359/90Methods
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24355Continuous and nonuniform or irregular surface on layer or component [e.g., roofing, etc.]

Description

  • Die vorliegende Erfindung betrifft mehrschichtige Spiegel für extreme ultraviolette Strahlung. Insbesondere betrifft die Erfindung die Anwendung derartiger Spiegel in einer Lithographie-Projektionsvorrichtung, die folgendes umfasst:
    ein Beleuchtungssystem zur Bereitstellung eines Projektionsstrahls;
    einen ersten Objekttisch, der mit einem Maskenhalter zum Halten einer Maske versehen ist;
    einen zweiten Objekttisch, der mit einem Substrathalter zum Halten eines Substrats versehen ist; und
    ein Projektionssystem zum Abbilden eines beleuchteten Teils der Maske auf einen Zielbereich des Substrats.
  • Der Einfachheit halber kann das Projektionssystem im folgenden als „Linse" bezeichnet werden; dieser Begriff sollte jedoch weit ausgelegt werden, da er z.B. verschiedene Arten von Projektionssystemen einschließlich Brechungsoptik, Reflexionsoptik, Katadioptrik-Systeme und Ladungsträgeroptik umfasst. Das Beleuchtungssystem kann auch Elemente aufweisen, die nach einem dieser Prinzipien arbeiten, um den Projektionsstrahl zu leiten, zu formen oder zu steuern und derartige Elemente können insgesamt oder einzeln ebenfalls als „Linse" bezeichnet werden. Darüber hinaus können der erste und der zweite Objekttisch jeweils als „Maskentisch" und als „Substrattisch" bezeichnet werden.
  • In der vorliegenden Beschreibung wird die Erfindung unter Verwendung eines Bezugssystems orthogonaler X-, Y- und Z-Richtungen beschrieben, und die Rotation um eine Achse parallel zur I-Richtung ist mit Ri bezeichnet. Soweit vom Kontext her nicht anders erforderlich, soll sich der hier verwendete Begriff „vertikal" (Z) eher auf die Richtung beziehen, die senkrecht zur Substrat- oder Maskenfläche oder parallel zur optischen Achse eines optischen Systems ist, als auf irgend eine spezielle Ausrichtung der Vorrichtung. In gleicher Weise bezieht sich der Begriff „horizontal" auf eine Richtung, die parallel zur Substrat- oder Maskenfläche oder senkrecht zur optischen Achse und somit senkrecht zur „vertikalen" Richtung verläuft.
  • Lithographie-Projektionsvorrichtungen können zum Beispiel bei der Herstellung von integrierten Schaltkreisen (IC) verwendet werden. In diesem Fall kann die Maske (Retikel) ein Schaltungsmuster aufweisen, das einer einzelnen Schicht des Schaltkreises entspricht, und dieses Muster kann auf einen Belichtungsbereich (Die) auf einem Substrat (Wafer/Siliziumscheibe) abgebildet werden, das mit einer Schicht aus lichtempfindlichem Material („Resist"/Abdeckung) überzogen worden ist. Im allgemeinen enthält ein einzelner Wafer ein gesamtes Netzwerk benachbarter Dies, die nacheinander über das Retikel einzeln bestrahlt werden. Bei einem Typus von Lithographie-Projektionsvorrichtung wird jeder Die bestrahlt, indem das gesamte Retikelmuster in einem Arbeitsgang auf den Die aufgebracht wird; eine derartige Vorrichtung wird üblicherweise als Wafer-Stepper bezeichnet. Bei einer anderen Vorrichtung – die üblicherweise als Step-and-Scan-Vorrichtung bezeichnet wird, wird jeder Die bestrahlt, indem das Retikel-Muster unter dem Projektionsstrahl schrittweise in einer vorbestimmten Bezugsrichtung (der „Abtast"-Richtung) abgetastet wird, während gleichzeitig der Wafer-Tisch parallel oder antiparallel zu dieser Richtung abgetastet wird; da im allgemeinen das Projektionssystem einen Vergrößerungsfaktor M aufweist (im allgemeinen <1), ist die Geschwindigkeit V, bei welcher der Wafer-Tisch abgetastet wird, ein Faktor M, bei dem der Retikel-Tisch abgetastet wird. Weitere Informationen hinsichtlich lithographischer Vorrichtungen als hierin beschrieben können zum Beispiel der internationalen Patentanmeldung WO97/33205 entnommen werden.
  • Bis vor kurzem enthielten lithographische Vorrichtungen einen einzelnen Maskentisch und einen einzelnen Substrattisch. Nun sind jedoch Maschinen erhältlich, bei denen wenigstens zwei unabhängig voneinander bewegbare Substrattische vorhanden sind; siehe zum Beispiel die mehrstufige Vorrichtung gemäß der internationalen Patentanmeldungen WO98/28665 und WO98/40791. Das einer derartigen mehrstufigen Vorrichtung zugrunde liegende Arbeitsprinzip besteht darin, dass während sich ein erster Substrattisch unterhalb des Projektionssystems in Belichtungsposition zur Belichtung eines ersten, auf dem Tisch angeordneten Substrats befindet, ein zweiter Substrattisch zu einer Ladeposition fahren, ein vorher belichtetes Substrat entladen, ein neues Substrat aufnehmen, einige Anfangsmessungen an dem neuen Substrat durchführen und dann das neue Substrat zu der Belichtungsposition unterhalb des Projektionssystems weiterleiten kann, sobald die Belichtung des ersten Substrats beendet ist; danach wird der Arbeitszyklus wiederholt. Auf diese Weise kann der Maschinendurchsatz wesentlich erhöht werden, wodurch wiederum die Investitionskosten für die Maschine verbessert werden. Das gleiche Prinzip könnte mit nur einem Substrattisch verwendet werden, der zwischen Belichtungs- und Messposition hin- und herfährt.
  • Bei einer lithographischen Vorrichtung ist die Größe der Strukturen, die auf den Wafer abgebildet werden können, durch die Wellenlänge der Projektionsstrahlung begrenzt. Um integrierte Schaltungen mit höherer Bauteiledichte und somit höherer Arbeitsgeschwindigkeit erzeugen zu können, ist es erwünscht, kleinere Strukturen abbilden zu können. Während die meisten heutigen lithographischen Projektionsvorrichtungen ultraviolettes Licht verwenden, das mittels Quecksilberlampen oder Excimer-Laser erzeugt wird, ist die Verwendung von Strahlung kürzerer Wellenlänge von ca. 13nm vorgeschlagen worden. Eine derartige Strahlung wird extrem ultraviolette (EUV) oder weiche Röntgenstrahlung genannt und mögliche Quellen dafür umfassen Laser-Plasmaquellen oder Synchrotronstrahlung von Elektronenspeicherringen. Einen Entwurf für eine lithographische Projektionsvorrichtung, die Synchrotronstrahlung verwendet, ist beschrieben in „Synchrotron radiation sources and condensers for projection x-ray lithography" von JB Murphy et al., Applied Optics, Bd. 32, Nr. 24 S. 6920–2929 (1993).
  • Im EUV-Spektralbereich müssen Spiegel mit erhöhter Reflektivität, abgesehen von Spiegeln für streifenden Einfall, unbedingt mehrschichtig und dünnschichtig sein. Die vorherrschenden Konstruktionen sind aus verteilten Braggsche Reflektoren zusammengesetzt, die Viertelwellenstapeln mit durchgehend konstanter Schichtdicke ähneln,. Im Wellenlängenbereich von 11–16nm sind zwei Konstruktionen vorherrschend: Mo/Be für das 11,3nm Fenster, das typischerweise aus 80 Perioden besteht, und Mo/Si für das 13,4nm Fenster aus 40–50 Perioden, wobei beide einen Trenngrad Γ = 0,4 aufweisen, wobei Γ = dMo/(dMo + dSi(Be)). Im allgemeinen ist der Trenngrad definiert als das Verhältnis der Dicke des Materials mit dem höheren Ex tinktionskoeffizienten k zur Gesamtdicke der beiden Schichten. Bei diesen Konstruktionen werden die höchsten theoretischen Reflektivitäten von R ~0,78 für den Mo/Be-Stapel und R ~0,74 für den Mo/Si-Stapel erzielt, während ein hochabsorbierendes natives Oxid von ~2nm auf der Oberfläche der Si-Schicht berücksichtigt wird. Diese Reflektvitätswerte (die zu den besten für mehrschichtige Reflektoren im EUV-Bereich gehören) begrenzen, während sie für optische Systeme mit wenigen Reflektoren geeignet sind, den Ausgang der optischen Intensität drastisch auf 6–10% des Ausgangs, der direkt vor dem ersten Spiegel besteht, zum Beispiel in einem Neunspiegelsystem. Die Bedeutung von neun Spiegeln besteht darin, dass diese Anzahl für ein lithographisches EUV-System vorstellbar ist; zwei in der Beleuchtungsoptik, sechs in der abbildenden Optik plus dem reflektierenden Retikel. Daher ist es wichtig, dass selbst eine „geringe" Zunahme von 1–2% der Spitzenreflektivität eines einzigen Spiegels zu einer erheblichen Zunahme des Lichtdurchsatzes des optischen Systems führt.
  • Aufgabe der vorliegenden Erfindung ist es, mehrschichtige Spiegel für extreme ultraviolette Strahlung (EUV) zu schaffen, die bei erwünschten Wellenlängen eine höhere Reflektivität aufweisen.
  • Gemäß der vorliegenden Erfindung werden diese und weitere Aufgaben durch einen Reflektor zum Reflektieren von Strahlung im extremen ultravioletten Wellenlängenbereich erreicht, wobei der Reflektor einen Stapel abwechselnder Schichten eines ersten und eines zweiten Materials umfasst, wobei das erste Material einen niedrigeren realen Brechungsindex in dem erwünschten Wellenlängenbereich als das zweite Material aufweist, gekennzeichnet durch mindestens eine in den Stapel eingefügte Schicht eines dritten Materials, wobei das dritte Material aus der Gruppe gewählt ist, die Rb, RbCl, RbBr, Sr, Y, Zr, Ru, Rh, Tc, Pd, Nb und Be sowie Legierungen und Verbindungen dieser Materialien umfasst.
  • Bei bevorzugten erfindungsgemäßen Ausführungsformen ist eine Schicht des dritten Materials zwischen jedes Schichtenpaar des ersten und zweiten Materials eingefügt, und wahlweise kann mindestens eine Schicht eines vierten Materials in den Stapel eingefügt sein, wobei das vierte Material aus der Gruppe gewählt ist, die Rb, RbCl, RbBr, Sr, Y, Zr, Ru, Rh, Tc, Pd, Nb und Be sowie Legierungen und Verbindungen derartiger Materialien umfasst.
  • Erfindungsgemäße Reflektoren können eine Deckschicht eines relativ inerten Materials umfassen, das vorzugsweise aus der Gruppe gewählt ist, die diamantartigen Kohlenstoff (C), Siliziumcarbid (SiC), Bornitrid (BN), Siliziumnitrid (Si3N4), B, Ru und Rh umfasst und vorzugsweise eine Dicke im Bereich von 0,5 bis 3nm aufweist, vorzugsweise im Bereich von 1 bis 2nm.
  • Ein zweiter Aspekt der Erfindung schafft eine Lithographie-Projektionsvorrichtung, mit:
    einem Beleuchtungssystem zur Bereitstellung eines Projektionsstrahls;
    einem ersten Objekttisch, der mit einem Maskenhalter zum Halten einer Maske ausgeführt ist;
    einem zweiten Objekttisch, der mit einem Substrathalter zum Halten eines Substrats ausgeführt ist; und mit
    einem Projektionssystem zum Abbilden eines beleuchteten Teils der Maske auf einen Zielbereich des Substrats, dadurch gekennzeichnet, dass das Beleuchtungssystem oder/und das Projektionssystem einen Reflektor wie vorstehend beschrieben umfasst.
  • Durch die Erfindung kann eine erhöhte Reflektivität in den gängigen Mo/Be- und Mo/Si-Stapeln durch einen oder mehrere der folgenden Schritte erreicht werden:
    • 1) Aufnehmen zusätzlicher Materialien in den Basisstapel,
    • 2) Ersetzen einer der Komponenten des Basisstapels durch eine mit günstigeren optischen Konstanten,
    • 3) Verwenden globaler Optimierungsroutinen, um den Trenngrad oder die individuellen Schichtdicken innerhalb des Stapels für eine optimale Spitzenreflektivität variieren zu können, und
    • 4) Auswählen von bestimmten relativ inerten Materialien als Deckschichten, um die Bildung hochabsorbierender Oberflächen-Oxidschichten vermeiden zu können.
  • Die verschiedenen Materialien, die zusätzlich zu Molybdän (Mo), Silizium (Si) und Beryllium (Be) bei der Erfindung eingesetzt werden können, sind hauptsächlich der Periode 5 der Tabelle des periodischen Systems der Elemente entnommen und umfassen: Rubidium (Rb), Rubidiumchlorid (RbCl), Rubidium-Bromid (RbBr), Strontium (Sr), Yttrium (Y), Zirkonium (Zr), Ruthenium (Ru), Rhodium (Rh), Palladium (Pd), Technetium (Tc), Phosphor (P), Bor (B) und Niobium (Nb). Legierungen und Verbindungen dieser Materialien können ebenfalls verwendet werden.
  • Weitere Materialien, die bei der Erfindung eingesetzt werden können, sind die Lanthaniden von Lanthan bis Lutetium, insbesondere jedoch Lanthan (La), Zer (Ce), Praseodym (Pr), Neodym (Nd), Samarium (Sm) und Europium (Eu). Diese und die anderen vorstehend genannten Lanthaniden können verwendet werden mit Phosphor (P), Niobium (Nb) und Antimon (Sb).
  • Zusätzlich zu den Reinelementen können Verbindungen der vorstehend genannten Materialien verwendet werden, insbesondere Boride, Carbide, Nitride, Phosphide, Halogenide (z.B. CsI). Legierungen der genannten Metalle, einschließlich der Elemente der Gruppe IIA, können ebenfalls für die Erfindung verwendet werden.
  • Weitere Materialien, die bei der Erfindung eingesetzt werden können, sind poröse Materialien niedriger Dichte, wie z.B. Siliziumdioxid, Titan und Aluminiumoxid-Aerogel; nanoporöses Silizium, mesoporöses Silizium, Nano-Silizium-Cluster und andere Halbleiter.
  • Bei erfindungsgemäßen Ausführungsformen kann in jede der Schichten bzw. können in alle Schichten andere Materialien oder Elemente eingefügt oder in diese eindiffundiert werden, z.B. um jede gewünschte Änderung hinsichtlich ihrer optischen, chemischen oder mechanischen Eigenschaften hervorrufen zu können.
  • Durch die Erfindung kann ein Reflektor geschaffen werden, der auf eine spezielle Strahlungsquelle optimiert ist, insbesondere im Wellenlängenbereich von 8 bis 16nm.
  • Ein vierter Aspekt der Erfindung schafft ein Verfahren zur Herstellung von Bauteilen, das folgende Schritte umfasst:
    Bereitstellen eines Substrats, das zumindest teilweise mit einer Schicht eines energieempfindlichen Materials überzogen ist;
    Bereitstellen einer Maske, welche ein Muster enthält;
    Verwenden eines Projektionsstrahls, um ein Bild von mindestens einem Teil des Maskenmusters auf einen Zielbereich der Schicht aus dem energieempfindlichen Material zu projizieren; dadurch gekennzeichnet,
    dass der Projektionsstrahl unter Verwendung eines einen Reflektor nach dem ersten erfindungsgemäßen Aspekt umfassenden Beleuchtungs- oder Projektionssystems bereitgestellt oder projiziert wird.
  • Bei einem Herstellungsverfahren, bei dem eine erfindungsgemäße Lithographie-Projektionsvorrichtung verwendet wird, wird ein Maskenmuster auf ein Substrat abgebildet, das zumindest teilweise mit einer Schicht eines energieempfindlichen Materials (Resist) überzogen ist. Vor diesem Abbildungsschritt kann das Substrat verschiedenen Prozeduren ausgesetzt sein, wie z.B. Grundieren, Resist-Überzug und leichtes Einbrennen. Nach der Belichtung kann das Substrat weiteren Prozeduren ausgesetzt werden, wie z.B. Nachbrennen nach der Belichtung (PEB), Entwicklung, intensives Einbrennen sowie Messen/Inspizieren der abgebildeten Strukturen. Diese Folge von Prozeduren wird als Basis verwendet, um eine einzelne Schicht eines Bauteils, z.B. eines Schaltkreises, mit einem Muster zu versehen. Eine derartige mit Muster versehene Schicht kann dann verschiedenen Prozeduren ausgesetzt sein, wie z.B. Ätzen, Metallisierung durch Ionenimplantation (Dotieren), Oxidation, chemomechanisches Polieren, etc., wobei all diese Prozeduren dazu dienen, eine einzelne Schicht fertig zu stellen. Sind mehrere Schichten erforderlich, ist die gesamte Prozedur, bzw. Varianten davon, für jede neue Schicht durchzuführen. Schließlich ist eine Gruppierung von Bauteilen auf dem Substrat (Wafer) vorhanden. Diese Bauteile wer den dann mittels eines Verfahrens wie z.B. „Dicen" oder Sägen voneinander getrennt, wodurch die einzelnen Bauteile auf einen Träger montiert, an Stifte (Pins) angeschlossen werden können, etc.. Weitere Informationen hinsichtlich derartiger Prozeduren können zum Beispiel dem Buch „Microchip Fabrication: A Practical Guide to Semiconductor Processing", 3. Auflage, von Peter van Zant, McGraw Hill Publishing Co., 1997, ISBN 0-07-067250-4 entnommen werden.
  • Auch wenn im vorliegenden Text ein spezieller Bezug auf die Anwendung der erfindungsgemäßen Vorrichtung bei der Herstellung von integrierten Schaltkreisen gegeben werden kann, sollte eindeutig klargestellt sein, dass eine derartige Vorrichtung viele weitere mögliche Anwendungsmöglichkeiten hat. Zum Beispiel kann sie bei der Herstellung integrierter optischer Systeme, Führungs- und Erfassungsmustern für Magnetblasenspeicher, Flüssigkristall-Anzeigetafeln, Dünnschicht-Magnetköpfen etc. eingesetzt werden. Der Fachmann wird erkennen, dass im Zusammenhang mit derartigen alternativen Anwendungsmöglichkeiten Begriffe wie „Retikel", „Wafer" oder „Die" im vorliegenden Text jeweils durch allgemein üblichere Begriffe wie „Maske", „Substrat" und „Zielbereich" ersetzt werden können.
  • Die vorliegende Erfindung und deren Vorteile werden nachstehend mit Bezug auf beispielhafte Ausführungsformen und die begleitenden schematischen Zeichnungen beschrieben, wobei:
  • 1 eine erfindungsgemäße Lithographie-Projektionsvorrichtung zeigt;
  • 2 eine graphische Darstellung der Schichtdicken eines mit 51 Perioden optimierten erfindungsgemäßen Mo/Si-Stapels ist;
  • 3 eine graphische Darstellung der Schichtdicken eines erfindungsgemäßen Mo-Ru/Si-Stapel mit 50 Perioden ist;
  • 4 eine graphische Darstellung von R9 über die Wellenlänge im 13,4nm-Bereich für verschiedene erfindungsgemäße Spiegel sowie einen herkömmlichen Spiegel zu Vergleichszwecken ist;
  • 5 eine graphische Darstellung der Schichtdicken eines erfindungsgemäßen Mo-Ru-Sr/Si-Stapel mit 50 Perioden ist;
  • 6 eine graphische Darstellung der Schichtdicken eines erfindungsgemäßen Mo-Ru-Sr/Si-Stapels in einer Nadeloptimierung für 50 Perioden ist;
  • 7 eine graphische Darstellung der Schichtdicken eines erfindungsgemäßen Ru-Sr/Be-Stapels mit 80 Perioden ist;
  • 8 eine graphische Darstellung von R9 über die Wellenlänge im 11,3nm-Bereich für verschiedene erfindungsgemäße Spiegel sowie einen herkömmlichen Spiegel zu Vergleichszwecken ist;
  • 9 eine graphische Darstellung von R9 über die Wellenlänge für verschiedene Stapel, sowohl herkömmlicher als auch erfindungsgemäßer Art, und der Emissionsintensität einer laserinduzierten Xe-Strahl-Plasmaquelle ist;
  • 10 eine graphische Darstellung von R und R9 über die Wellenlänge eines erfindungsgemäßen Rh-Ru/Sr-Ce-Stapels ist;
  • 11 eine graphische Darstellung von Schichtdicken eines optimierten erfindungsgemäßen Rh-Ru/Sr-Ce-Stapels ist; und
  • 12 eine graphische Darstellung von R über die Wellenlänge eines erfindungsgemäßen Rh-Ru/SiO2-Aerostapels ist.
  • In den Zeichnungen weisen gleiche Teile gleiche Bezugsziffern auf.
  • Ausführungsform 1
  • 1 ist die schematische Darstellung einer erfindungsgemäßen Lithographie-Projektionsvorrichtung. Die Vorrichtung umfasst:
    • • ein Strahlungssystem LA, IL zum Zuführen eines Projektionsstrahls PB mit EUV-Strahlung;
    • • einen ersten Objekttisch (Maskentisch) MT, der einen Maskenhalter zum Halten einer Maske MA (z.B. ein Retikel) aufweist und mit ersten Positionierungseinrichtungen PM zur genauen Positionierung der Maske gegenüber dem Element PL verbunden ist;
    • • einen zweiten Objekttisch (Substrattisch) WT, der einen Substrathalter zum Halten eines Substrats W (z.B. ein Resist-beschichteter Silizium-Wafer) aufweist und mit zweiten Positionierungseinrichtungen PW zur genauen Positionierung des Substrats gegenüber Element PL verbunden ist;
    • • ein Projektionssystem („Linse") PL (z.B. ein Brechungs- oder Katadioptrik-System oder ein Reflexionssystem) zum Abbilden eines beleuchteten Teils der Maske MA auf einen Zielbereich C (Die) des Substrats W.
  • Das Strahlungssystem umfasst eine Quelle LA (z.B. einen Undulator oder Wiggler, der um den Pfad eines Elektronenstrahls in einem Speicherring oder Synchrotron oder einer laserinduzierten Plasmaquelle vorgesehen ist), die einen Strahl erzeugt. Dieser Strahl verläuft entlang verschiedener optischer Bauteile, die in einem Beleuchtungssystem („Linse") IL enthalten sind, so dass der daraus resultierende Strahl PB so gesammelt wird, dass er an der Eintrittspupille und der Maske eine gleichförmige Beleuchtung abgibt.
  • Der Strahl PB trifft danach auf die Maske MA auf, die in einem Maskenhalter auf dem Maskentisch MT gehalten wird. Nachdem er selektiv von der Maske MA reflektiert worden ist, verläuft der Strahl PB durch die Linse PL, die den Strahl PB auf einen Zielbereich C des Substrats W fokussiert. Mit Hilfe erster Positioniereinrichtungen PW und den interferometrischen Verschiebungs-Messeinrichtungen IF kann der Substrattisch WT genau verschoben werden, z.B. so, dass er verschiedene Zielbereiche C im Pfad des Strahls PB positioniert. In gleicher Weise kann die Positioniereinrichtung PM zur genauen Positionierung der Maske MA gegenüber dem Pfad des Strahls PB verwendet werden, z.B. nachdem die Maske MA mechanisch aus einer Maskenbibliothek geholt worden ist. Im allgemeinen erfolgt die Bewegung der Objekttische MT, WT mit Hilfe eines langhubigen Moduls (Grobpositionierung) und eines kurzhubigen Moduls (Feinpositionierung), die in 1 nicht explizit dargestellt sind.
  • Die dargestellte Vorrichtung kann auf zwei verschiedene Arten benutzt werden:
    • • Im Schrittmodus wird der Maskentisch MT im wesentlichen stationär gehalten und ein ganzes Maskenbild in einem Schritt (d.h. durch einen einzelnen „Blitz") auf einen Zielbereich C projiziert. Der Substrattisch WT wird dann in x- und/oder y-Richtung verschoben, so dass ein anderer Zielbereich C durch den Strahl PB beleuchtet werden kann;
    • • Im Abtastmodus erfolgt im wesentlichen das gleiche Szenario, mit der Ausnahme, dass ein bestimmter Zielbereich C nicht mit einem einzelnen „Blitz" belichtet wird. Stattdessen ist der Maskentisch MT in einer vorgegebenen Richtung (der so genannten „Abtastrichtung", d.h. der x-Richtung) mit einer Geschwindigkeit v verschiebbar, so dass der Projektionsstrahl PB über ein Maskenbild tastet; gleichzeitig wird der Substrattisch WT in die gleiche oder entgegen gesetzte Richtung mit einer Geschwindigkeit V = Mv bewegt, wobei M die Vergrößerung der Linse PL ist (typischer weise ist M = 1/4 oder 1/5). Auf diese Weise kann ein relativ großer Zielbereich C belichtet werden, ohne dass bei der Auflösung Kompromisse geschlossen werden müssen.
  • Das Beleuchtungssystem IL kann so konstruiert sein, wie es in der anhängenden Europäischen Patentanmeldung 00300784.6 (Referenz der Anmelderin: P-0129) beschrieben ist.
  • Beispiele
  • Die im Folgenden beschriebenen Beispiele der Erfindung konnten anhand von Berechnungen erhalten werden, die mit Hilfe des Entwurfsprogramms für dünne Schichten TFCalc (Software Spectra Inc.) durchgeführt und mittels Lpro (4D Technology Ltd.) verifiziert wurden. Die eingebauten Global- und Nadeloptimierungsroutinen von TFCalc wurden für die Optimierungsprozesse benutzt, wie in A.V. Tikhonravov, Appl. Opt. 32, 5417 (1993), A.V. Tikhonravov, M.K. Trubetskov und GM. DeBell, Appl. Opt. 35, 5493 (1996) und J.A. Dobrowski und R.A. Kemp, Appl. Oopt. 29, 2876 (1990) beschrieben. Die optischen Konstanten der verschiedenen Materialien, d.h. der komplexe Brechungsindex N = n – ik sind auf die atomaren Streufaktoren von Henke et. al. zurückzuführen und wurden dem CXRO-Web-Server bei Berkeley (B.L. Henke, E.M. Gullikson und J.C. Davis, Atomic Data and Nuclear Data Tables, 54(2), 181–342 (1993); http://www.cxro.lbl.gov/optical constants/) entnommen. Die Werte von n und k für die verwendeten Materialien wurden als Funktionen der Wellenlänge von 6nm bis 42nm herunter geladen und als solches ist die Wellenlängenabhängigkeit von n und k bei allen Berechnungen inbegriffen. Die Werte von n und k für verschiedene Materialien bei einigen Wellenlängen besonderen Interesses sind in der nachfolgenden Tabelle 1 aufgeführt. Um die Leistungszunahme der erfindungsgemäßen Reflektoren demonstrieren zu können, setzen wir ideale „weiße" Lichtbeleuchtung in den folgenden Beispielen voraus.
  • Vergleichsbeispiel 1
  • Vergleichsbeispiel 1 ist ein mehrschichtiger Standard-Stapel auf Si-Basis, der ein unoptimiertes Mo/Si-System mit 50 Perioden umfasst, das auf einem Zerodur (RTM)-Glassubstrat gewachsen ist, wobei der Trenngrad Γ = 0.4, der eine dMo=2,8nm und eine dSi=4,1nm ergibt. Darüber hinaus wird vorausgesetzt, dass die endgültige Si-Schicht der Oxidation ausgesetzt wird und wirksam eine ~2nm-Schicht nativen Oxids bildet. Die Analyse eines derartigen Stapels erbringt eine Spitzenreflektivität bei ~13,4nm von R = 0,731. Dieser Stapel liefert den Bezugswert für Leistungsvergleiche von erfindungsgemäßen Stapeln.
  • Beispiele 2 bis 23
  • Die erfindungsgemäßen Beispiele 2 bis 23 bestehen aus Änderungen des Stapels des Bezugsbeispiels 1 wie in Tabelle 2 nachstehend genauer dargestellt. In Tabelle 2 enthält die Spalte 2 die bei den Stapelschichten verwendeten Materialien; Spalte 3 enthält die angewendete Optimierung: N bezeichnet keine, Y bezeichnet Globaloptimierung und Y(n) bezeichnet Nadeloptimierung (Beschreibung folgt zu einem späteren Zeitpunkt); Spalte 4 enthält die aufgebrachte Deckschicht; Spalte 5 enthält die Spitzenreflektivität R; Spalte 6 enthält die R9-Spitzenreflektivität in relativen Einheiten und Spalte 7 enthält die R9int-Reflektivität (integrierte) in relativen Einheiten.
  • Bei einem System mit 9 Reflektoren ist es sinnvoller, als Maß für den optischen Durchsatz den Wert R9 zu nehmen, was die Nettoreflektivität einer Serie von neun Reflektoren ist. R9int ist der Bereich unter der Kurve im R9 über das λ (Wellenlängen)-Spektrum. Die Änderung zwischen R9peak und R9int für einen bestimmten Stapel ist eine Angabe der Änderung der spektralen Halbwertsbreite, die eine Funktion des Optimierungsprozesses, oder der verwendeten Materialien oder der Deckschichtmaterialien oder irgend einer Kombination aus diesen dreien ist.
  • Die letzte Oberflächenschicht bei allen Beispielen 2 bis 20 ist eine 4,1–4,5nm Si-Schicht, auf welche die in Spalte 4 aufgeführte Deckschicht aufgebracht bzw. im Fall von SiO2 gewachsen ist. Durch das Wachsen von SiO2 wird die Si-Oberflächenschicht verbraucht, so dass im Falle von Beispiel 2 die beiden oberen Schichten 2nm Si betragen, die Reste der ungefähr 4nm Si-Schicht vor der Oxidation und die als letzte Schicht der Mehrfachschicht betrachtet werden kann, und 2nm SiO2. Die Beispiele 21 bis 23 enden mit einer 4,0 bis 4,4nm Rb-Schicht, auf welche die in Spalte 4 genannte Deckschicht aufgetragen wird.
  • Beispiel 2 ist ein unoptimierter Mo/Si-Stapel, bei dem ein 2nm natives Oxid auf einer oberen 6nm Si-Schicht wachsen darf (verglichen zu der oberen 4nm Schicht des Vergleichsbeispiels 1), was zu einem 1%igen Zuwachs an R, einem 13%igen Zuwachs an R9peak und einem 7%igen Zuwachs an R9int führt.
  • In Beispiel 3 ist ein 25%ger Zuwachs an R9int erreicht worden, indem eine 2nm B Deckschicht aufgegeben worden ist. Weitere Zunahmen in den Beispielen 4 bis 7 folgen, indem Rh oder Ru als Deckschicht gewählt und der Stapel optimiert wird. Ein Zuwachs von bis zu 36% für einen Zweikomponenten (Mo/Si)-Mehrschichtstapel kann durch Optimierung erreicht werden, wie durch Beispiel 7 dargestellt.
  • 2 zeigt die Schichtstruktur eines mit 51 Perioden (102 Schicht) optimierten Mo/Si-Stapels, mit einer 1,5nm Deckschicht. In der Figur ist die Schicht 0 die Substratoberfläche. Wie ersichtlich, führt die Optimierung des Mo/Si-Stapels zu einer graduellen, glatten Änderung der Schichtdicke durch den Stapel, während die Periodenbreite nominell konstant bei ca. 6,8 bis 7,0nm bleibt. In der Nähe des Substrats ändert sich dMo ≈ dSi ≈ 3,5nm zu dMo ≈ 2,7nm und dSi ≈ 4,2nm in der Nähe der Oberfläche. Bei dem in 2 dargestellten Stapel bleibt der Trenngrad Γ bei ca. 0,4 für die ersten 20 Perioden von der Oberfläche (eine Periode = zwei Schichten, d.h. eine Mo-Schicht und eine Si-Schicht) und ändert sich danach graduell auf ca. 0,5 beim Substrat. Somit scheint, dass je höher die Absorption im Material ist, umso geringer die Dicke nahe der Oberfläche ist, um ein optimales Reflektivitätsverhalten zu bekommen. Dieses Phänomen wird zu einem späteren Zeitpunkt näher erörtert.
  • Das Dreikomponentensystem der Beispiele 8 bis 12 ist zunächst als ein Zweikomponenten Mo/Si-Stapel eingerichtet worden, wobei das dritte Material zwischen der Mo- und der Si-Schicht angeordnet ist und eine Ausgangsdicke von Null aufweist. Durch den globalen Optimierungsprozess wird dann die Dicke aller Schichten variiert, bis sie sich einem vorab eingestellten Reflektivitätsziel annähern. Bei Mo-Rh/Si und Mo-Ru/Si wird Mo nahe der Oberfläche und Rh bzw. Ru nahe des Substrats bevorzugt, wohingegen beim Mo-RbCl/Si-System RbCl (eine einzelne Einheit) Si im Zentrum des Stapels teilweise ersetzen, d.h. die Summe der Dicke der benachbarten RbCl und Si-Schichten nähert sich der Dicke von Si in einem Standard-Stapel an. Die Schichtstruktur für den Mo-Ru/Si-Stapel ist in 3 dargestellt. Dieser Stapel weist 50 Si-Schichten auf, einschließlich der obersten Schicht, und weist daher 148 Schichten insgesamt auf, plus einer 1,5nm Ru-Deckschicht. In der Figur ist Schicht 0 die Substratoberfläche. Eine 50%ige Zunahme berechneten Durchsatzes ist für das Mo-Ru/Si-System gegenüber dem Standard Mo/Si-Stapel beobachtet worden.
  • Beispiel 12 zeigt eine weitere Verbesserung von R9int für das Mo-Ru/Si-System unter Verwendung der Nadeloptimierung. Bei der Nadeloptimierungsroutine werden dem Stapel zusätzliche Schichten bestimmter Materialien, in diesem Fall Mo, Ru und Rh mit verschwindend geringer Dicke, periodisch hinzugefügt. Diese Schichten dürfen dann gemäß einem lokalen Optimierungsprozess wachsen oder werden abgewiesen. Der nadeloptimierte Stapel enthält daher ebenfalls Rh und zusätzliche Mo-Schichten, wobei das Nettoergebnis davon ein 59%iger Zuwachs von R9int verglichen mit dem Standard-Stapel ist. Es sollte auch festgestellt werden, dass in diesem Fall R9int > R9peak, wobei die Spitzenreflektivität von 0,764 nur marginal geringer ist als beim Standardoptimierten Mo-Ru/Si-Stapel. Dies zeigt, dass sich aus dem Nadeloptimierungsprozess eine wesentlich größere spektrale Halbwertsbreite ergibt, wie aus 4 ersichtlich, die R9 über die Wellenlänge im 13,4nm Bereich zeigt. Die Linie A zeigt den Standard Mo/Si-Stapel, Bezugsbeispiel 1; B ist optimiertes Mo/Si, Beispiel 4; C ist Mo-Ru/Si nadeloptimiert, Beispiel 12; D ist Mo-Ru-Sr/Si nadeloptimiert, Beispiel 19 und E ist Mo/Rb optimiert, Beispiel 22.
  • Die Schichtfolge in den Dreikomponenten-Stapeln kann variiert werden. So kann z.B. Rh-Mo/Si anstelle von Mo-Rh-Si und Ru-Mo/Si anstelle von Mo-Ru/Si verwendet werden.
  • Die Vierkomponenten-Stapel, Beispiele 13 bis 20, sind in ähnlicher Weise aufgebaut wie die vorstehend beschriebenen Dreikomponenten-Stapel. Die beliebteste Kombination ist Mo-Ru-Sr/Si mit einem bis zu 88%igen Zuwachs an Ausgangsintensität. 5 zeigt die Schichtdicken (nm) eines Mo-Ru-Sr/Si-Stapels mit 50 Perioden und mit einer Ru-Deckschicht. Wie vorher bezeichnet die Schicht 0 die Substratobfläche. Wiederum ist innerhalb der ersten 50 Schichten des Substrats Ru vor Mo vorherrschend. Die Spitzen im Dickenprofil der Mo-Schicht zeigen Schichten an, bei denen die Ru-Schicht vollkommen durch Mo ersetzt worden ist, wie von der numerischen Optimierungstechnik vorgeschlagen. Dies ist für den Zuwachs in R9int nicht wesentlich, und die relevanten Mo-Schichten können durch Paare von Mo und Ru-Schichten ersetzt werden. Sr führt eine ähnliche Funktion für Si im Stapel aus, da es einen hohen Wert n und einen niedrigen Extinktionskoeffizienten k aufweist (siehe Tabelle 1). Die geringe Absorption innerhalb der Sr-Schichten bewirkt, dass es in der oberen Hälfte des Stapels bevorzugt wird. Wie beim vorstehend erörterten Mo-Ru/Si-Beispiel nähern sich die Summen der Dicken von Si und Sr und Ru und Mo jeweils den optimierten Si und Mo-Dicken gemäß 2 an. Die bevorzugte Reihenfolge der Elemente ist: Ru-Mo-Sr-Si. Die Gruppierung der Schichten kann ebenfalls variiert werden, so kann z.B. Ru-Mo-Sr/Si als Ru-Mo/Sr-Si zu Berechnungszwecken verwendet werden.
  • 6 zeigt die Schichtdicken eines nadeloptimierten Mo-Ru-Sr/Si-Stapels mit 50 Perioden (50 Si-Schichten). Rh ist nur in der unteren Hälfte des Stapels vorhanden und in den ersten 40 Schichten vorherrschend. In den untersten Schichten wird Rh Ru vorgezogen, da es ungeachtet seines höheren Extinktionskoeffizienten einen höheren optischen Kontrast zu Si bietet.
  • Sr und Y sind aufgrund der komplexen Chemie von Y und der hohen Reaktionsfähigkeit von Sr weniger leicht aufzutragen und werden somit weniger bevorzugt, zeigen jedoch immer noch Vorteile gegenüber dem herkömmlichen Stapel. Mo-Ru-Zr/Si und Mo-Ru-RbCl/Si sind besonders vielversprechend, wie die gleichen Schichten in der Reihenfolge Ru-Mo-Zr/Si und Ru-Mo-RbCl/Si.
  • Ein Vergleich der optischen Konstanten von Rb und Si (Tabelle 1) zeigt, dass Rb im Prinzip als Material für die Trennschicht geeigneter ist. Mit einem Wert von n bei 13,4nm ähnlich dem von Si (fast Eins) würde Rb den optischen Kontrast mit z.B. Mo und Ru aufrecht erhalten. Zusätzlich wird durch den unteren Wert des Extinktionskoeffizienten k, verglichen mit dem von Si, Rb zu einem beinahe optimalen Abstandsmaterial. Dies wird durch die Beispiele 21 bis 23 bekräftigt, wie aus Tabelle 2 zu ersehen ist. Eine Zunahme in der Spitzenreflektivität von 5% ist für den Mo/Rb-Stapel im Vergleich zu dem äquivalenten Mo/Si-Stapel zu finden, wobei ein Wert von R9int erreicht wird, der um mehr als Faktor 2 höher ist als der Standard Mo/Si-Stapel. Allerdings ergeben auf Rb basierende Systeme bauliche und betriebliche Schwierigkeiten aufgrund der hohen Reaktivität und des extrem niedrigen Schmelzpunktes (39°C) von Rb.
  • Bezugsbeispiel 24
  • Das Bezugsbeispiel 24 ist ein mehrschichtiger Stapel zur Verwendung bei 11,3nm, der ein unoptimiertes Mo/Be-System mit 80 Perioden umfasst, die auf einem Zerodur (RTM) Glassubstrat gewachsen sind, wobei der Trenngrad Γ = 0,4, der eine dMO=2,3nm und eine dBe=3,4nm ergibt. Dies ist der Bezugswert für die Beispiele 25 bis 40, die zur Benutzung auf 11,3nm abgestimmt sind.
  • Beispiele 25 bis 40
  • Tabelle 3 entspricht Tabelle 2, enthält jedoch Daten für die erfindungsgemäßen Beispiele 25 bis 40, die Reflektorstapel sind, die für die Verwendung bei 11,3nm abgestimmt sind.
  • Die Wirkungen der Optimierung und das Aufbringen von Deckschichten sind bei 11,3nm weniger interessant als bei 13,4nm, es ist nur eine 8%ige Verbesserung bei R9int geschaffen worden.
  • Jedoch werden Ru und Rh für das 11,3nm-Fenster Mo vorgezogen. Der Ru/Be-Stapel weist einen relativen optischen Durchsatz auf, der im Vergleich mit dem Bo/Be-Bezugsbeispiel um bis zu 70% größer ist, wohingegen der Durchsatz des Rh-Be-Stapels um 33% größer ist. Obwohl dies erheblich weniger ist als bei Ru/Be, kann diese Kombination bei einigen Anwendungsmöglichkeiten der Erfindung aufgrund von Faktoren wie z.B. der Chemie der Schichtgrenzen von Rh-Be- vorzuziehen sein.
  • Eine besonders bevorzugte Ausführungsform der Erfindung ist der nadeloptimierte Rh-Be-Stapel, der einen enormen Zuwachs an Reflektivität aufweist. Dies aufgrund der Aufnahme von Pd, Ru und Mo-Schichten während des Optimierungsprozesses, der ihn im Endeffekt in einen Rh-Ru-Pd-Mo/Be oder Pd-Rh-Ru-Mo/Be-Vielkomponentenstapel umwandelt.
  • Die Schichtdicken eines Ru-Sr/Be-Stapels mit 80 Perioden (80 Be-Schichten) sind gemäß 7 mit einer 1,5nm Ru-Schicht abgedeckt. Mit Ru/Sr-Be können ähnliche Ergebnisse erzielt werden. Wie vorher ist die Substratoberfläche bei Schicht 0 gezeigt. Aufgrund ihrer ähnlichen optischen Konstanten, führen Be und Sr ähnliche Funktionen im Stapel aus, wobei Ru nahe des Substrats vorherrscht. Die Summe der Be und Sr-Dicken nahe der Oberfläche beträgt ca. 4,1nm, wohingegen die Ru-Dicke ca. 1,7nm beträgt. Diese sind deutlich unterschiedlich als die Dicken des Mo/Be-Stapels, wobei Γ = 0,4. Dies ist so aufgrund des höheren Extinktionskoeffizienten von Ru, verglichen mit Mo, so dass eine geringere Ru-Dicke bevorzugt wird. Der Zuwachs beim Einsatz von Ru anstelle von Mo rührt von dem sich ergebenden Zuwachs des optischen Kontrasts zu Be her. Die bevorzugte Stapelperiode ist: Ru-Sr-Be.
  • 8 zeigt ausgewählten Spektren von auf Be basierenden Mehrfachschichten. In dieser Figur sind graphische Darstellungen von R9 über die Wellenlänge im 11,3nm-Bereich für fünf Stapel gezeigt. A ist der Mo/Be-Bezugsstapel, B ist ein optimierter Mo/Be-Stapel mit einer Ru-Deckschicht, C ist ein optimierter Ru/Be-Stapel, D ist ein nadeloptimierter Rh/Be-Stapel und E ist ein optimierter, mit Ru abgedeckter Ru-Sr/Be-Stapel.
  • Die Beispiele 35 bis 40 sind Strontium enthaltende Dreikomponentensysteme, die Durchsatzerhöhungen von bis zu einem Faktor 2 erzielen.
  • Als Deckschichten sind für diesen Wellenlängenbereich Rh und Ru optimal und ergeben einen Zuwachs von 0,7–1,0% an Ru.
  • Beispiele 41 bis 44
  • Die vorstehend beschriebene Berechnungsanalyse der verschiedenen Mehrschichtsysteme für den EUV-Bereich zwischen 11nm und 14nm könnte nahe legen, dass bedeutende Zunahmen der Spitzenreflektivitäten und der integrierten Reflektivitäten für ein optisches 9-Spiegelsystem möglich sind. Eine Kombination aus Deckschichtauswahl, Global- und Nadeloptimierungsroutinen und, was am wichtigsten ist, die Aufnahme zusätzlicher bzw. ersetzender Materialien in den Stapel scheint das Rezept für eine Erhöhung der Reflektivität zu sein. Metalle wie Rh und Ru, die im allgemeinen mit Hilfe verschiedener Vakuumbedampfungstechniken aufgebracht werden, schaffen Vorteile, insbesondere in Verbindung mit Be für den 11,3nm Bereich, wobei sie Mo in der theoretischen Ausführung überholen. Ferner ist es denkbar, dass durch die Verwendung der verschiedenen vorstehend erörterten Kombination Probleme der Schichtgrenzenrauhigkeit, die mit Mo/Si(Be) in Zusammenhang gebracht werden, in gewisser Weise gemindert werden können.
  • Zum Beispiel in den Mo-Rh/Si und Mo-Ru/Si-Stapeln konnten verbesserte Ergebnisse erzielt werden, wobei Rh(Ru) nahe des Substrats und umgekehrt nahe der Oberfläche gegenüber Mo vorherrscht. Dies kann deshalb sein, weil Rh und Ru bei 13,4nm einen höheren optischen Kontrast zu Si aufweisen als Mo, wohingegen der Extinktionskoeffizient k, und daher die Absorption innerhalb der Schicht, für Mo niedriger ist als für Rh und Ru. In der Nähe der Stapeloberfläche ist es wichtig, dass dort eine geringe Absorption herrscht, so dass die auffallende Strahlung so tief wie möglich in den Stapel eindringt, um die maximale Phasenaddition zu erreichen. Allerdings wird tief im Stapel, wo die Intensität gering ist, ein stärkerer optischer Kontrast bevorzugt, um eine maximale reflektierte Intensität zu erreichen.
  • Wenn Sr in die Struktur aufgenommen ist, wird es bevorzugt im Bereich nahe der Oberfläche des Stapels angeordnet und ersetzt teilweise Si. Dies kann durch ähnliche Argumente erklärt werden, der Wert von n ist für Sr geringer als der für Si und während der optische Kontrast mit den niedrigen n Materialien leicht verringert wird, der untere Wert von k für Sr verglichen mit Si (siehe Tabelle 1) bedeutet, dass die Absorption innerhalb der Schicht geringer ist, wodurch Sr nahe der Stapeloberfläche bevorzugt ist. Die für auf Be basierende Stapel erhaltenen Daten für den 11,3nm Betrieb zeigen, dass ähnliche Wirkungen auftreten.
  • Die Beispiele 41 bis 44 sind für die Verwendung mit einer Xenon-Strahl laserinduzierten Plasmaquelle (Xe-Jet LPS) entwickelt worden, die eine Spitzenausgangsintensität bei ca. 10,9nm aufweist, leicht unter dem Bereich, für den die vorstehend beschriebenen Reflektoren entwickelt worden sind.
  • 9 zeigt die R9 Reflektivitäten (linke Achse) verschiedener Reflektoren und die relative Xe-Jet LPS Strahlungsintensität (rechte Achse) über die Wellenlänge in nm (X-Achse). In 9 bedeutet:
    • (a) die Spektralempfindlichkeit des herkömmlichen unoptimierten Mo/Si-Stapels, die als Bezug für relative Reflektivitätszahlen verwendet wird;
    • (b) ein optimierter Mo/Si-Stapel ähnlich dem vorstehenden Beispiel 7;
    • (c) ein optimierter Rh-Ru-Mo/Sr-Si-Stapel;
    • (d) ein herkömmlicher unoptimierter Mo/Be-Stapel ähnlich dem vorstehenden Beispiel 24;
    • (e) ein optimierter Rh-Mo/Be-Stapel ähnlich dem vorstehenden Beispiel 40;
    • (f) ein optimierter Pd-Rh-Ru-Mo/Be-Stapel;
    • (g) ein optimierter Pd-Rh-Ru/RbCl-Stapel, der das erfindungsgemäße Beispiel 41 bildet;
    • (h) ein optimierter Rh-Ru/P-Stapel, der das erfindungsgemäße Beispiel 42 bildet; und
    • (i) ein optimierter Rh-Ru/Sr-Stapel, der das erfindungsgemäße Beispiel 43 bildet.
  • Obwohl die Beispiele 41 bis 43 einen niedrigeren R9peak und R9int als andere vorstehend beschriebene Beispiele aufweisen, bieten sie den Vorteil, dass ihre Spitzenreflektivität dem Emissionsmaximum des Xe-Jet LPS sehr nahe kommt. Somit sind sie ideal für eine Verwendung mit dieser Quelle. Nimmt man den Durchsatz des unoptimierten Mo/Si-Stapels als 1,0, schaffen die Beispiele 41(g), 42(h) und 43(i) jeweils relative Durchsätze von 3,0, 5,7 und 6,5. Dies lässt sich auch gut vergleichen mit dem Durchsatz des Mo/Be-Stapels (d), der bei 5,7 liegt und die Verwendung von Be, das hochgiftig ist, vermeidet.
  • Weitere Verbesserungen der Spitzenreflektivität mit Werten von mehr als 0,75 im 9,0 bis 12nm-Bereich können bei Vierkomponentenstapeln erreicht werden, die P und Sr, z.B. Rh-Ru/P-Sr kombinieren.
  • Eine weitere Verbesserung liefert Beispiel 44. Beispiel 44 ist ein nadeloptimierter Rh-Ru/Sr-Ce-Stapel mit einer Spitzenreflektivität von R=0,776 bei 10,9nm. 10 zeigt die gesamte Wellenlängenabhängigkeit von R (linke Achse) und R9 (rechte Achse) von Beispiel 44 im 10 bis 12nm-Bereich. 11 zeigt Schichtdicken in diesem Stapel.
  • Beispiele 45 bis 48
  • Einige weitere alternative Stapelkonfigurationen sind in Tabelle 4 gezeigt. In dieser Tabelle ist das Beispiel 45 ein Dreischichtstapel aus Ru-Nb/Si, was zeigt, dass Niobium einen auf Si basierenden Stapel ebenfalls verbessern kann, ansonsten ist es das gleiche wie bei den Beispielen 8 bis 12 von Tabelle 2.
  • Für eine Verwendung bei 12,8nm können unterschiedliche Mehrfachschichten optimal sein. Zwei derartige Mehrfachschichten sind das Beispiel 47 und 48 von Tabelle 5. Mit 46 ist der Wert für R einer herkömmlichen Mo/Si-Schicht (Äquivalent zu Vergleichsbeispiel 1) bei 12,8nm bezeichnet. Es ist leicht zu ersehen, dass durch das Hinzufügen von Ru, welches Mo teilweise ersetzt, die Reflektivität bei dieser Frequenz verbessert wird, während die Verwendung von Beryllium als Abstandsmaterial, welches Silizium teilweise ersetzt, weitere Verbesserungen bietet.
  • Im allgemeinen können die Lanthaniden (seltene Erdmetalle) einen guten optischen Kontrast zu Metallen wie Mo, Ru und Rh schaffen und können für Reflektoren, die näher am Substrat sind, bevorzugt werden. An dieser Stelle wird ein optischer Kontrast geschaffen, weil die Lanthaniden einen Brechungsindex n aufweisen, der Eins sehr nahe kommt, wodurch der Nachteil ausgeglichen wird, dass die Werte ihrer Extinktionskoeffizienten k nicht so niedrig sind wie einige andere Materialien im 9–16nm Bereich. Lanthan wird insbesondere bei oder um 13nm bevorzugt.
  • Weitere alternative Abstandshalter, die bei der Erfindung eingesetzt werden könnten, sind poröse Materialien wie z.B. poröses Siliziumdioxid geringer Dichte (Aerogel) mit einer Dichte von ca. einem Zehntel derjenigen von festem Siliziumdioxid. 12 zeigt die Wellenlängenempfindlichkeit eines Rh-Ru/SiO2-Aerostapels, der solch poröses Siliziumdioxid verwendet. Seine relative breite Reflexionsspitze unter 11nm ist festzustellen. Weitere Materialien geringer Dichte, die verwendet werden können, sind: Titan- und Aluminium-Aerogele; nanoporöses Silizium, mesoporöses Silizium; Nanocluster aus Silizium und andere Halbleiter. Diese Materialien können zur Herstellung von Reflektoren verwendet werden, die auf spezifische Wellenlängen durch den 8 bis 20nm Wellenlangenbereich abgestimmt sind. Die Materialien können verwendet werden, da die Werte für n und k von der Dichte abhängen. Mit abnehmender Dichte geht der Brechungsindex n auf Eins und der Extinktionskoeffizient k auf Null. Die Dichte eines typischen Si-Aerogels beträgt 0,2gcm–3, während die von porösem Si 1,63gcm–3 beträgt.
  • Weitere Einzelheiten über Deckschichten, die bei Reflektoren gemäß der vorliegenden Erfindung eingesetzt werden können, sind in einer anhängigen Anmeldung EP-1 065 568A mit dem Titel „Capping Layer for EUV Optical Elements" (Zeichen der Anmelderin P-0150.030) beschrieben.
  • Obwohl im Vorstehenden wesentliche Ausführungsformen der Erfindung beschrieben worden sind, ist zu erkennen, dass die Erfindung auch anders als beschrieben durchgeführt werden kann. Die Beschreibung soll die Erfindung nicht einschränken.
  • Tabelle 1
    Figure 00230001
  • Tabelle 2
    Figure 00240001
  • Tabelle 3
    Figure 00250001
  • Tabelle 4
    Figure 00260001

Claims (11)

  1. Reflektor zum Reflektieren von Strahlung im extremen ultravioletten Wellenlängenbereich, wobei der Reflektor einen Stapel abwechselnder Schichten eines ersten und eines zweiten Materials umfasst, wobei das erste Material einen niedrigeren realen Brechungsindex in dem Wellenlängenbereich als das zweite Material aufweist, gekennzeichnet durch mindestens eine in den Stapel eingefügte Schicht eines dritten Materials, wobei das dritte Material aus der Gruppe gewählt ist, die Rb, RbCl, RbBr, Sr, Y, Zr, Ru, Rh, Tc, Pd, Nb und Be sowie Legierungen und Verbindungen dieser Materialien umfasst.
  2. Reflektor nach Anspruch 1, wobei eine Schicht des dritten Materials zwischen jedes Schichtenpaar des ersten und zweiten Materials eingefügt ist.
  3. Reflektor nach Anspruch 1 oder 2, ferner umfassend mindestens eine in den Stapel eingefügte Schicht eines vierten Materials, wobei das vierte Material aus der Gruppe gewählt ist, die Rb, RbCl, RbBr, Sr, Y, Zr, Ru, Rh, Tc, Pd, Nb und Be sowie Legierungen und Verbindungen derartiger Materialien umfasst.
  4. Reflektor nach Anspruch 1, 2 oder 3, wobei das erste Material aus der Gruppe gewählt ist, die Mo, Ru und Rh umfasst, und wobei das zweite Material aus der Gruppe gewählt ist, die Si, Be, P, Sr, Rb oder RbCl umfasst.
  5. Reflektor nach einem der vorhergehenden Ansprüche, ferner umfassend eine Deckschicht eines im Vergleich zu den zweiten und dritten Schichten relativ ierten Materials.
  6. Reflektor nach Anspruch 5, wobei das relativ inerte Material aus der Gruppe gewählt ist, die diamantartigen Kohlenstoff (C), Bornitrid (Bn), Siliziumnitrid (Si3N4), Siliziumcarbid (SiC), B, Ru und Rh sowie Verbindungen und Legierungen hiervon umfasst.
  7. Reflektor nach Anspruch 5 oder 6, wobei die Deckschicht eine Dicke im Bereich von 0,5 bis 3 nm aufweist, vorzugsweise im Bereich von 1 bis 2 nm.
  8. Reflektor nach einem der vorhergehenden Ansprüche, wobei der Reflektor ein Spitzenreflexionsvermögen im Bereich von 8 bis 20 nm, insbesondere 9 bis 16 nm, aufweist.
  9. Reflektor nach einem der vorhergehenden Ansprüche, wobei das zweite Material ein Porösmaterial niedriger Dichte umfasst, wie etwa Aerogele aus Siliziumoxid, Titanoxid und Aluminiumoxid, nanoporöses Silizium, mesoporöses Silizium, Nanocluster aus Silizium und andere Halbleiter.
  10. Lithographie-Projektionsvorrichtung, umfassend: ein Beleuchtungssystem (IL) zur Bereitstellung eines Projektionsstrahls, einen ersten Objekttisch (MT), welcher mit einem Maskenhalter zum Halten einer Maske ausgeführt ist, einen zweiten Objekttisch (WT), welcher mit einem Substrathalter zum Halten eines Substrats ausgeführt ist, und ein Projektionssystem (PL) zum Abbilden eines beleuchteten Teils der Maske auf einen Zielbereich des Substrates, dadurch gekennzeichnet, dass das Beleuchtungssystem (IL) oder/und das Projektionssystem (PL) einen Reflektor nach einem der vorhergehenden Ansprüche umfassen.
  11. Bauteilherstellungsverfahren, umfassend die Schritte: Bereitstellen eines Substrats (W), das zumindest teilweise mit einer Schicht eines energieempfindlichen Materials überzogen ist, Bereitstellen einer Maske (MA), welche ein Muster enthält, Verwenden eines Projektionsstrahls (PB), um ein Bild von mindestens einem Teil des Maskenmusters auf einen Zielbereich (C) der Schicht aus dem energieempfindlichen Material zu projizieren, dadurch gekennzeichnet, dass der Projektionsstrahl unter Verwendung eines einen Reflektor nach einem der Ansprüche 1 bis 9 umfassenden Beleuchtungs- oder Projektionssystems (IL, PL) bereitgestellt oder projiziert wird.
DE60018328T 1999-07-02 2000-06-28 Mehrschichtiger Spiegel mit erhöhter Reflektivität für Extrem-Ultraviolett-Strahlung und lithographische Projektionsvorrichtung mit einem solchen Spiegel Expired - Lifetime DE60018328T2 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
EP99305283 1999-07-02
EP99305283 1999-07-02
EP99307932 1999-10-07
EP99307932 1999-10-07

Publications (2)

Publication Number Publication Date
DE60018328D1 DE60018328D1 (de) 2005-04-07
DE60018328T2 true DE60018328T2 (de) 2006-04-06

Family

ID=26153524

Family Applications (2)

Application Number Title Priority Date Filing Date
DE60036510T Expired - Lifetime DE60036510T2 (de) 1999-07-02 2000-06-28 EUV-lithographische Projektionsvorrichtung mit einem optischen Element mit Deckschicht
DE60018328T Expired - Lifetime DE60018328T2 (de) 1999-07-02 2000-06-28 Mehrschichtiger Spiegel mit erhöhter Reflektivität für Extrem-Ultraviolett-Strahlung und lithographische Projektionsvorrichtung mit einem solchen Spiegel

Family Applications Before (1)

Application Number Title Priority Date Filing Date
DE60036510T Expired - Lifetime DE60036510T2 (de) 1999-07-02 2000-06-28 EUV-lithographische Projektionsvorrichtung mit einem optischen Element mit Deckschicht

Country Status (6)

Country Link
US (4) US6724462B1 (de)
EP (3) EP1065568B1 (de)
JP (2) JP3652221B2 (de)
KR (2) KR100599940B1 (de)
DE (2) DE60036510T2 (de)
TW (2) TWI267704B (de)

Families Citing this family (154)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI267704B (en) * 1999-07-02 2006-12-01 Asml Netherlands Bv Capping layer for EUV optical elements
US7261957B2 (en) 2000-03-31 2007-08-28 Carl Zeiss Smt Ag Multilayer system with protecting layer system and production method
US20070281109A1 (en) * 2000-03-31 2007-12-06 Carl Zeiss Smt Ag Multilayer system with protecting layer system and production method
DE10016008A1 (de) 2000-03-31 2001-10-11 Zeiss Carl Villagensystem und dessen Herstellung
JP5371162B2 (ja) * 2000-10-13 2013-12-18 三星電子株式会社 反射型フォトマスク
US6479830B1 (en) 2000-11-01 2002-11-12 Trw Inc. Low-sputter-yield coating for hardware near laser-produced plasma
US6664554B2 (en) * 2001-01-03 2003-12-16 Euv Llc Self-cleaning optic for extreme ultraviolet lithography
US6576912B2 (en) * 2001-01-03 2003-06-10 Hugo M. Visser Lithographic projection apparatus equipped with extreme ultraviolet window serving simultaneously as vacuum window
CN1256628C (zh) * 2001-04-17 2006-05-17 皇家菲利浦电子有限公司 远紫外线可透过的界面结构
US7843632B2 (en) * 2006-08-16 2010-11-30 Cymer, Inc. EUV optics
US7439530B2 (en) * 2005-06-29 2008-10-21 Cymer, Inc. LPP EUV light source drive laser system
US7405416B2 (en) * 2005-02-25 2008-07-29 Cymer, Inc. Method and apparatus for EUV plasma source target delivery
US7372056B2 (en) * 2005-06-29 2008-05-13 Cymer, Inc. LPP EUV plasma source material target delivery system
US7378673B2 (en) * 2005-02-25 2008-05-27 Cymer, Inc. Source material dispenser for EUV light source
KR100725859B1 (ko) * 2001-05-23 2007-06-08 학교법인 한양학원 극자외선 노광 공정용 Ru/Mo/Si 반사형 다층 박막미러
US20030064161A1 (en) * 2001-06-06 2003-04-03 Malinowski Michael E. Method for reducing carbon contamination of multilayer mirrors
TWI266959B (en) 2001-06-20 2006-11-21 Asml Netherlands Bv Device manufacturing method, device manufactured thereby and a mask for use in the method
ATE374425T1 (de) * 2001-07-03 2007-10-15 Euv Llc Zweilagige schutzschicht
US20030008148A1 (en) 2001-07-03 2003-01-09 Sasa Bajt Optimized capping layers for EUV multilayers
DE10150874A1 (de) 2001-10-04 2003-04-30 Zeiss Carl Optisches Element und Verfahren zu dessen Herstellung sowie ein Lithographiegerät und ein Verfahren zur Herstellung eines Halbleiterbauelements
KR100454081B1 (ko) * 2001-12-24 2004-10-20 학교법인 한양학원 반사형 다층 박막 미러 및 그 제조 방법
US6920199B2 (en) * 2002-02-20 2005-07-19 Gkss-Forschungszentrum Geesthacht Gmbh Mirror element for the reflection of x-rays
DE10208705B4 (de) * 2002-02-25 2008-10-30 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Monochromatorspiegel für den EUV-Spektralbereich
EP1351258B1 (de) * 2002-03-04 2005-11-16 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Methode zur Auswahl der Schichtdicken eines reflektierenden Elements für elektromagnetische Strahlung im extremen ultravioletten Bereich
US20050111083A1 (en) * 2002-03-27 2005-05-26 Yakshin Andrey E. Optical broad band element and process for its production
KR100455383B1 (ko) * 2002-04-18 2004-11-06 삼성전자주식회사 반사 포토마스크, 반사 포토마스크의 제조방법 및 이를이용한 집적회로 제조방법
TWI227380B (en) 2002-06-06 2005-02-01 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
EP1369744A1 (de) * 2002-06-06 2003-12-10 ASML Netherlands B.V. Lithographischer Apparat und Verfahren zur Herstellung einer Vorrichtung
TWI249651B (en) * 2002-06-14 2006-02-21 Asml Netherlands Bv EUV lithographic projection apparatus comprising an optical element with a self-assembled monolayer, optical element with a self-assembled monolayer, method of applying a self-assembled monolayer, device manufacturing method and device manufactured there
DE10235255B4 (de) 2002-08-01 2004-08-12 Infineon Technologies Ag Reflektierender Spiegel zur lithographischen Belichtung und Herstellungsverfahren
EP1394815B1 (de) * 2002-08-28 2011-06-22 ASML Netherlands B.V. Lithographiegerät mit einem Mo/Si Mehrfachschichtenspiegel mit einer Schutzschicht
KR100566144B1 (ko) * 2002-08-28 2006-03-30 칼 짜이스 에스엠테 아게 리소그래피장치 및 디바이스 제조방법
US6763086B2 (en) * 2002-09-05 2004-07-13 Osmic, Inc. Method and apparatus for detecting boron in x-ray fluorescence spectroscopy
DE10258709A1 (de) * 2002-12-12 2004-07-01 Carl Zeiss Smt Ag Schutzsystem für reflektive optische Elemente, reflektives optisches Element und Verfahren zu deren Herstellung
US6982133B2 (en) * 2002-12-21 2006-01-03 Intel Corporation Damage-resistant coatings for EUV lithography components
TWI286674B (en) 2002-12-27 2007-09-11 Asml Netherlands Bv Container for a mask, method of transferring lithographic masks therein and method of scanning a mask in a container
DE10309084A1 (de) 2003-03-03 2004-09-16 Carl Zeiss Smt Ag Reflektives optisches Element und EUV-Lithographiegerät
US7126671B2 (en) 2003-04-04 2006-10-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
DE10319005A1 (de) * 2003-04-25 2004-11-25 Carl Zeiss Smt Ag Reflektives optisches Element, optisches System und EUV-Lithographievorrichtung
JP4521696B2 (ja) * 2003-05-12 2010-08-11 Hoya株式会社 反射多層膜付き基板及び反射型マスクブランクス並びに反射型マスク
US7499149B2 (en) 2003-06-24 2009-03-03 Asml Netherlands B.V. Holographic mask for lithographic apparatus and device manufacturing method
EP1496521A1 (de) * 2003-07-09 2005-01-12 ASML Netherlands B.V. Spiegel und Lithographiegerät mit Spiegel
US7456932B2 (en) 2003-07-25 2008-11-25 Asml Netherlands B.V. Filter window, lithographic projection apparatus, filter window manufacturing method, device manufacturing method and device manufactured thereby
US6998202B2 (en) * 2003-07-31 2006-02-14 Intel Corporation Multilayer reflective extreme ultraviolet lithography mask blanks
JP2005056943A (ja) * 2003-08-08 2005-03-03 Canon Inc X線多層ミラーおよびx線露光装置
US7420653B2 (en) * 2003-10-02 2008-09-02 Asml Netherlands B.V. Lithographic projection apparatus, mirror, method of supplying a protective cap layer, device manufacturing method and device manufactured accordingly
EP1675164B2 (de) * 2003-10-15 2019-07-03 Nikon Corporation Mehrschichtiger filmreflexionsspiegel, herstellungsverfahren für einen mehrschichtigen filmreflexionsspiegel und belichtungssystem
EP1624467A3 (de) 2003-10-20 2007-05-30 ASML Netherlands BV Lithographiegerät und Verfahren zur Herstellung eines Bauteils
US20050109278A1 (en) * 2003-11-26 2005-05-26 Ted Liang Method to locally protect extreme ultraviolet multilayer blanks used for lithography
US7460206B2 (en) * 2003-12-19 2008-12-02 Carl Zeiss Smt Ag Projection objective for immersion lithography
US7081992B2 (en) 2004-01-16 2006-07-25 Euv Llc Condenser optic with sacrificial reflective surface
US7193228B2 (en) 2004-03-10 2007-03-20 Cymer, Inc. EUV light source optical elements
JP4693395B2 (ja) * 2004-02-19 2011-06-01 Hoya株式会社 反射型マスクブランクス及び反射型マスク並びに半導体装置の製造方法
US7196342B2 (en) * 2004-03-10 2007-03-27 Cymer, Inc. Systems and methods for reducing the influence of plasma-generated debris on the internal components of an EUV light source
JP4566791B2 (ja) * 2004-03-26 2010-10-20 キヤノン株式会社 軟x線多層膜反射鏡
JP4532991B2 (ja) * 2004-05-26 2010-08-25 キヤノン株式会社 投影光学系、露光装置及びデバイス製造方法
US7491478B2 (en) * 2004-07-23 2009-02-17 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20060024589A1 (en) * 2004-07-28 2006-02-02 Siegfried Schwarzl Passivation of multi-layer mirror for extreme ultraviolet lithography
DE102004043516A1 (de) 2004-09-08 2006-03-23 Osram Opto Semiconductors Gmbh Seitlich emittierendes strahlungserzeugendes Bauelement und Linse für ein solches Bauelement
US7092290B2 (en) * 2004-11-16 2006-08-15 Sandisk Corporation High speed programming system with reduced over programming
DE102004062289B4 (de) * 2004-12-23 2007-07-19 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Thermisch stabiler Multilayer-Spiegel für den EUV-Spektralbereich
US7701554B2 (en) * 2004-12-29 2010-04-20 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method, and optical component
US7196343B2 (en) * 2004-12-30 2007-03-27 Asml Netherlands B.V. Optical element, lithographic apparatus including such an optical element, device manufacturing method, and device manufactured thereby
US7450217B2 (en) 2005-01-12 2008-11-11 Asml Netherlands B.V. Exposure apparatus, coatings for exposure apparatus, lithographic apparatus, device manufacturing method, and device manufactured thereby
US7449703B2 (en) * 2005-02-25 2008-11-11 Cymer, Inc. Method and apparatus for EUV plasma source target delivery target material handling
US7482609B2 (en) * 2005-02-28 2009-01-27 Cymer, Inc. LPP EUV light source drive laser system
US7336416B2 (en) * 2005-04-27 2008-02-26 Asml Netherlands B.V. Spectral purity filter for multi-layer mirror, lithographic apparatus including such multi-layer mirror, method for enlarging the ratio of desired radiation and undesired radiation, and device manufacturing method
US7750326B2 (en) 2005-06-13 2010-07-06 Asml Netherlands B.V. Lithographic apparatus and cleaning method therefor
US7495743B2 (en) * 2005-09-30 2009-02-24 International Business Machines Corporation Immersion optical lithography system having protective optical coating
US7948675B2 (en) 2005-10-11 2011-05-24 Nikon Corporation Surface-corrected multilayer-film mirrors with protected reflective surfaces, exposure systems comprising same, and associated methods
JP5045144B2 (ja) * 2007-02-22 2012-10-10 株式会社ニコン 多層膜反射鏡、露光装置、デバイス製造方法、及び多層膜反射鏡の製造方法
KR101310525B1 (ko) * 2005-10-11 2013-09-23 가부시키가이샤 니콘 다층막 반사경, 다층막 반사경의 제조 방법, 광학계, 노광장치 및 디바이스의 제조 방법
US7453077B2 (en) * 2005-11-05 2008-11-18 Cymer, Inc. EUV light source
JP2007134464A (ja) * 2005-11-09 2007-05-31 Canon Inc 多層膜を有する光学素子及びそれを有する露光装置
JP2007140105A (ja) * 2005-11-18 2007-06-07 Nikon Corp 多層膜反射鏡及び露光装置
JP2007234822A (ja) * 2006-02-28 2007-09-13 Canon Inc 露光装置及びその制御方法並びにデバイス製造方法
JP4946296B2 (ja) 2006-03-30 2012-06-06 凸版印刷株式会社 反射型フォトマスクブランク及びその製造方法、反射型フォトマスク、並びに、半導体装置の製造方法
JP5200327B2 (ja) * 2006-03-31 2013-06-05 凸版印刷株式会社 反射型フォトマスクブランク及びその製造方法、反射型フォトマスク及びその製造方法、並びに、極端紫外光の露光方法
US7736820B2 (en) * 2006-05-05 2010-06-15 Asml Netherlands B.V. Anti-reflection coating for an EUV mask
US7541603B2 (en) * 2006-09-27 2009-06-02 Asml Netherlands B.V. Radiation system and lithographic apparatus comprising the same
US7723704B2 (en) * 2006-11-10 2010-05-25 Globalfoundries Inc. EUV pellicle with increased EUV light transmittance
JP4365855B2 (ja) * 2006-12-21 2009-11-18 三菱電機株式会社 車両用操舵装置
TWI427334B (zh) * 2007-02-05 2014-02-21 Zeiss Carl Smt Gmbh Euv蝕刻裝置反射光學元件
US7663127B2 (en) * 2007-03-13 2010-02-16 Globalfoundries Inc. EUV debris mitigation filter and method for fabricating semiconductor dies using same
JP2008263173A (ja) * 2007-03-16 2008-10-30 Canon Inc 露光装置
EP2053463B1 (de) 2007-10-23 2011-06-08 Imec Erkennung von Kontaminationen in EUV-Systemen
NL1036469A1 (nl) 2008-02-27 2009-08-31 Asml Netherlands Bv Optical element, lithographic apparatus including such an optical element, device manufacturing method, and device manufactured thereby.
TWI425252B (zh) * 2008-03-26 2014-02-01 Nat Applied Res Laboratories 反射膜及其製成方法
KR100972863B1 (ko) * 2008-04-22 2010-07-28 주식회사 하이닉스반도체 극자외선 리소그라피 마스크 및 그 제조 방법
DE102009001488A1 (de) 2008-05-21 2009-11-26 Asml Netherlands B.V. Entfernen von Kontaminationen von optischen Oberflächen durch aktivierten Wasserstoff
WO2009147014A1 (en) * 2008-06-04 2009-12-10 Asml Netherlands B.V. Multilayer mirror and lithographic apparatus
DE102008002403A1 (de) * 2008-06-12 2009-12-17 Carl Zeiss Smt Ag Verfahren zum Herstellen einer Mehrlagen-Beschichtung, optisches Element und optische Anordnung
US8693090B2 (en) 2008-07-07 2014-04-08 Koninklijke Philips N.V. Extreme UV radiation reflecting element comprising a sputter-resistant material
EP2157584A3 (de) * 2008-08-14 2011-07-13 ASML Netherlands B.V. Strahlungsquelle, Lithografiegerät und Herstellungsverfahren für ein Bauteil
NL2003363A (en) * 2008-09-10 2010-03-15 Asml Netherlands Bv Lithographic apparatus, method of manufacturing an article for a lithographic apparatus and device manufacturing method.
DE102009017095A1 (de) * 2009-04-15 2010-10-28 Carl Zeiss Smt Ag Spiegel für den EUV-Wellenlängenbereich, Projektionsobjektiv für die Mikrolithographie mit einem solchen Spiegel und Projektionsbelichtungsanlage für die Mikrolithographie mit einem solchen Projektionsobjektiv
EP2348348B1 (de) * 2009-08-28 2014-11-19 European Space Agency Verfahren zum Aufbau eines Spiegelplattenstapels
NL2005460A (en) * 2009-11-20 2011-05-23 Asml Netherlands Bv Multilayer mirror, lithographic apparatus, and methods for manufacturing a multilayer mirror and a product.
KR20130007534A (ko) 2009-12-04 2013-01-18 아사히 가라스 가부시키가이샤 Euv 리소그래피용 광학 부재 및 euv 리소그래피용 반사층 부착 기판의 제조 방법
WO2011071086A1 (ja) 2009-12-09 2011-06-16 旭硝子株式会社 Euvリソグラフィ用光学部材
CN102621815B (zh) * 2011-01-26 2016-12-21 Asml荷兰有限公司 用于光刻设备的反射光学部件及器件制造方法
NL2008391A (en) 2011-04-04 2012-10-08 Asml Netherlands Bv Radiation source-collector and lithographic apparatus.
DE102011076011A1 (de) * 2011-05-18 2012-11-22 Carl Zeiss Smt Gmbh Reflektives optisches Element und optisches System für die EUV-Lithographie
WO2012171674A1 (en) * 2011-06-15 2012-12-20 Asml Netherlands B.V. Multilayer mirror, method of producing a multilayer mirror and lithographic apparatus
DE102011083461A1 (de) 2011-09-27 2013-03-28 Carl Zeiss Smt Gmbh Verfahren zum Erzeugen einer Deckschicht aus Siliziumoxid an einem EUV-Spiegel
DE102011083462A1 (de) 2011-09-27 2013-03-28 Carl Zeiss Smt Gmbh EUV-Spiegel mit einer Oxynitrid-Deckschicht mit stabiler Zusammensetzung
US8749179B2 (en) * 2012-08-14 2014-06-10 Kla-Tencor Corporation Optical characterization systems employing compact synchrotron radiation sources
US10185234B2 (en) * 2012-10-04 2019-01-22 Asml Netherlands B.V. Harsh environment optical element protection
DE102012219545A1 (de) * 2012-10-25 2014-04-30 Carl Zeiss Smt Gmbh Projektionsbelichtungssystem für EUV-Lithographie und Verfahren zum Betreiben des Projektionsbelichtungssystems
DE102012222466A1 (de) * 2012-12-06 2014-06-12 Carl Zeiss Smt Gmbh Reflektives optisches Element für die EUV-Lithographie
US20140158914A1 (en) * 2012-12-11 2014-06-12 Sandia Corporation Optical component with blocking surface and method thereof
US20140168758A1 (en) * 2012-12-13 2014-06-19 Kla-Tencor Corporation Carbon as grazing incidence euv mirror and spectral purity filter
DE102013200294A1 (de) * 2013-01-11 2014-07-17 Carl Zeiss Smt Gmbh EUV-Spiegel und optisches System mit EUV-Spiegel
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9632411B2 (en) 2013-03-14 2017-04-25 Applied Materials, Inc. Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
RU2522448C1 (ru) * 2013-03-13 2014-07-10 Открытое акционерное общество "Научно-исследовательский и технологический институт оптического материаловедения Всероссийского научного центра "Государственный оптический институт им. С.И. Вавилова" (ОАО "НИТИОМ ВНЦ "ГОИ им. С.И. Вавилова") Покрытие для заготовки космического зеркала
TWI664452B (zh) * 2013-05-09 2019-07-01 日商尼康股份有限公司 光學構件、投影光學系統、曝光裝置以及元件製造方法
WO2015039705A1 (en) 2013-09-23 2015-03-26 Carl Zeiss Smt Gmbh Multilayer mirror
TWI565681B (zh) * 2013-10-15 2017-01-11 中原大學 多孔二氧化矽氣凝膠複合薄膜及其製造方法以及二氧化碳吸收裝置
DE102014200932A1 (de) 2014-01-20 2015-07-23 Carl Zeiss Smt Gmbh EUV-Spiegel und optisches System mit EUV-Spiegel
US9581890B2 (en) 2014-07-11 2017-02-28 Applied Materials, Inc. Extreme ultraviolet reflective element with multilayer stack and method of manufacturing thereof
US9612522B2 (en) * 2014-07-11 2017-04-04 Applied Materials, Inc. Extreme ultraviolet mask blank production system with thin absorber and manufacturing system therefor
DE102015207140A1 (de) * 2015-04-20 2016-10-20 Carl Zeiss Smt Gmbh Spiegel, insbesondere für eine mikrolithographische Projektionsbelichtungsanlage
CN104749663A (zh) * 2015-04-21 2015-07-01 中国科学院长春光学精密机械与物理研究所 具有极紫外光谱纯度和抗辐照损伤的多层膜
EP4120291A3 (de) * 2015-06-30 2023-04-05 Jaiswal, Supriya Beschichtungen für optik für extremes ultraviolett und weiche röntgenstrahlung
JP2017026928A (ja) * 2015-07-27 2017-02-02 エヌ・ティ・ティ・アドバンステクノロジ株式会社 Euv光用多層膜反射鏡
DE102016200814A1 (de) 2016-01-21 2017-07-27 Carl Zeiss Smt Gmbh Reflektives optisches Element und optisches System für die EUV-Lithographie
DE102016208987A1 (de) 2016-05-24 2017-11-30 Carl Zeiss Smt Gmbh Optisches Element und EUV-Lithographiesystem
TWI811037B (zh) 2016-07-27 2023-08-01 美商應用材料股份有限公司 具多層吸收劑的極紫外遮罩坯料及製造方法
DE102016218028A1 (de) 2016-09-20 2018-03-22 Carl Zeiss Smt Gmbh Reflektives optisches Element
DE102017206118A1 (de) * 2017-04-10 2018-04-19 Carl Zeiss Smt Gmbh Reflektives optisches Element und optisches System
US11086209B2 (en) * 2017-04-27 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. EUV lithography mask with a porous reflective multilayer structure
DE102017213181A1 (de) 2017-07-31 2019-01-31 Carl Zeiss Smt Gmbh Optische Anordnung für EUV-Strahlung mit einer Abschirmung zum Schutz vor der Ätzwirkung eines Plasmas
US11268911B2 (en) 2019-01-04 2022-03-08 Kla-Tencor Corporation Boron-based capping layers for EUV optics
TW202043905A (zh) 2019-03-01 2020-12-01 美商應用材料股份有限公司 物理氣相沉積系統與處理
CN110221369B (zh) * 2019-06-26 2020-10-27 西安交通大学 一种基于BN(Al)薄膜的布拉格反射镜及制备方法
DE102019212910A1 (de) * 2019-08-28 2021-03-04 Carl Zeiss Smt Gmbh Optisches Element und EUV-Lithographiesystem
KR20210089406A (ko) 2020-01-08 2021-07-16 주식회사 에스앤에스텍 극자외선용 반사형 블랭크 마스크 및 포토마스크
US11630385B2 (en) 2020-01-24 2023-04-18 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
TW202131087A (zh) 2020-01-27 2021-08-16 美商應用材料股份有限公司 極紫外光遮罩吸收劑材料
TW202141165A (zh) 2020-03-27 2021-11-01 美商應用材料股份有限公司 極紫外光遮罩吸收材料
US11143604B1 (en) 2020-04-06 2021-10-12 Kla Corporation Soft x-ray optics with improved filtering
US11644741B2 (en) 2020-04-17 2023-05-09 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
DE102020206117A1 (de) 2020-05-14 2021-11-18 Carl Zeiss Smt Gmbh Optisches Element, EUV-Lithographiesystem und Verfahren zum Bilden von Nanopartikeln
TW202202641A (zh) 2020-07-13 2022-01-16 美商應用材料股份有限公司 極紫外線遮罩吸收劑材料
US11609490B2 (en) 2020-10-06 2023-03-21 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
KR20220075021A (ko) 2020-11-26 2022-06-07 삼성전자주식회사 극자외선 발생 장치와 이의 제조 방법, 및 극자외선 시스템
US11513437B2 (en) 2021-01-11 2022-11-29 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11592738B2 (en) 2021-01-28 2023-02-28 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US20220382148A1 (en) * 2021-05-28 2022-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet mask with alloy based absorbers
US11762278B2 (en) 2021-06-16 2023-09-19 Applied Materials, Inc. Multilayer extreme ultraviolet reflectors
US11815803B2 (en) 2021-08-30 2023-11-14 Applied Materials, Inc. Multilayer extreme ultraviolet reflector materials
US11782337B2 (en) 2021-09-09 2023-10-10 Applied Materials, Inc. Multilayer extreme ultraviolet reflectors
DE102022203495A1 (de) * 2022-04-07 2023-10-12 Carl Zeiss Smt Gmbh Reflektives optisches Element für eine Wellenlänge im extrem ultravioletten Wellenlängenbereich

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4214818A (en) * 1978-11-08 1980-07-29 Westinghouse Electric Corp. Hot pressed SiC-high power laser mirror
JP2566564B2 (ja) 1986-10-01 1996-12-25 キヤノン株式会社 軟x線又は真空紫外線用多層膜反射鏡
US5433988A (en) * 1986-10-01 1995-07-18 Canon Kabushiki Kaisha Multi-layer reflection mirror for soft X-ray to vacuum ultraviolet ray
JPS63106703A (ja) 1986-10-24 1988-05-11 Nikon Corp 光学素子
US4778251A (en) * 1987-03-09 1988-10-18 Rockwell International Corporation Thickness error compensation for digital gradient-index optical coatings
JPH0795120B2 (ja) * 1987-07-13 1995-10-11 日本航空電子工業株式会社 多層膜反射鏡
JPH0213902A (ja) 1988-07-01 1990-01-18 Mitsubishi Electric Corp 紫外光反射板
JP2883100B2 (ja) * 1989-05-22 1999-04-19 キヤノン株式会社 軟x線・真空紫外線用ハーフミラー又はビームスプリッター
JP2787987B2 (ja) * 1989-11-22 1998-08-20 株式会社リコー 反射鏡
US5265143A (en) * 1993-01-05 1993-11-23 At&T Bell Laboratories X-ray optical element including a multilayer coating
US5356662A (en) * 1993-01-05 1994-10-18 At&T Bell Laboratories Method for repairing an optical element which includes a multilayer coating
US5591958A (en) * 1993-06-14 1997-01-07 Nikon Corporation Scanning exposure method and apparatus
US5360659A (en) * 1993-05-24 1994-11-01 The Dow Chemical Company Two component infrared reflecting film
US5513039A (en) * 1993-05-26 1996-04-30 Litton Systems, Inc. Ultraviolet resistive coated mirror and method of fabrication
DE4407502A1 (de) * 1994-03-07 1995-09-14 Leybold Ag Mehrlagige Beschichtung
JP3345512B2 (ja) 1994-07-12 2002-11-18 株式会社日立製作所 半導体装置の製造方法
US5646976A (en) * 1994-08-01 1997-07-08 Osmic, Inc. Optical element of multilayered thin film for X-rays and neutrons
US5521031A (en) * 1994-10-20 1996-05-28 At&T Corp. Pattern delineating apparatus for use in the EUV spectrum
JPH08220304A (ja) * 1995-02-13 1996-08-30 Tadahiro Omi 光学物品及びそれを用いた露光装置又は光学系並びにその製造方法
JP2658966B2 (ja) * 1995-04-20 1997-09-30 日本電気株式会社 フォトマスク及びその製造方法
US5850309A (en) * 1996-03-27 1998-12-15 Nikon Corporation Mirror for high-intensity ultraviolet light beam
US5789320A (en) * 1996-04-23 1998-08-04 International Business Machines Corporation Plating of noble metal electrodes for DRAM and FRAM
KR100512450B1 (ko) * 1996-12-24 2006-01-27 에이에스엠엘 네델란즈 비.브이. 두개의물체홀더를가진이차원적으로안정화된위치설정장치와이런위치설정장치를구비한리소그래픽장치
JPH10199801A (ja) * 1997-01-13 1998-07-31 Mitsubishi Materials Corp 人工ダイヤモンド膜の残留応力が少ないx線リソグラフィー用マスク
US5911858A (en) * 1997-02-18 1999-06-15 Sandia Corporation Method for high-precision multi-layered thin film deposition for deep and extreme ultraviolet mirrors
JP3607454B2 (ja) * 1997-03-31 2005-01-05 Hoya株式会社 X線マスク用x線透過膜、x線マスクブランク及びx線マスク並びにこれらの製造方法並びに炭化珪素膜の研磨方法
AU6853598A (en) * 1997-04-18 1998-11-13 Nikon Corporation Aligner, exposure method using the aligner, and method of manufacture of circuitdevice
US6268904B1 (en) * 1997-04-23 2001-07-31 Nikon Corporation Optical exposure apparatus and photo-cleaning method
JPH1138192A (ja) * 1997-07-17 1999-02-12 Nikon Corp 多層膜反射鏡
CN1182440C (zh) * 1997-09-30 2004-12-29 西门子公司 用于深紫外线光刻的层状结构以及形成光刻层状结构的方法
US5958605A (en) * 1997-11-10 1999-09-28 Regents Of The University Of California Passivating overcoat bilayer for multilayer reflective coatings for extreme ultraviolet lithography
US6042995A (en) * 1997-12-09 2000-03-28 Lucent Technologies Inc. Lithographic process for device fabrication using a multilayer mask which has been previously inspected
US6391400B1 (en) * 1998-04-08 2002-05-21 Thomas A. Russell Thermal control films suitable for use in glazing
WO2000020928A1 (en) * 1998-10-08 2000-04-13 Rochester Institute Of Technology Photomask for projection lithography at or below about 160 nm and a method
US6229652B1 (en) * 1998-11-25 2001-05-08 The Regents Of The University Of California High reflectance and low stress Mo2C/Be multilayers
US6013399A (en) * 1998-12-04 2000-01-11 Advanced Micro Devices, Inc. Reworkable EUV mask materials
US6180291B1 (en) * 1999-01-22 2001-01-30 International Business Machines Corporation Static resistant reticle
TWI267704B (en) * 1999-07-02 2006-12-01 Asml Netherlands Bv Capping layer for EUV optical elements
SG107560A1 (en) * 2000-02-25 2004-12-29 Nikon Corp Exposure apparatus and exposure method capable of controlling illumination distribution

Also Published As

Publication number Publication date
JP2001059901A (ja) 2001-03-06
EP1065568B1 (de) 2007-09-26
KR100589240B1 (ko) 2006-06-14
US20030043456A1 (en) 2003-03-06
DE60036510T2 (de) 2008-06-19
JP2001051106A (ja) 2001-02-23
EP1065568A3 (de) 2003-03-19
JP3652221B2 (ja) 2005-05-25
TWI267704B (en) 2006-12-01
DE60018328D1 (de) 2005-04-07
EP1065568A2 (de) 2001-01-03
KR20010066881A (ko) 2001-07-11
EP1801658A3 (de) 2007-07-18
EP1065532B1 (de) 2005-03-02
US6449086B1 (en) 2002-09-10
EP1801658A2 (de) 2007-06-27
TW561279B (en) 2003-11-11
JP4068285B2 (ja) 2008-03-26
USRE42338E1 (en) 2011-05-10
US6724462B1 (en) 2004-04-20
US6738188B2 (en) 2004-05-18
DE60036510D1 (de) 2007-11-08
EP1065532A3 (de) 2003-03-19
EP1801658B1 (de) 2016-06-15
KR20010066880A (ko) 2001-07-11
EP1065532A2 (de) 2001-01-03
KR100599940B1 (ko) 2006-07-12

Similar Documents

Publication Publication Date Title
DE60018328T2 (de) Mehrschichtiger Spiegel mit erhöhter Reflektivität für Extrem-Ultraviolett-Strahlung und lithographische Projektionsvorrichtung mit einem solchen Spiegel
DE60115495T2 (de) Beleuchtungssystem mit einer eine transparente Struktur aufweisenden Vakuumkammerwand
DE60309238T2 (de) Lithographische Maske, lithographischer Apparat und Verfahren zur Herstellung einer Vorrichtung
DE60225216T2 (de) Lithographischer Apparat und Verfahren zur Herstellung einer Vorrichtung
EP1356476B1 (de) Schmalbandiger spektralfilter und seine verwendung
DE102010019256B4 (de) Zonenoptimierte Spiegel, optische Systeme mit solchen Spiegeln und Verfahren zur Herstellung solcher Spiegel
DE102015112273A1 (de) Verringerung der Kontamination eines Extrem-Ultraviolett Lithografie-Kollektors
DE102012202057A1 (de) Projektionsobjektiv für EUV-Mikrolithographie, Folienelement und Verfahren zur Herstellung eines Projektionsobjektivs mit Folienelement
DE10016008A1 (de) Villagensystem und dessen Herstellung
DE102009054986B4 (de) Reflektive Maske für die EUV-Lithographie
DE102007051671A1 (de) Abbildende Optik sowie Projektionsbelichtungsanlage für die Mikrolithographie mit einer derartigen abbildenden Optik
DE102013200294A1 (de) EUV-Spiegel und optisches System mit EUV-Spiegel
DE60219871T2 (de) Lithographischer Apparat und Verfahren zur Herstellung einer Vorrichtung
DE102019210450A1 (de) Optische Beugungskomponente zur Unterdrückung mindestens einer Ziel-Wellenlänge durch destruktive Interferenz
DE102015112858A1 (de) Maske mit Mehrschichtstruktur und Herstellungsverfahren unter Verwendung einer solchen
WO2007121990A1 (de) Projektionsbelichtungssystem und verwendung desselben
DE10329141B4 (de) Faltungsgeometrien für EUV-Beleuchtungssysteme
DE102011080100A1 (de) Verfahren und Vorrichtung zum Bearbeiten von Defekten eines optischen Elements für den EUV Bereich
DE102015104687A1 (de) Extrem-ultraviolett-lithografieprozess zum drucken von strukturelementen mit geringer strukturdichte
DE102013207751A1 (de) Optisches Element mit einer Mehrlagen-Beschichtung und optische Anordnung damit
DE102016201564A1 (de) Verfahren zur Herstellung eines reflektiven optischen Elements und reflektives optisches Element
DE10136620A1 (de) Schmalbandiger Spektralfilter und seine Verwendung
DE102019213063A1 (de) Optische Beugungskomponente
DE102019200376A1 (de) Optische Beugungskomponente zur Unterdrückung mindestens einer Ziel-Wellenlänge durch destruktive Interferenz
DE102022203495A1 (de) Reflektives optisches Element für eine Wellenlänge im extrem ultravioletten Wellenlängenbereich

Legal Events

Date Code Title Description
8364 No opposition during term of opposition