DE60021909D1 - Prägeform-lithographie - Google Patents

Prägeform-lithographie

Info

Publication number
DE60021909D1
DE60021909D1 DE60021909T DE60021909T DE60021909D1 DE 60021909 D1 DE60021909 D1 DE 60021909D1 DE 60021909 T DE60021909 T DE 60021909T DE 60021909 T DE60021909 T DE 60021909T DE 60021909 D1 DE60021909 D1 DE 60021909D1
Authority
DE
Germany
Prior art keywords
transfer layer
polymeric material
fluid composition
mold
polymerizable fluid
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE60021909T
Other languages
English (en)
Other versions
DE60021909T2 (de
Inventor
Grant Willson
Earl Colburn
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
University of Texas System
Original Assignee
University of Texas System
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by University of Texas System filed Critical University of Texas System
Publication of DE60021909D1 publication Critical patent/DE60021909D1/de
Application granted granted Critical
Publication of DE60021909T2 publication Critical patent/DE60021909T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C43/00Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor
    • B29C43/003Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor characterised by the choice of material
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C43/00Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor
    • B29C43/02Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles
    • B29C43/021Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles characterised by the shape of the surface
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C43/00Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor
    • B29C43/02Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles
    • B29C43/021Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles characterised by the shape of the surface
    • B29C2043/023Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles characterised by the shape of the surface having a plurality of grooves
    • B29C2043/025Compression moulding, i.e. applying external pressure to flow the moulding material; Apparatus therefor of articles of definite length, i.e. discrete articles characterised by the shape of the surface having a plurality of grooves forming a microstructure, i.e. fine patterning
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/02Apparatus or processes for manufacturing printed circuits in which the conductive material is applied to the surface of the insulating support and is thereafter removed from such areas of the surface which are not intended for current conducting or shielding
    • H05K3/06Apparatus or processes for manufacturing printed circuits in which the conductive material is applied to the surface of the insulating support and is thereafter removed from such areas of the surface which are not intended for current conducting or shielding the conductive material being removed chemically or electrolytically, e.g. by photo-etch process
    • H05K3/061Etching masks
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/84Manufacture, treatment, or detection of nanostructure
    • Y10S977/887Nanoimprint lithography, i.e. nanostamp
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/84Manufacture, treatment, or detection of nanostructure
    • Y10S977/895Manufacture, treatment, or detection of nanostructure having step or means utilizing chemical property
    • Y10S977/896Chemical synthesis, e.g. chemical bonding or breaking
    • Y10S977/897Polymerization
DE60021909T 1999-03-11 2000-03-03 Prägeform-lithographie Expired - Lifetime DE60021909T2 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/266,663 US6334960B1 (en) 1999-03-11 1999-03-11 Step and flash imprint lithography
US266663 1999-03-11
PCT/US2000/005751 WO2000054107A1 (en) 1999-03-11 2000-03-03 Step and flash imprint lithography

Publications (2)

Publication Number Publication Date
DE60021909D1 true DE60021909D1 (en) 2005-09-15
DE60021909T2 DE60021909T2 (de) 2006-05-24

Family

ID=23015492

Family Applications (1)

Application Number Title Priority Date Filing Date
DE60021909T Expired - Lifetime DE60021909T2 (de) 1999-03-11 2000-03-03 Prägeform-lithographie

Country Status (6)

Country Link
US (4) US6334960B1 (de)
EP (1) EP1228401B1 (de)
JP (1) JP2002539604A (de)
AT (1) ATE301846T1 (de)
DE (1) DE60021909T2 (de)
WO (1) WO2000054107A1 (de)

Families Citing this family (423)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040137734A1 (en) * 1995-11-15 2004-07-15 Princeton University Compositions and processes for nanoimprinting
US8128856B2 (en) * 1995-11-15 2012-03-06 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US6334960B1 (en) 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
US6780001B2 (en) * 1999-07-30 2004-08-24 Formfactor, Inc. Forming tool for forming a contoured microelectronic spring mold
US6939474B2 (en) * 1999-07-30 2005-09-06 Formfactor, Inc. Method for forming microelectronic spring structures on a substrate
US6873087B1 (en) * 1999-10-29 2005-03-29 Board Of Regents, The University Of Texas System High precision orientation alignment and gap control stages for imprint lithography processes
US7432634B2 (en) 2000-10-27 2008-10-07 Board Of Regents, University Of Texas System Remote center compliant flexure device
SE516194C2 (sv) * 2000-04-18 2001-12-03 Obducat Ab Substrat för samt process vid tillverkning av strukturer
CN100504598C (zh) * 2000-07-16 2009-06-24 得克萨斯州大学系统董事会 用于平版印刷工艺中的高分辨率重叠对齐方法和系统
US20050160011A1 (en) * 2004-01-20 2005-07-21 Molecular Imprints, Inc. Method for concurrently employing differing materials to form a layer on a substrate
AU2001277907A1 (en) * 2000-07-17 2002-01-30 Board Of Regents, The University Of Texas System Method and system of automatic fluid dispensing for imprint lithography processes
KR20030040378A (ko) * 2000-08-01 2003-05-22 보드 오브 리전츠, 더 유니버시티 오브 텍사스 시스템 임프린트 리소그래피를 위한 투명한 템플릿과 기판사이의고정확성 갭 및 방향설정 감지 방법
WO2002017383A2 (en) * 2000-08-21 2002-02-28 Board Of Regents, The University Of Texas System Flexure based translation stage
EP2306242A3 (de) * 2000-10-12 2011-11-02 Board of Regents, The University of Texas System Verfahren zur Erzeugung eines Motifs auf einem Substrat
US20050274219A1 (en) * 2004-06-01 2005-12-15 Molecular Imprints, Inc. Method and system to control movement of a body for nano-scale manufacturing
US20060005657A1 (en) * 2004-06-01 2006-01-12 Molecular Imprints, Inc. Method and system to control movement of a body for nano-scale manufacturing
US6964793B2 (en) * 2002-05-16 2005-11-15 Board Of Regents, The University Of Texas System Method for fabricating nanoscale patterns in light curable compositions using an electric field
SE519573C2 (sv) 2001-07-05 2003-03-11 Obducat Ab Stamp med antividhäftningsskikt samt sätt att framställa och sätt att reparera en sådan stamp
SG187992A1 (en) * 2001-07-25 2013-03-28 Univ Princeton Nanochannel arrays and their preparation and use for high throughput macromolecular analysis
US9678038B2 (en) 2001-07-25 2017-06-13 The Trustees Of Princeton University Nanochannel arrays and their preparation and use for high throughput macromolecular analysis
JP2003109915A (ja) * 2001-09-28 2003-04-11 National Institute Of Advanced Industrial & Technology 剥離性雰囲気でのインプリントリソグラフィー方法及び装置
EP1441868A4 (de) * 2001-10-11 2006-08-30 Brewer Science Inc Reproduktion von gemusterter struktur unter verwendung von nichthaftender form
US20030071016A1 (en) 2001-10-11 2003-04-17 Wu-Sheng Shih Patterned structure reproduction using nonsticking mold
KR20030057067A (ko) * 2001-12-28 2003-07-04 엘지.필립스 엘시디 주식회사 인쇄방식을 이용한 패턴형성방법
WO2003106693A2 (en) 2002-01-01 2003-12-24 Princeton University Gradient structures interfacing microfluidics and nanofluidics, methods for fabrication and uses thereof
JP2003218658A (ja) * 2002-01-17 2003-07-31 Nec Corp 弾性表面波素子及び半導体装置の製造方法
US20030186405A1 (en) * 2002-04-01 2003-10-02 The Ohio State University Research Foundation Micro/nano-embossing process and useful applications thereof
US7652574B2 (en) * 2002-04-08 2010-01-26 Sayegh Adel O Article surveillance tag having a vial
US6730617B2 (en) 2002-04-24 2004-05-04 Ibm Method of fabricating one or more tiers of an integrated circuit
US7037639B2 (en) * 2002-05-01 2006-05-02 Molecular Imprints, Inc. Methods of manufacturing a lithography template
US20030235787A1 (en) * 2002-06-24 2003-12-25 Watts Michael P.C. Low viscosity high resolution patterning material
US7179079B2 (en) * 2002-07-08 2007-02-20 Molecular Imprints, Inc. Conforming template for patterning liquids disposed on substrates
US20080160129A1 (en) 2006-05-11 2008-07-03 Molecular Imprints, Inc. Template Having a Varying Thickness to Facilitate Expelling a Gas Positioned Between a Substrate and the Template
US6926929B2 (en) * 2002-07-09 2005-08-09 Molecular Imprints, Inc. System and method for dispensing liquids
US6932934B2 (en) * 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US7442336B2 (en) * 2003-08-21 2008-10-28 Molecular Imprints, Inc. Capillary imprinting technique
US7077992B2 (en) 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US6908861B2 (en) * 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
MY164487A (en) 2002-07-11 2017-12-29 Molecular Imprints Inc Step and repeat imprint lithography processes
US6900881B2 (en) * 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US7019819B2 (en) * 2002-11-13 2006-03-28 Molecular Imprints, Inc. Chucking system for modulating shapes of substrates
US7027156B2 (en) * 2002-08-01 2006-04-11 Molecular Imprints, Inc. Scatterometry alignment for imprint lithography
US7070405B2 (en) * 2002-08-01 2006-07-04 Molecular Imprints, Inc. Alignment systems for imprint lithography
US7071088B2 (en) * 2002-08-23 2006-07-04 Molecular Imprints, Inc. Method for fabricating bulbous-shaped vias
US6936194B2 (en) * 2002-09-05 2005-08-30 Molecular Imprints, Inc. Functional patterning material for imprint lithography processes
US20040065252A1 (en) * 2002-10-04 2004-04-08 Sreenivasan Sidlgata V. Method of forming a layer on a substrate to facilitate fabrication of metrology standards
US8349241B2 (en) * 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
DE60333715D1 (de) * 2002-10-30 2010-09-23 Hitachi Ltd Verfahren zur Herstellung funktioneller Substrate, die kolumnare Mikrosäulen aufweisen
WO2004044654A2 (en) * 2002-11-12 2004-05-27 Princeton University Compositions and processes for nanoimprinting
US6929762B2 (en) * 2002-11-13 2005-08-16 Molecular Imprints, Inc. Method of reducing pattern distortions during imprint lithography processes
US6980282B2 (en) * 2002-12-11 2005-12-27 Molecular Imprints, Inc. Method for modulating shapes of substrates
ATE549743T1 (de) 2002-11-13 2012-03-15 Molecular Imprints Inc Ein lithographiesystem mit einem halterungssystem
US7641840B2 (en) * 2002-11-13 2010-01-05 Molecular Imprints, Inc. Method for expelling gas positioned between a substrate and a mold
US6900126B2 (en) 2002-11-20 2005-05-31 International Business Machines Corporation Method of forming metallized pattern
US7365103B2 (en) * 2002-12-12 2008-04-29 Board Of Regents, The University Of Texas System Compositions for dark-field polymerization and method of using the same for imprint lithography processes
US20040112862A1 (en) * 2002-12-12 2004-06-17 Molecular Imprints, Inc. Planarization composition and method of patterning a substrate using the same
US6871558B2 (en) 2002-12-12 2005-03-29 Molecular Imprints, Inc. Method for determining characteristics of substrate employing fluid geometries
AU2003300865A1 (en) * 2002-12-13 2004-07-09 Molecular Imprints, Inc. Magnification corrections employing out-of-plane distortions on a substrate
JP2004241397A (ja) * 2003-01-23 2004-08-26 Dainippon Printing Co Ltd 薄膜トランジスタおよびその製造方法
US20040168613A1 (en) * 2003-02-27 2004-09-02 Molecular Imprints, Inc. Composition and method to form a release layer
US7452574B2 (en) * 2003-02-27 2008-11-18 Molecular Imprints, Inc. Method to reduce adhesion between a polymerizable layer and a substrate employing a fluorine-containing layer
JP4317375B2 (ja) * 2003-03-20 2009-08-19 株式会社日立製作所 ナノプリント装置、及び微細構造転写方法
US7122079B2 (en) * 2004-02-27 2006-10-17 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
US7179396B2 (en) * 2003-03-25 2007-02-20 Molecular Imprints, Inc. Positive tone bi-layer imprint lithography method
US7186656B2 (en) * 2004-05-21 2007-03-06 Molecular Imprints, Inc. Method of forming a recessed structure employing a reverse tone process
US7323417B2 (en) * 2004-09-21 2008-01-29 Molecular Imprints, Inc. Method of forming a recessed structure employing a reverse tone process
US20040202865A1 (en) * 2003-04-08 2004-10-14 Andrew Homola Release coating for stamper
US7410904B2 (en) * 2003-04-24 2008-08-12 Hewlett-Packard Development Company, L.P. Sensor produced using imprint lithography
US7396475B2 (en) * 2003-04-25 2008-07-08 Molecular Imprints, Inc. Method of forming stepped structures employing imprint lithography
US6805054B1 (en) 2003-05-14 2004-10-19 Molecular Imprints, Inc. Method, system and holder for transferring templates during imprint lithography processes
JP4937750B2 (ja) * 2003-05-14 2012-05-23 モレキュラー・インプリンツ・インコーポレーテッド インプリント・リソグラフィ・プロセス中にテンプレートを移動させるための方法、システム、ホルダ、アセンブリ
US6951173B1 (en) * 2003-05-14 2005-10-04 Molecular Imprints, Inc. Assembly and method for transferring imprint lithography templates
US20050160934A1 (en) * 2004-01-23 2005-07-28 Molecular Imprints, Inc. Materials and methods for imprint lithography
US7157036B2 (en) * 2003-06-17 2007-01-02 Molecular Imprints, Inc Method to reduce adhesion between a conformable region and a pattern of a mold
US20060108710A1 (en) * 2004-11-24 2006-05-25 Molecular Imprints, Inc. Method to reduce adhesion between a conformable region and a mold
US7307118B2 (en) * 2004-11-24 2007-12-11 Molecular Imprints, Inc. Composition to reduce adhesion between a conformable region and a mold
US7150622B2 (en) * 2003-07-09 2006-12-19 Molecular Imprints, Inc. Systems for magnification and distortion correction for imprint lithography processes
KR100606532B1 (ko) * 2003-08-02 2006-07-31 동부일렉트로닉스 주식회사 반도체 소자의 제조 방법
DE10340608A1 (de) 2003-08-29 2005-03-24 Infineon Technologies Ag Polymerformulierung und Verfahren zur Herstellung einer Dielektrikumsschicht
CN1997691B (zh) * 2003-09-23 2011-07-20 北卡罗来纳大学查珀尔希尔分校 光固化的全氟聚醚用作微流体器件中的新材料
US7136150B2 (en) * 2003-09-25 2006-11-14 Molecular Imprints, Inc. Imprint lithography template having opaque alignment marks
US8211214B2 (en) * 2003-10-02 2012-07-03 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US7090716B2 (en) * 2003-10-02 2006-08-15 Molecular Imprints, Inc. Single phase fluid imprint lithography method
US20050084804A1 (en) * 2003-10-16 2005-04-21 Molecular Imprints, Inc. Low surface energy templates
US7261830B2 (en) * 2003-10-16 2007-08-28 Molecular Imprints, Inc. Applying imprinting material to substrates employing electromagnetic fields
US7122482B2 (en) * 2003-10-27 2006-10-17 Molecular Imprints, Inc. Methods for fabricating patterned features utilizing imprint lithography
US20050106321A1 (en) * 2003-11-14 2005-05-19 Molecular Imprints, Inc. Dispense geometery to achieve high-speed filling and throughput
US20050098534A1 (en) * 2003-11-12 2005-05-12 Molecular Imprints, Inc. Formation of conductive templates employing indium tin oxide
EP1538482B1 (de) * 2003-12-05 2016-02-17 Obducat AB Gerät und Methode für grossflÀ¤chige Lithographie
US9040090B2 (en) 2003-12-19 2015-05-26 The University Of North Carolina At Chapel Hill Isolated and fixed micro and nano structures and methods thereof
DK1704585T3 (en) * 2003-12-19 2017-05-22 Univ North Carolina Chapel Hill Methods for preparing isolated micro- and nanostructures using soft lithography or printing lithography
KR101010431B1 (ko) * 2003-12-27 2011-01-21 엘지디스플레이 주식회사 평판표시소자의 제조방법 및 장치
US20050158419A1 (en) * 2004-01-15 2005-07-21 Watts Michael P. Thermal processing system for imprint lithography
US20050156353A1 (en) * 2004-01-15 2005-07-21 Watts Michael P. Method to improve the flow rate of imprinting material
US7462292B2 (en) * 2004-01-27 2008-12-09 Hewlett-Packard Development Company, L.P. Silicon carbide imprint stamp
US7060625B2 (en) * 2004-01-27 2006-06-13 Hewlett-Packard Development Company, L.P. Imprint stamp
CN101189271A (zh) * 2004-02-13 2008-05-28 北卡罗来纳大学查珀尔希尔分校 制造微流体设备的功能材料和新型方法
US7019835B2 (en) * 2004-02-19 2006-03-28 Molecular Imprints, Inc. Method and system to measure characteristics of a film disposed on a substrate
US8076386B2 (en) 2004-02-23 2011-12-13 Molecular Imprints, Inc. Materials for imprint lithography
US7906180B2 (en) * 2004-02-27 2011-03-15 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
US20050189676A1 (en) * 2004-02-27 2005-09-01 Molecular Imprints, Inc. Full-wafer or large area imprinting with multiple separated sub-fields for high throughput lithography
US7730834B2 (en) * 2004-03-04 2010-06-08 Asml Netherlands B.V. Printing apparatus and device manufacturing method
JP4393244B2 (ja) * 2004-03-29 2010-01-06 キヤノン株式会社 インプリント装置
JP4481698B2 (ja) * 2004-03-29 2010-06-16 キヤノン株式会社 加工装置
DE102004028851B4 (de) * 2004-03-31 2006-04-13 Infineon Technologies Ag Verfahren und Vorrichtung zum Vermessen eines Oberflächenprofils einer Probe
US20050230882A1 (en) * 2004-04-19 2005-10-20 Molecular Imprints, Inc. Method of forming a deep-featured template employed in imprint lithography
US8235302B2 (en) * 2004-04-20 2012-08-07 Nanolnk, Inc. Identification features
US7195733B2 (en) * 2004-04-27 2007-03-27 The Board Of Trustees Of The University Of Illinois Composite patterning devices for soft lithography
US20080055581A1 (en) * 2004-04-27 2008-03-06 Rogers John A Devices and methods for pattern generation by ink lithography
US7140861B2 (en) * 2004-04-27 2006-11-28 Molecular Imprints, Inc. Compliant hard template for UV imprinting
EP1594001B1 (de) 2004-05-07 2015-12-30 Obducat AB Gerät und Verfahren für die Imprint-Lithographie
US20050253307A1 (en) * 2004-05-11 2005-11-17 Molecualr Imprints, Inc. Method of patterning a conductive layer on a substrate
US7307697B2 (en) * 2004-05-28 2007-12-11 Board Of Regents, The University Of Texas System Adaptive shape substrate support system
US20050276919A1 (en) * 2004-06-01 2005-12-15 Molecular Imprints, Inc. Method for dispensing a fluid on a substrate
US20050275311A1 (en) * 2004-06-01 2005-12-15 Molecular Imprints, Inc. Compliant device for nano-scale manufacturing
DE602005022874D1 (de) * 2004-06-03 2010-09-23 Molecular Imprints Inc Fluidausgabe und tropfenausgabe nach bedarf für die herstellung im nanobereich
US20050270516A1 (en) * 2004-06-03 2005-12-08 Molecular Imprints, Inc. System for magnification and distortion correction during nano-scale manufacturing
US20050272599A1 (en) * 2004-06-04 2005-12-08 Kenneth Kramer Mold release layer
US7799699B2 (en) 2004-06-04 2010-09-21 The Board Of Trustees Of The University Of Illinois Printable semiconductor structures and related methods of making and assembling
US7521292B2 (en) 2004-06-04 2009-04-21 The Board Of Trustees Of The University Of Illinois Stretchable form of single crystal silicon for high performance electronics on rubber substrates
US7557367B2 (en) 2004-06-04 2009-07-07 The Board Of Trustees Of The University Of Illinois Stretchable semiconductor elements and stretchable electrical circuits
US7943491B2 (en) * 2004-06-04 2011-05-17 The Board Of Trustees Of The University Of Illinois Pattern transfer printing by kinetic control of adhesion to an elastomeric stamp
US20050277066A1 (en) * 2004-06-10 2005-12-15 Le Ngoc V Selective etch process for step and flash imprint lithography
US20070228593A1 (en) * 2006-04-03 2007-10-04 Molecular Imprints, Inc. Residual Layer Thickness Measurement and Correction
JP2006013400A (ja) * 2004-06-29 2006-01-12 Canon Inc 2つの対象物間の相対的位置ずれ検出方法及び装置
US7785526B2 (en) * 2004-07-20 2010-08-31 Molecular Imprints, Inc. Imprint alignment method, system, and template
US20060017876A1 (en) * 2004-07-23 2006-01-26 Molecular Imprints, Inc. Displays and method for fabricating displays
US8088293B2 (en) * 2004-07-29 2012-01-03 Micron Technology, Inc. Methods of forming reticles configured for imprint lithography
US7309225B2 (en) * 2004-08-13 2007-12-18 Molecular Imprints, Inc. Moat system for an imprint lithography template
US7105452B2 (en) * 2004-08-13 2006-09-12 Molecular Imprints, Inc. Method of planarizing a semiconductor substrate with an etching chemistry
US7939131B2 (en) * 2004-08-16 2011-05-10 Molecular Imprints, Inc. Method to provide a layer with uniform etch characteristics
US7282550B2 (en) * 2004-08-16 2007-10-16 Molecular Imprints, Inc. Composition to provide a layer with uniform etch characteristics
US7641468B2 (en) * 2004-09-01 2010-01-05 Hewlett-Packard Development Company, L.P. Imprint lithography apparatus and method employing an effective pressure
US20070164476A1 (en) * 2004-09-01 2007-07-19 Wei Wu Contact lithography apparatus and method employing substrate deformation
WO2006033872A2 (en) * 2004-09-21 2006-03-30 Molecular Imprints, Inc. Method of forming an in-situ recessed structure
US7205244B2 (en) * 2004-09-21 2007-04-17 Molecular Imprints Patterning substrates employing multi-film layers defining etch-differential interfaces
US7547504B2 (en) * 2004-09-21 2009-06-16 Molecular Imprints, Inc. Pattern reversal employing thick residual layers
US7241395B2 (en) * 2004-09-21 2007-07-10 Molecular Imprints, Inc. Reverse tone patterning on surfaces having planarity perturbations
US7041604B2 (en) * 2004-09-21 2006-05-09 Molecular Imprints, Inc. Method of patterning surfaces while providing greater control of recess anisotropy
US7252777B2 (en) * 2004-09-21 2007-08-07 Molecular Imprints, Inc. Method of forming an in-situ recessed structure
US20060062922A1 (en) * 2004-09-23 2006-03-23 Molecular Imprints, Inc. Polymerization technique to attenuate oxygen inhibition of solidification of liquids and composition therefor
US7244386B2 (en) * 2004-09-27 2007-07-17 Molecular Imprints, Inc. Method of compensating for a volumetric shrinkage of a material disposed upon a substrate to form a substantially planar structure therefrom
JP4704434B2 (ja) * 2004-10-08 2011-06-15 ダウ・コーニング・コーポレイション 相変化組成物を使用するリトグラフィープロセス及びパターン
US20060081557A1 (en) 2004-10-18 2006-04-20 Molecular Imprints, Inc. Low-k dielectric functional imprinting materials
US7163888B2 (en) * 2004-11-22 2007-01-16 Motorola, Inc. Direct imprinting of etch barriers using step and flash imprint lithography
US7292326B2 (en) * 2004-11-30 2007-11-06 Molecular Imprints, Inc. Interferometric analysis for the manufacture of nano-scale devices
JP4655043B2 (ja) 2004-11-30 2011-03-23 旭硝子株式会社 モールド、および転写微細パターンを有する基材の製造方法
US20070231421A1 (en) * 2006-04-03 2007-10-04 Molecular Imprints, Inc. Enhanced Multi Channel Alignment
US7630067B2 (en) * 2004-11-30 2009-12-08 Molecular Imprints, Inc. Interferometric analysis method for the manufacture of nano-scale devices
KR20070086766A (ko) * 2004-12-01 2007-08-27 몰레큘러 임프린츠 인코퍼레이티드 임프린트 리소그래피 공정용 열관리를 위한 노출 방법
US7357876B2 (en) * 2004-12-01 2008-04-15 Molecular Imprints, Inc. Eliminating printability of sub-resolution defects in imprint lithography
US7281919B2 (en) * 2004-12-07 2007-10-16 Molecular Imprints, Inc. System for controlling a volume of material on a mold
CN100468814C (zh) * 2004-12-15 2009-03-11 鸿富锦精密工业(深圳)有限公司 有机发光显示器
US7125495B2 (en) * 2004-12-20 2006-10-24 Palo Alto Research Center, Inc. Large area electronic device with high and low resolution patterned film features
US7676088B2 (en) * 2004-12-23 2010-03-09 Asml Netherlands B.V. Imprint lithography
US7490547B2 (en) * 2004-12-30 2009-02-17 Asml Netherlands B.V. Imprint lithography
US20060144274A1 (en) * 2004-12-30 2006-07-06 Asml Netherlands B.V. Imprint lithography
US20060144814A1 (en) * 2004-12-30 2006-07-06 Asml Netherlands B.V. Imprint lithography
US20060145398A1 (en) * 2004-12-30 2006-07-06 Board Of Regents, The University Of Texas System Release layer comprising diamond-like carbon (DLC) or doped DLC with tunable composition for imprint lithography templates and contact masks
US7686970B2 (en) 2004-12-30 2010-03-30 Asml Netherlands B.V. Imprint lithography
US7354698B2 (en) * 2005-01-07 2008-04-08 Asml Netherlands B.V. Imprint lithography
US20070299176A1 (en) * 2005-01-28 2007-12-27 Markley Thomas J Photodefinable low dielectric constant material and method for making and using same
US7636999B2 (en) * 2005-01-31 2009-12-29 Molecular Imprints, Inc. Method of retaining a substrate to a wafer chuck
US7635263B2 (en) * 2005-01-31 2009-12-22 Molecular Imprints, Inc. Chucking system comprising an array of fluid chambers
US20060177535A1 (en) * 2005-02-04 2006-08-10 Molecular Imprints, Inc. Imprint lithography template to facilitate control of liquid movement
WO2006084202A2 (en) * 2005-02-03 2006-08-10 The University Of North Carolina At Chapel Hill Low surface energy polymeric material for use in liquid crystal displays
US7922474B2 (en) * 2005-02-17 2011-04-12 Asml Netherlands B.V. Imprint lithography
US8097400B2 (en) * 2005-02-22 2012-01-17 Hewlett-Packard Development Company, L.P. Method for forming an electronic device
JP2006245072A (ja) * 2005-02-28 2006-09-14 Canon Inc パターン転写用モールドおよび転写装置
US7277619B2 (en) * 2005-03-04 2007-10-02 Hewlett-Packard Development Company, L.P. Nano-imprinted photonic crystal waveguide
US7523701B2 (en) * 2005-03-07 2009-04-28 Asml Netherlands B.V. Imprint lithography method and apparatus
EP1700680A1 (de) * 2005-03-09 2006-09-13 EPFL Ecole Polytechnique Fédérale de Lausanne Die Entformung erleichternde Formwerkzeuge aus Fluorpolymer zur Reproduktion von Nano- und Mikromustern
US7611348B2 (en) * 2005-04-19 2009-11-03 Asml Netherlands B.V. Imprint lithography
US7762186B2 (en) * 2005-04-19 2010-07-27 Asml Netherlands B.V. Imprint lithography
JP5286784B2 (ja) * 2005-04-21 2013-09-11 旭硝子株式会社 光硬化性組成物、微細パターン形成体およびその製造方法
KR101352360B1 (ko) 2005-04-27 2014-01-15 오브듀캇 아베 물체에 패턴을 전사하기 위한 수단
JP4742665B2 (ja) * 2005-04-28 2011-08-10 旭硝子株式会社 エッチング処理された処理基板の製造方法
JP4736522B2 (ja) * 2005-04-28 2011-07-27 旭硝子株式会社 エッチング処理された処理基板の製造方法
US20070228608A1 (en) * 2006-04-03 2007-10-04 Molecular Imprints, Inc. Preserving Filled Features when Vacuum Wiping
US7767129B2 (en) * 2005-05-11 2010-08-03 Micron Technology, Inc. Imprint templates for imprint lithography, and methods of patterning a plurality of substrates
US7442029B2 (en) * 2005-05-16 2008-10-28 Asml Netherlands B.V. Imprint lithography
US20060266916A1 (en) * 2005-05-25 2006-11-30 Molecular Imprints, Inc. Imprint lithography template having a coating to reflect and/or absorb actinic energy
US20060267231A1 (en) * 2005-05-27 2006-11-30 Asml Netherlands B.V. Imprint lithography
US7708924B2 (en) * 2005-07-21 2010-05-04 Asml Netherlands B.V. Imprint lithography
US7692771B2 (en) * 2005-05-27 2010-04-06 Asml Netherlands B.V. Imprint lithography
US7418902B2 (en) * 2005-05-31 2008-09-02 Asml Netherlands B.V. Imprint lithography including alignment
JP3958344B2 (ja) * 2005-06-07 2007-08-15 キヤノン株式会社 インプリント装置、インプリント方法及びチップの製造方法
KR101117987B1 (ko) * 2005-06-07 2012-03-06 엘지디스플레이 주식회사 평판표시소자의 제조장치 및 방법
US7927089B2 (en) * 2005-06-08 2011-04-19 Canon Kabushiki Kaisha Mold, apparatus including mold, pattern transfer apparatus, and pattern forming method
US7854873B2 (en) * 2005-06-10 2010-12-21 Obducat Ab Imprint stamp comprising cyclic olefin copolymer
DE602005012068D1 (de) * 2005-06-10 2009-02-12 Obducat Ab Kopieren eines Musters mit Hilfe eines Zwischenstempels
US7377764B2 (en) * 2005-06-13 2008-05-27 Asml Netherlands B.V. Imprint lithography
US20060284156A1 (en) * 2005-06-16 2006-12-21 Thomas Happ Phase change memory cell defined by imprint lithography
DE102005045331A1 (de) * 2005-06-16 2006-12-28 Süss MicroTec AG Entfernen von dünnen strukturierten Polymerschichten durch atmosphärisches Plasma
US7256131B2 (en) * 2005-07-19 2007-08-14 Molecular Imprints, Inc. Method of controlling the critical dimension of structures formed on a substrate
US8557351B2 (en) * 2005-07-22 2013-10-15 Molecular Imprints, Inc. Method for adhering materials together
US7759407B2 (en) * 2005-07-22 2010-07-20 Molecular Imprints, Inc. Composition for adhering materials together
US8846195B2 (en) * 2005-07-22 2014-09-30 Canon Nanotechnologies, Inc. Ultra-thin polymeric adhesion layer
US8808808B2 (en) 2005-07-22 2014-08-19 Molecular Imprints, Inc. Method for imprint lithography utilizing an adhesion primer layer
US20070023976A1 (en) * 2005-07-26 2007-02-01 Asml Netherlands B.V. Imprint lithography
WO2007133235A2 (en) * 2005-08-08 2007-11-22 Liquidia Technologies, Inc. Micro and nano-structure metrology
EP2537657A3 (de) 2005-08-09 2016-05-04 The University of North Carolina At Chapel Hill Verfahren und Materialien zur Herstellung mikrofluidischer Vorrichtungen
US7766640B2 (en) * 2005-08-12 2010-08-03 Hewlett-Packard Development Company, L.P. Contact lithography apparatus, system and method
US7665981B2 (en) * 2005-08-25 2010-02-23 Molecular Imprints, Inc. System to transfer a template transfer body between a motion stage and a docking plate
US20070064384A1 (en) * 2005-08-25 2007-03-22 Molecular Imprints, Inc. Method to transfer a template transfer body between a motion stage and a docking plate
US20070074635A1 (en) * 2005-08-25 2007-04-05 Molecular Imprints, Inc. System to couple a body and a docking plate
KR100758699B1 (ko) * 2005-08-29 2007-09-14 재단법인서울대학교산학협력재단 고종횡비 나노구조물 형성방법 및 이를 이용한 미세패턴형성방법
US7488771B2 (en) * 2005-09-02 2009-02-10 International Business Machines Corporation Stabilization of vinyl ether materials
US7419611B2 (en) * 2005-09-02 2008-09-02 International Business Machines Corporation Processes and materials for step and flash imprint lithography
JP4330168B2 (ja) * 2005-09-06 2009-09-16 キヤノン株式会社 モールド、インプリント方法、及びチップの製造方法
US7316554B2 (en) 2005-09-21 2008-01-08 Molecular Imprints, Inc. System to control an atmosphere between a body and a substrate
US20070077763A1 (en) * 2005-09-30 2007-04-05 Molecular Imprints, Inc. Deposition technique to planarize a multi-layer structure
US8603381B2 (en) * 2005-10-03 2013-12-10 Massachusetts Insitute Of Technology Nanotemplate arbitrary-imprint lithography
US8142703B2 (en) * 2005-10-05 2012-03-27 Molecular Imprints, Inc. Imprint lithography method
JP4533358B2 (ja) * 2005-10-18 2010-09-01 キヤノン株式会社 インプリント方法、インプリント装置およびチップの製造方法
US8011915B2 (en) 2005-11-04 2011-09-06 Asml Netherlands B.V. Imprint lithography
US7677877B2 (en) * 2005-11-04 2010-03-16 Asml Netherlands B.V. Imprint lithography
US7878791B2 (en) * 2005-11-04 2011-02-01 Asml Netherlands B.V. Imprint lithography
US7906058B2 (en) * 2005-12-01 2011-03-15 Molecular Imprints, Inc. Bifurcated contact printing technique
US7803308B2 (en) * 2005-12-01 2010-09-28 Molecular Imprints, Inc. Technique for separating a mold from solidified imprinting material
US7422981B2 (en) * 2005-12-07 2008-09-09 Canon Kabushiki Kaisha Method for manufacturing semiconductor device by using dual damascene process and method for manufacturing article having communicating hole
US7670529B2 (en) * 2005-12-08 2010-03-02 Molecular Imprints, Inc. Method and system for double-sided patterning of substrates
US7670530B2 (en) * 2006-01-20 2010-03-02 Molecular Imprints, Inc. Patterning substrates employing multiple chucks
JP4827513B2 (ja) * 2005-12-09 2011-11-30 キヤノン株式会社 加工方法
ATE549294T1 (de) 2005-12-09 2012-03-15 Obducat Ab Vorrichtung und verfahren zum transfer von mustern mit zwischenstempel
US20070138699A1 (en) * 2005-12-21 2007-06-21 Asml Netherlands B.V. Imprint lithography
US7517211B2 (en) 2005-12-21 2009-04-14 Asml Netherlands B.V. Imprint lithography
TWI432904B (zh) * 2006-01-25 2014-04-01 Dow Corning 用於微影技術之環氧樹脂調配物
WO2007126412A2 (en) 2006-03-03 2007-11-08 The Board Of Trustees Of The University Of Illinois Methods of making spatially aligned nanotubes and nanotube arrays
US8001924B2 (en) 2006-03-31 2011-08-23 Asml Netherlands B.V. Imprint lithography
US8850980B2 (en) 2006-04-03 2014-10-07 Canon Nanotechnologies, Inc. Tessellated patterns in imprint lithography
US8142850B2 (en) 2006-04-03 2012-03-27 Molecular Imprints, Inc. Patterning a plurality of fields on a substrate to compensate for differing evaporation times
WO2007117524A2 (en) * 2006-04-03 2007-10-18 Molecular Imprints, Inc. Method of concurrently patterning a substrate having a plurality of fields and alignment marks
US7802978B2 (en) 2006-04-03 2010-09-28 Molecular Imprints, Inc. Imprinting of partial fields at the edge of the wafer
US8012395B2 (en) * 2006-04-18 2011-09-06 Molecular Imprints, Inc. Template having alignment marks formed of contrast material
US7547398B2 (en) * 2006-04-18 2009-06-16 Molecular Imprints, Inc. Self-aligned process for fabricating imprint templates containing variously etched features
WO2007124007A2 (en) * 2006-04-21 2007-11-01 Molecular Imprints, Inc. Method for detecting a particle in a nanoimprint lithography system
KR20070105040A (ko) * 2006-04-25 2007-10-30 엘지.필립스 엘시디 주식회사 레지스트 조성물, 이를 이용한 레지스트 패턴 형성방법 및이를 이용하여 제조된 어레이 기판
WO2007127984A2 (en) * 2006-04-28 2007-11-08 Polyset Company, Inc. Siloxane epoxy polymers for redistribution layer applications
US8215946B2 (en) 2006-05-18 2012-07-10 Molecular Imprints, Inc. Imprint lithography system and method
JP2007329276A (ja) * 2006-06-07 2007-12-20 Tokyo Ohka Kogyo Co Ltd ナノインプリントリソグラフィによるレジストパターンの形成方法
US20080181958A1 (en) * 2006-06-19 2008-07-31 Rothrock Ginger D Nanoparticle fabrication methods, systems, and materials
US20070298176A1 (en) * 2006-06-26 2007-12-27 Dipietro Richard Anthony Aromatic vinyl ether based reverse-tone step and flash imprint lithography
US8015939B2 (en) * 2006-06-30 2011-09-13 Asml Netherlands B.V. Imprintable medium dispenser
US8318253B2 (en) * 2006-06-30 2012-11-27 Asml Netherlands B.V. Imprint lithography
CN100400555C (zh) * 2006-08-25 2008-07-09 南京大学 紫外光固化复合物材料及应用
US7946837B2 (en) * 2006-10-06 2011-05-24 Asml Netherlands B.V. Imprint lithography
US7830498B2 (en) * 2006-10-10 2010-11-09 Hewlett-Packard Development Company, L.P. Hydraulic-facilitated contact lithography apparatus, system and method
US7768628B2 (en) * 2006-10-12 2010-08-03 Hewlett-Packard Development Company, L.P. Contact lithography apparatus and method
US7618752B2 (en) * 2006-10-12 2009-11-17 Hewlett-Packard Development Company, L.P. Deformation-based contact lithography systems, apparatus and methods
US20080102380A1 (en) * 2006-10-30 2008-05-01 Mangat Pawitter S High density lithographic process
US20080110557A1 (en) * 2006-11-15 2008-05-15 Molecular Imprints, Inc. Methods and Compositions for Providing Preferential Adhesion and Release of Adjacent Surfaces
KR101308441B1 (ko) * 2006-11-29 2013-09-16 엘지디스플레이 주식회사 박막 패턴의 제조장치 및 이를 이용한 박막 패턴의제조방법
KR101370969B1 (ko) * 2006-11-30 2014-03-10 엘지디스플레이 주식회사 광경화성의 유기 물질
US20080131705A1 (en) * 2006-12-01 2008-06-05 International Business Machines Corporation Method and system for nanostructure placement using imprint lithography
TW200839432A (en) * 2006-12-05 2008-10-01 Nano Terra Inc Method for patterning a surface
EP2104954B1 (de) 2007-01-17 2022-03-16 The Board of Trustees of the University of Illinois Durch montage auf druckbasis hergestellte optische systeme
US20100151031A1 (en) * 2007-03-23 2010-06-17 Desimone Joseph M Discrete size and shape specific organic nanoparticles designed to elicit an immune response
EP2604344A3 (de) * 2007-03-28 2014-07-16 BioNano Genomics, Inc. Verfahren zur makromolekularen Analyse mittels Nanokanalanordnungen
US20080264672A1 (en) * 2007-04-26 2008-10-30 Air Products And Chemicals, Inc. Photoimprintable Low Dielectric Constant Material and Method for Making and Using Same
US7641467B2 (en) * 2007-05-02 2010-01-05 Asml Netherlands B.V. Imprint lithography
JP2009001002A (ja) 2007-05-24 2009-01-08 Univ Waseda モールド、その製造方法および転写微細パターンを有する基材の製造方法
US8142702B2 (en) * 2007-06-18 2012-03-27 Molecular Imprints, Inc. Solvent-assisted layer formation for imprint lithography
US20090014917A1 (en) * 2007-07-10 2009-01-15 Molecular Imprints, Inc. Drop Pattern Generation for Imprint Lithography
JP2009034926A (ja) * 2007-08-02 2009-02-19 Sumitomo Electric Ind Ltd 樹脂パターン形成方法
JP5473266B2 (ja) * 2007-08-03 2014-04-16 キヤノン株式会社 インプリント方法および基板の加工方法、基板の加工方法による半導体デバイスの製造方法
US20090038636A1 (en) * 2007-08-09 2009-02-12 Asml Netherlands B.V. Cleaning method
US7854877B2 (en) 2007-08-14 2010-12-21 Asml Netherlands B.V. Lithography meandering order
US8144309B2 (en) * 2007-09-05 2012-03-27 Asml Netherlands B.V. Imprint lithography
NL1036034A1 (nl) 2007-10-11 2009-04-15 Asml Netherlands Bv Imprint lithography.
US8119052B2 (en) * 2007-11-02 2012-02-21 Molecular Imprints, Inc. Drop pattern generation for imprint lithography
SG185929A1 (en) * 2007-11-21 2012-12-28 Molecular Imprints Inc Porous template and imprinting stack for nano-imprint lithography
US7906274B2 (en) * 2007-11-21 2011-03-15 Molecular Imprints, Inc. Method of creating a template employing a lift-off process
US7986493B2 (en) * 2007-11-28 2011-07-26 Seagate Technology Llc Discrete track magnetic media with domain wall pinning sites
US8945444B2 (en) * 2007-12-04 2015-02-03 Canon Nanotechnologies, Inc. High throughput imprint based on contact line motion tracking control
WO2009073857A1 (en) 2007-12-06 2009-06-11 Intevac, Inc. System and method for commercial fabrication of patterned media
JP4909913B2 (ja) * 2008-01-10 2012-04-04 株式会社東芝 インプリントマスクの製造方法および半導体装置の製造方法
JP5433152B2 (ja) * 2008-01-18 2014-03-05 東京応化工業株式会社 室温インプリント用膜形成組成物、並びに構造体の製造方法及び構造体
US9323143B2 (en) * 2008-02-05 2016-04-26 Canon Nanotechnologies, Inc. Controlling template surface composition in nano-imprint lithography
US8361371B2 (en) * 2008-02-08 2013-01-29 Molecular Imprints, Inc. Extrusion reduction in imprint lithography
US20090212012A1 (en) * 2008-02-27 2009-08-27 Molecular Imprints, Inc. Critical dimension control during template formation
WO2009111641A1 (en) 2008-03-05 2009-09-11 The Board Of Trustees Of The University Of Illinois Stretchable and foldable electronic devices
US8187515B2 (en) * 2008-04-01 2012-05-29 Molecular Imprints, Inc. Large area roll-to-roll imprint lithography
US8470701B2 (en) * 2008-04-03 2013-06-25 Advanced Diamond Technologies, Inc. Printable, flexible and stretchable diamond for thermal management
EP2280813B1 (de) * 2008-04-18 2017-06-07 Massachusetts Institute Of Technology Druckmustererzeugung für unregelmässige flächen
WO2010005707A1 (en) * 2008-06-16 2010-01-14 The Board Of Trustees Of The University Of Illinois Medium scale carbon nanotube thin film integrated circuits on flexible plastic substrates
US8043085B2 (en) 2008-08-19 2011-10-25 Asml Netherlands B.V. Imprint lithography
NL2003347A (en) * 2008-09-11 2010-03-16 Asml Netherlands Bv Imprint lithography.
US20100072671A1 (en) * 2008-09-25 2010-03-25 Molecular Imprints, Inc. Nano-imprint lithography template fabrication and treatment
US8470188B2 (en) * 2008-10-02 2013-06-25 Molecular Imprints, Inc. Nano-imprint lithography templates
US8389862B2 (en) 2008-10-07 2013-03-05 Mc10, Inc. Extremely stretchable electronics
US8097926B2 (en) 2008-10-07 2012-01-17 Mc10, Inc. Systems, methods, and devices having stretchable integrated circuitry for sensing and delivering therapy
US8886334B2 (en) * 2008-10-07 2014-11-11 Mc10, Inc. Systems, methods, and devices using stretchable or flexible electronics for medical applications
US8372726B2 (en) * 2008-10-07 2013-02-12 Mc10, Inc. Methods and applications of non-planar imaging arrays
WO2010042653A1 (en) * 2008-10-07 2010-04-15 Mc10, Inc. Catheter balloon having stretchable integrated circuitry and sensor array
NL2003380A (en) * 2008-10-17 2010-04-20 Asml Netherlands Bv Imprint lithography apparatus and method.
US8415010B2 (en) * 2008-10-20 2013-04-09 Molecular Imprints, Inc. Nano-imprint lithography stack with enhanced adhesion between silicon-containing and non-silicon containing layers
US8586126B2 (en) 2008-10-21 2013-11-19 Molecular Imprints, Inc. Robust optimization to generate drop patterns in imprint lithography which are tolerant of variations in drop volume and drop placement
US8512797B2 (en) * 2008-10-21 2013-08-20 Molecular Imprints, Inc. Drop pattern generation with edge weighting
US20100104852A1 (en) * 2008-10-23 2010-04-29 Molecular Imprints, Inc. Fabrication of High-Throughput Nano-Imprint Lithography Templates
US8877073B2 (en) * 2008-10-27 2014-11-04 Canon Nanotechnologies, Inc. Imprint lithography template
US8361546B2 (en) * 2008-10-30 2013-01-29 Molecular Imprints, Inc. Facilitating adhesion between substrate and patterned layer
US20100112220A1 (en) * 2008-11-03 2010-05-06 Molecular Imprints, Inc. Dispense system set-up and characterization
CN101477304B (zh) 2008-11-04 2011-08-17 南京大学 在复杂形状表面复制高分辨率纳米结构的压印方法
US20100109195A1 (en) 2008-11-05 2010-05-06 Molecular Imprints, Inc. Release agent partition control in imprint lithography
WO2010063504A2 (en) * 2008-12-04 2010-06-10 Asml Netherlands B.V. Imprint lithography apparatus and method
EP2199855B1 (de) * 2008-12-19 2016-07-20 Obducat Verfahren und Prozesse zur Modifizierung von Polymermaterialoberflächeninteraktionen
EP2199854B1 (de) * 2008-12-19 2015-12-16 Obducat AB Hybridpolymerform für nanoimprintverfahren und verfahren zu seiner herstellung
JP5692992B2 (ja) * 2008-12-19 2015-04-01 キヤノン株式会社 構造体の製造方法及びインクジェットヘッドの製造方法
NL2003871A (en) * 2009-02-04 2010-08-05 Asml Netherlands Bv Imprint lithography.
NL2003875A (en) 2009-02-04 2010-08-05 Asml Netherlands Bv Imprint lithography method and apparatus.
WO2010098102A1 (ja) 2009-02-27 2010-09-02 三井化学株式会社 転写体およびその製造方法
NL2004265A (en) 2009-04-01 2010-10-04 Asml Netherlands Bv Imprint lithography apparatus and method.
NL2004266A (en) 2009-04-27 2010-10-28 Asml Netherlands Bv An actuator.
EP2430652B1 (de) 2009-05-12 2019-11-20 The Board of Trustees of the University of Illionis Bedruckte anordnungen aus ultradünnen und mikrofeinen anorganischen leds für verformbare und semitransparente anzeigen
NL2004409A (en) * 2009-05-19 2010-11-22 Asml Netherlands Bv Imprint lithography apparatus.
JP5574802B2 (ja) * 2009-06-03 2014-08-20 キヤノン株式会社 構造体の製造方法
JP5060517B2 (ja) * 2009-06-24 2012-10-31 東京エレクトロン株式会社 インプリントシステム
NL2004735A (en) * 2009-07-06 2011-01-10 Asml Netherlands Bv Imprint lithography apparatus and method.
NL2004680A (en) * 2009-07-06 2011-01-10 Asml Netherlands Bv Imprint lithography apparatus.
NL2004681A (en) * 2009-07-06 2011-01-10 Asml Netherlands Bv Imprint lithography apparatus.
NL2004685A (en) * 2009-07-27 2011-01-31 Asml Netherlands Bv Imprint lithography apparatus and method.
NL2004932A (en) * 2009-07-27 2011-01-31 Asml Netherlands Bv Imprint lithography template.
NL2004945A (en) * 2009-08-14 2011-02-15 Asml Netherlands Bv Imprint lithography apparatus and method.
NL2004949A (en) * 2009-08-21 2011-02-22 Asml Netherlands Bv Inspection method and apparatus.
CN102482364B (zh) 2009-08-26 2013-08-28 三井化学株式会社 含氟环状烯烃聚合物组合物、由该组合物获得的转印体及其制造方法
US8499810B2 (en) * 2009-08-27 2013-08-06 Transfer Devices Inc. Molecular transfer lithography apparatus and method for transferring patterned materials to a substrate
JP5515516B2 (ja) * 2009-08-27 2014-06-11 大日本印刷株式会社 ナノインプリント方法、パターン形成体、及びナノインプリント装置
NL2005007A (en) 2009-08-28 2011-03-01 Asml Netherlands Bv Imprint lithography method and apparatus.
JP5443103B2 (ja) * 2009-09-10 2014-03-19 株式会社東芝 パターン形成方法
NL2005254A (en) * 2009-09-22 2011-03-23 Asml Netherlands Bv Imprint lithography method and apparatus.
NL2005259A (en) * 2009-09-29 2011-03-30 Asml Netherlands Bv Imprint lithography.
NL2005263A (en) * 2009-09-29 2011-03-30 Asml Netherlands Bv Imprint lithography.
US9723122B2 (en) 2009-10-01 2017-08-01 Mc10, Inc. Protective cases with integrated electronics
US20110218756A1 (en) * 2009-10-01 2011-09-08 Mc10, Inc. Methods and apparatus for conformal sensing of force and/or acceleration at a person's head
NL2005265A (en) * 2009-10-07 2011-04-11 Asml Netherlands Bv Imprint lithography apparatus and method.
US20110084417A1 (en) * 2009-10-08 2011-04-14 Molecular Imprints, Inc. Large area linear array nanoimprinting
NL2005266A (en) 2009-10-28 2011-05-02 Asml Netherlands Bv Imprint lithography.
US20110165412A1 (en) * 2009-11-24 2011-07-07 Molecular Imprints, Inc. Adhesion layers in nanoimprint lithograhy
WO2011064020A1 (en) 2009-11-24 2011-06-03 Asml Netherlands B.V. Alignment and imprint lithography
NL2005436A (en) * 2009-11-30 2011-05-31 Asml Netherlands Bv Inspection method and apparatus.
WO2011064021A1 (en) 2009-11-30 2011-06-03 Asml Netherlands B.V. Imprint lithography apparatus and method
TWI458126B (zh) * 2009-12-10 2014-10-21 Nat Inst Chung Shan Science & Technology 以奈米壓印形成發光元件之薄膜結構的製造方法
US9936574B2 (en) 2009-12-16 2018-04-03 The Board Of Trustees Of The University Of Illinois Waterproof stretchable optoelectronics
US10441185B2 (en) 2009-12-16 2019-10-15 The Board Of Trustees Of The University Of Illinois Flexible and stretchable electronic systems for epidermal electronics
WO2011084450A1 (en) 2009-12-16 2011-07-14 The Board Of Trustees Of The University Of Illinois Electrophysiology in-vivo using conformal electronics
US9625811B2 (en) 2009-12-18 2017-04-18 Asml Netherlands B.V. Imprint lithography
NL2005735A (en) * 2009-12-23 2011-06-27 Asml Netherlands Bv Imprint lithographic apparatus and imprint lithographic method.
JP5033867B2 (ja) * 2009-12-28 2012-09-26 株式会社日立ハイテクノロジーズ 微細構造体、微細構造体の製造方法、及び微細構造体製造用の重合性樹脂組成物
JP5532939B2 (ja) * 2010-01-14 2014-06-25 大日本印刷株式会社 光インプリント用のモールドおよびこれを用いた光インプリント方法
US8616873B2 (en) * 2010-01-26 2013-12-31 Molecular Imprints, Inc. Micro-conformal templates for nanoimprint lithography
TW201144091A (en) * 2010-01-29 2011-12-16 Molecular Imprints Inc Ultra-compliant nanoimprint lithography templates
JP2011165950A (ja) * 2010-02-10 2011-08-25 Toshiba Corp パターン検証方法、パターン生成方法、デバイス製造方法、パターン検証プログラム及びパターン検証装置
NL2005865A (en) * 2010-02-16 2011-08-17 Asml Netherlands Bv Imprint lithography.
NL2005975A (en) 2010-03-03 2011-09-06 Asml Netherlands Bv Imprint lithography.
CN104224171B (zh) 2010-03-17 2017-06-09 伊利诺伊大学评议会 基于生物可吸收基质的可植入生物医学装置
NL2005992A (en) * 2010-03-22 2011-09-23 Asml Netherlands Bv Imprint lithography.
NL2006004A (en) * 2010-03-25 2011-09-27 Asml Netherlands Bv Imprint lithography.
JP5408014B2 (ja) * 2010-04-14 2014-02-05 大日本印刷株式会社 接触角の測定方法およびこれを用いたナノインプリント方法
NL2006454A (en) 2010-05-03 2011-11-07 Asml Netherlands Bv Imprint lithography method and apparatus.
KR101675843B1 (ko) 2010-05-04 2016-11-30 엘지디스플레이 주식회사 평판 표시 소자 및 그 제조 방법
NL2006747A (en) 2010-07-26 2012-01-30 Asml Netherlands Bv Imprint lithography alignment method and apparatus.
JP2013538447A (ja) 2010-08-05 2013-10-10 エーエスエムエル ネザーランズ ビー.ブイ. インプリントリソグラフィ
US9310700B2 (en) 2010-08-13 2016-04-12 Asml Netherlands B.V. Lithography method and apparatus
US9274441B2 (en) 2010-08-16 2016-03-01 Asml Netherlands B.V. Inspection method for imprint lithography and apparatus therefor
NL2007160A (en) 2010-08-26 2012-02-28 Asml Netherlands Bv Imprint lithography method and imprintable medium.
JP5760412B2 (ja) * 2010-12-08 2015-08-12 大日本印刷株式会社 インプリント方法およびインプリント装置
US8450131B2 (en) 2011-01-11 2013-05-28 Nanohmics, Inc. Imprinted semiconductor multiplex detection array
WO2012097163A1 (en) 2011-01-14 2012-07-19 The Board Of Trustees Of The University Of Illinois Optical component array having adjustable curvature
WO2012158709A1 (en) 2011-05-16 2012-11-22 The Board Of Trustees Of The University Of Illinois Thermally managed led arrays assembled by printing
EP2712491B1 (de) 2011-05-27 2019-12-04 Mc10, Inc. Flexible elektronische struktur
EP2713863B1 (de) 2011-06-03 2020-01-15 The Board of Trustees of the University of Illionis Anpassbare aktiv multiplexierte elektrodenanordnung mit hochdichter oberfläche zur elektrophysiologischen messung am gehirn
CN102508408B (zh) * 2011-10-27 2014-09-10 无锡英普林纳米科技有限公司 一种双固化型纳米压印传递层材料
WO2013089867A2 (en) 2011-12-01 2013-06-20 The Board Of Trustees Of The University Of Illinois Transient devices designed to undergo programmable transformations
CN105283122B (zh) 2012-03-30 2020-02-18 伊利诺伊大学评议会 可共形于表面的可安装于附肢的电子器件
TW201411692A (zh) * 2012-04-23 2014-03-16 Nanocrystal Asia Inc 以壓印方式製造選擇性成長遮罩之方法
US9171794B2 (en) 2012-10-09 2015-10-27 Mc10, Inc. Embedding thin chips in polymer
CN104884487B (zh) 2012-12-28 2018-01-05 东洋合成工业株式会社 树脂组合物、树脂模具、光压印方法、以及集成电路与光学元件的制造方法
WO2014136731A1 (ja) 2013-03-04 2014-09-12 東洋合成工業株式会社 組成物、樹脂モールド、光インプリント方法、光学素子の製造方法、及び電子素子の製造方法
JP5744260B2 (ja) * 2014-02-21 2015-07-08 東洋合成工業株式会社 光硬化性組成物、モールド、樹脂、光学素子の製造方法及び半導体集積回路の製造方法
CN117452641A (zh) 2014-09-29 2024-01-26 奇跃公司 可穿戴显示系统
KR20170101961A (ko) 2014-12-30 2017-09-06 쓰리엠 이노베이티브 프로퍼티즈 컴파니 전기 도체
NZ773833A (en) 2015-03-16 2022-07-01 Magic Leap Inc Methods and systems for diagnosing and treating health ailments
JP6011671B2 (ja) * 2015-04-02 2016-10-19 大日本印刷株式会社 インプリント用基板およびインプリント方法
CN107533286B (zh) 2015-04-29 2022-02-08 3M创新有限公司 溶胀性成膜组合物及采用所述溶胀性成膜组合物进行纳米压印光刻的方法
JP2018524566A (ja) 2015-06-01 2018-08-30 ザ ボード オブ トラスティーズ オブ ザ ユニヴァーシティー オブ イリノイ 代替的uvセンシング手法
AU2016270807A1 (en) 2015-06-01 2017-12-14 The Board Of Trustees Of The University Of Illinois Miniaturized electronic systems with wireless power and near-field communication capabilities
KR20240014621A (ko) 2015-06-15 2024-02-01 매직 립, 인코포레이티드 가상 및 증강 현실 시스템들 및 방법들
US10488753B2 (en) 2015-09-08 2019-11-26 Canon Kabushiki Kaisha Substrate pretreatment and etch uniformity in nanoimprint lithography
US20170066208A1 (en) 2015-09-08 2017-03-09 Canon Kabushiki Kaisha Substrate pretreatment for reducing fill time in nanoimprint lithography
US10925543B2 (en) 2015-11-11 2021-02-23 The Board Of Trustees Of The University Of Illinois Bioresorbable silicon electronics for transient implants
US10095106B2 (en) * 2016-03-31 2018-10-09 Canon Kabushiki Kaisha Removing substrate pretreatment compositions in nanoimprint lithography
US10134588B2 (en) 2016-03-31 2018-11-20 Canon Kabushiki Kaisha Imprint resist and substrate pretreatment for reducing fill time in nanoimprint lithography
US10620539B2 (en) 2016-03-31 2020-04-14 Canon Kabushiki Kaisha Curing substrate pretreatment compositions in nanoimprint lithography
IL299497B2 (en) 2016-04-08 2024-02-01 Magic Leap Inc Augmented reality systems and methods with variable focus lens elements
WO2017197020A1 (en) 2016-05-12 2017-11-16 Magic Leap, Inc. Distributed light manipulation over imaging waveguide
US10509313B2 (en) 2016-06-28 2019-12-17 Canon Kabushiki Kaisha Imprint resist with fluorinated photoinitiator and substrate pretreatment for reducing fill time in nanoimprint lithography
CN116736631A (zh) 2016-07-14 2023-09-12 莫福托尼克斯控股有限公司 用于使用柔性压模压印不连续基板的设备
CN106542494B (zh) * 2016-09-26 2017-12-26 西北工业大学 一种用于制备多层不等高微纳结构的方法
JP7237830B2 (ja) 2016-11-18 2023-03-13 マジック リープ, インコーポレイテッド 交差格子を用いた導波管光マルチプレクサ
US11067860B2 (en) 2016-11-18 2021-07-20 Magic Leap, Inc. Liquid crystal diffractive devices with nano-scale pattern and methods of manufacturing the same
KR102581320B1 (ko) 2016-11-18 2023-09-20 매직 립, 인코포레이티드 넓은 입사 각도 범위들의 광을 방향전환시키기 위한 다중층 액정 회절 격자들
IL303676B1 (en) 2016-11-18 2024-02-01 Magic Leap Inc Liquid crystal refraction lattices vary spatially
EP3552057B1 (de) 2016-12-08 2022-01-05 Magic Leap, Inc. Diffraktive vorrichtungen auf basis von cholesterischem flüssigkristall
EP3555700B1 (de) 2016-12-14 2023-09-13 Magic Leap, Inc. Strukturierung von flüssigkristallen unter verwendung von weichdruck-replikation von oberflächenausrichtungsmustern
US10371896B2 (en) 2016-12-22 2019-08-06 Magic Leap, Inc. Color separation in planar waveguides using dichroic filters
EP4250242A3 (de) 2017-01-23 2023-11-29 Magic Leap, Inc. Okular für systeme mit virtueller, erweiterter oder gemischter realität
AU2018225146A1 (en) 2017-02-23 2019-08-29 Magic Leap, Inc. Display system with variable power reflector
US10317793B2 (en) 2017-03-03 2019-06-11 Canon Kabushiki Kaisha Substrate pretreatment compositions for nanoimprint lithography
JP7300996B2 (ja) 2017-03-21 2023-06-30 マジック リープ, インコーポレイテッド 回折光学要素を使用した眼結像装置
CA3075096A1 (en) 2017-09-21 2019-03-28 Magic Leap, Inc. Augmented reality display with waveguide configured to capture images of eye and/or environment
US10684407B2 (en) * 2017-10-30 2020-06-16 Facebook Technologies, Llc Reactivity enhancement in ion beam etcher
JP7407111B2 (ja) 2017-12-15 2023-12-28 マジック リープ, インコーポレイテッド 拡張現実ディスプレイシステムのための接眼レンズ
CN111527448A (zh) 2018-01-26 2020-08-11 莫福托尼克斯控股有限公司 对不连续基底进行纹理化的方法和设备
JP7233174B2 (ja) * 2018-05-17 2023-03-06 キヤノン株式会社 インプリント装置、物品製造方法、平坦化層形成装置、情報処理装置、及び、決定方法
CN112385321A (zh) 2018-06-28 2021-02-19 3M创新有限公司 在柔性基底上制备金属图案的方法
US11137536B2 (en) 2018-07-26 2021-10-05 Facebook Technologies, Llc Bragg-like gratings on high refractive index material
DK3662325T3 (da) 2018-10-12 2021-02-01 Morphotonics B V Fleksibelt stempel med afstemmelig højdimensionel stabilitet
US11237393B2 (en) 2018-11-20 2022-02-01 Magic Leap, Inc. Eyepieces for augmented reality display system
CN114286962A (zh) 2019-06-20 2022-04-05 奇跃公司 用于增强现实显示系统的目镜
US11226446B2 (en) 2020-05-06 2022-01-18 Facebook Technologies, Llc Hydrogen/nitrogen doping and chemically assisted etching of high refractive index gratings
IL300038A (en) 2020-07-31 2023-03-01 Morphotonics Holding B V Apparatus and process for duplicating texture
WO2023084082A1 (en) 2021-11-15 2023-05-19 Morphotonics Holding B.V. Multi-textured stamp

Family Cites Families (146)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3810874A (en) * 1969-03-10 1974-05-14 Minnesota Mining & Mfg Polymers prepared from poly(perfluoro-alkylene oxide) compounds
US3807027A (en) 1972-03-31 1974-04-30 Johns Manville Method of forming the bell end of a bell and spigot joint
US3811665A (en) 1972-09-05 1974-05-21 Bendix Corp Flexural pivot with diaphragm means
US3807029A (en) 1972-09-05 1974-04-30 Bendix Corp Method of making a flexural pivot
US4062600A (en) 1976-04-05 1977-12-13 Litton Systems, Inc. Dual-gimbal gyroscope flexure suspension
US4098001A (en) 1976-10-13 1978-07-04 The Charles Stark Draper Laboratory, Inc. Remote center compliance system
DE2800476A1 (de) 1977-01-07 1978-07-13 Instruments Sa Verfahren zur duplizierung einer optischen flaeche sowie so hergestelltes beugungsgitter
US4155169A (en) 1978-03-16 1979-05-22 The Charles Stark Draper Laboratory, Inc. Compliant assembly system device
JPS6053675B2 (ja) 1978-09-20 1985-11-27 富士写真フイルム株式会社 スピンコ−テイング方法
US4202107A (en) 1978-10-23 1980-05-13 Watson Paul C Remote axis admittance system
US4337579A (en) 1980-04-16 1982-07-06 The Charles Stark Draper Laboratory, Inc. Deformable remote center compliance device
US4355469A (en) 1980-11-28 1982-10-26 The Charles Stark Draper Laboratory, Inc. Folded remote center compliance device
US4414750A (en) 1981-10-19 1983-11-15 The Charles Stark Draper Laboratory, Inc. Single stage remote center compliance device
US4617238A (en) * 1982-04-01 1986-10-14 General Electric Company Vinyloxy-functional organopolysiloxane compositions
JPS5972727A (ja) 1982-10-19 1984-04-24 Matsushita Electric Ind Co Ltd 位置合わせ用テ−ブル
US4451507A (en) 1982-10-29 1984-05-29 Rca Corporation Automatic liquid dispensing apparatus for spinning surface of uniform thickness
US4512848A (en) * 1984-02-06 1985-04-23 Exxon Research And Engineering Co. Procedure for fabrication of microstructures over large areas using physical replication
US4614667A (en) * 1984-05-21 1986-09-30 Minnesota Mining And Manufacturing Company Composite low surface energy liner of perfluoropolyether
US4694703A (en) 1984-06-28 1987-09-22 Lear Siegler, Inc. Circumferentially oriented flexure suspension
JPS61238809A (ja) * 1985-04-16 1986-10-24 Ube Ind Ltd 感光性ポリイミドの樹脂液組成物
NL8600809A (nl) 1986-03-28 1987-10-16 Philips Nv Methode om een matrijs te voorzien van een loslaag.
US4929083A (en) 1986-06-19 1990-05-29 Xerox Corporation Focus and overlay characterization and optimization for photolithographic exposure
DE3760773D1 (en) * 1986-07-25 1989-11-16 Oki Electric Ind Co Ltd Negative resist material, method for its manufacture and method for using it
FR2604553A1 (fr) * 1986-09-29 1988-04-01 Rhone Poulenc Chimie Substrat polymere rigide pour disque optique et les disques optiques obtenus a partir dudit substrat
JPS63162132A (ja) 1986-12-26 1988-07-05 Nippon Thompson Co Ltd Xyテ−ブル
US4931351A (en) * 1987-01-12 1990-06-05 Eastman Kodak Company Bilayer lithographic process
US4731155A (en) 1987-04-15 1988-03-15 General Electric Company Process for forming a lithographic mask
US5028366A (en) * 1988-01-12 1991-07-02 Air Products And Chemicals, Inc. Water based mold release compositions for making molded polyurethane foam
JPH01196749A (ja) 1988-01-30 1989-08-08 Hoya Corp 光情報記録媒体用基板の製造方法
US5439766A (en) * 1988-12-30 1995-08-08 International Business Machines Corporation Composition for photo imaging
US5110514A (en) * 1989-05-01 1992-05-05 Soane Technologies, Inc. Controlled casting of a shrinkable material
JPH0354569A (ja) * 1989-07-24 1991-03-08 Dainippon Printing Co Ltd レジストパターンの形成方法
US5139925A (en) * 1989-10-18 1992-08-18 Massachusetts Institute Of Technology Surface barrier silylation of novolak film without photoactive additive patterned with 193 nm excimer laser
JP2586692B2 (ja) * 1990-05-24 1997-03-05 松下電器産業株式会社 パターン形成材料およびパターン形成方法
DE4029912A1 (de) * 1990-09-21 1992-03-26 Philips Patentverwaltung Verfahren zur bildung mindestens eines grabens in einer substratschicht
US5126006A (en) 1990-10-30 1992-06-30 International Business Machines Corp. Plural level chip masking
US5072126A (en) 1990-10-31 1991-12-10 International Business Machines Corporation Promixity alignment using polarized illumination and double conjugate projection lens
JPH04239684A (ja) * 1991-01-24 1992-08-27 G T C:Kk 微細パターン形成方法
US5206983A (en) * 1991-06-24 1993-05-04 Wisconsin Alumni Research Foundation Method of manufacturing micromechanical devices
US5242711A (en) * 1991-08-16 1993-09-07 Rockwell International Corp. Nucleation control of diamond films by microlithographic patterning
JPH0553289A (ja) 1991-08-22 1993-03-05 Nec Corp 位相シフトレチクルの製造方法
US5317386A (en) 1991-09-06 1994-05-31 Eastman Kodak Company Optical monitor for measuring a gap between two rollers
JPH0580530A (ja) * 1991-09-24 1993-04-02 Hitachi Ltd 薄膜パターン製造方法
JPH05109618A (ja) * 1991-10-18 1993-04-30 Ricoh Co Ltd グラフオエピタキシー用基板の製法
US5331020A (en) * 1991-11-14 1994-07-19 Dow Corning Limited Organosilicon compounds and compositions containing them
US5204739A (en) 1992-02-07 1993-04-20 Karl Suss America, Inc. Proximity mask alignment using a stored video image
US5545367A (en) * 1992-04-15 1996-08-13 Soane Technologies, Inc. Rapid prototype three dimensional stereolithography
EP0568478A1 (de) 1992-04-29 1993-11-03 International Business Machines Corporation Dunkelfeld-Ausrichtsystem unter Verwendung von einem konfokalen räumlichen Filter
US5601641A (en) * 1992-07-21 1997-02-11 Tse Industries, Inc. Mold release composition with polybutadiene and method of coating a mold core
DE69405451T2 (de) * 1993-03-16 1998-03-12 Koninkl Philips Electronics Nv Verfahren und Vorrichtung zur Herstellung eines strukturierten Reliefbildes aus vernetztem Photoresist auf einer flachen Substratoberfläche
US5348616A (en) 1993-05-03 1994-09-20 Motorola, Inc. Method for patterning a mold
US5594042A (en) * 1993-05-18 1997-01-14 Dow Corning Corporation Radiation curable compositions containing vinyl ether functional polyorganosiloxanes
US5861467A (en) * 1993-05-18 1999-01-19 Dow Corning Corporation Radiation curable siloxane compositions containing vinyl ether functionality and methods for their preparation
JP2837063B2 (ja) * 1993-06-04 1998-12-14 シャープ株式会社 レジストパターンの形成方法
US5900160A (en) 1993-10-04 1999-05-04 President And Fellows Of Harvard College Methods of etching articles via microcontact printing
US5776748A (en) 1993-10-04 1998-07-07 President And Fellows Of Harvard College Method of formation of microstamped patterns on plates for adhesion of cells and other biological materials, devices and uses therefor
US5512131A (en) 1993-10-04 1996-04-30 President And Fellows Of Harvard College Formation of microstamped patterns on surfaces and derivative articles
US6180239B1 (en) 1993-10-04 2001-01-30 President And Fellows Of Harvard College Microcontact printing on surfaces and derivative articles
US6776094B1 (en) * 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
NL9401260A (nl) 1993-11-12 1995-06-01 Cornelis Johannes Maria Van Ri Membraan voor microfiltratie, ultrafiltratie, gasscheiding en katalyse, werkwijze ter vervaardiging van een dergelijk membraan, mal ter vervaardiging van een dergelijk membraan, alsmede diverse scheidingssystemen omvattende een dergelijk membraan.
US5528118A (en) 1994-04-01 1996-06-18 Nikon Precision, Inc. Guideless stage with isolated reaction stage
US5542978A (en) * 1994-06-10 1996-08-06 Johnson & Johnson Vision Products, Inc. Apparatus for applying a surfactant to mold surfaces
US5425964A (en) 1994-07-22 1995-06-20 Rockwell International Corporation Deposition of multiple layer thin films using a broadband spectral monitor
US5515167A (en) 1994-09-13 1996-05-07 Hughes Aircraft Company Transparent optical chuck incorporating optical monitoring
DE19509452A1 (de) 1995-03-22 1996-09-26 Inst Mikrotechnik Mainz Gmbh Werkzeug mit Entformvorrichtung zur Abformung mikrostrukturierter Bauteile
US5820769A (en) * 1995-05-24 1998-10-13 Regents Of The University Of Minnesota Method for making magnetic storage having discrete elements with quantized magnetic moments
JP3624476B2 (ja) 1995-07-17 2005-03-02 セイコーエプソン株式会社 半導体レーザ装置の製造方法
WO1997007429A1 (en) * 1995-08-18 1997-02-27 President And Fellows Of Harvard College Self-assembled monolayer directed patterning of surfaces
US5566584A (en) 1995-08-31 1996-10-22 Beta Squared, Inc. Flexure support for a fixture positioning device
US5545570A (en) 1995-09-29 1996-08-13 Taiwan Semiconductor Manufacturing Company Method of inspecting first layer overlay shift in global alignment process
US6468642B1 (en) * 1995-10-03 2002-10-22 N.V. Bekaert S.A. Fluorine-doped diamond-like coatings
US20040036201A1 (en) * 2000-07-18 2004-02-26 Princeton University Methods and apparatus of field-induced pressure imprint lithography
US7758794B2 (en) * 2001-10-29 2010-07-20 Princeton University Method of making an article comprising nanoscale patterns with reduced edge roughness
US6309580B1 (en) * 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US20040137734A1 (en) * 1995-11-15 2004-07-15 Princeton University Compositions and processes for nanoimprinting
US6518189B1 (en) * 1995-11-15 2003-02-11 Regents Of The University Of Minnesota Method and apparatus for high density nanostructures
US6482742B1 (en) * 2000-07-18 2002-11-19 Stephen Y. Chou Fluid pressure imprint lithography
US5772905A (en) * 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
JP2842362B2 (ja) 1996-02-29 1999-01-06 日本電気株式会社 重ね合わせ測定方法
US5725788A (en) * 1996-03-04 1998-03-10 Motorola Apparatus and method for patterning a surface
US5669303A (en) * 1996-03-04 1997-09-23 Motorola Apparatus and method for stamping a surface
US6355198B1 (en) * 1996-03-15 2002-03-12 President And Fellows Of Harvard College Method of forming articles including waveguides via capillary micromolding and microtransfer molding
JP3832891B2 (ja) 1996-03-28 2006-10-11 日本トムソン株式会社 リニア電磁アクチュエータを用いたxyテーブル
US5942443A (en) * 1996-06-28 1999-08-24 Caliper Technologies Corporation High throughput screening assay systems in microscale fluidic devices
US5802914A (en) 1996-05-30 1998-09-08 Eastman Kodak Company Alignment mechanism using flexures
US5888650A (en) * 1996-06-03 1999-03-30 Minnesota Mining And Manufacturing Company Temperature-responsive adhesive article
US5779799A (en) 1996-06-21 1998-07-14 Micron Technology, Inc. Substrate coating apparatus
US6074827A (en) * 1996-07-30 2000-06-13 Aclara Biosciences, Inc. Microfluidic method for nucleic acid purification and processing
US6039897A (en) 1996-08-28 2000-03-21 University Of Washington Multiple patterned structures on a single substrate fabricated by elastomeric micro-molding techniques
JPH1096808A (ja) * 1996-09-24 1998-04-14 Nippon Telegr & Teleph Corp <Ntt> 微細パタン形成法
US6204343B1 (en) * 1996-12-11 2001-03-20 3M Innovative Properties Company Room temperature curable resin
US5895263A (en) * 1996-12-19 1999-04-20 International Business Machines Corporation Process for manufacture of integrated circuit device
US6133396A (en) * 1997-01-10 2000-10-17 The Regents Of The University Of Michigan Highly processable hyperbranched polymer precursors to controlled chemical and phase purity fully dense SiC
US6143412A (en) 1997-02-10 2000-11-07 President And Fellows Of Harvard College Fabrication of carbon microstructures
DE19710420C2 (de) 1997-03-13 2001-07-12 Helmut Fischer Gmbh & Co Verfahren und Vorrichtung zum Messen der Dicken dünner Schichten mittels Röntgenfluoreszenz
US5948470A (en) 1997-04-28 1999-09-07 Harrison; Christopher Method of nanoscale patterning and products made thereby
US6304364B1 (en) * 1997-06-11 2001-10-16 President & Fellows Of Harvard College Elastomeric light valves
JPH1115156A (ja) * 1997-06-24 1999-01-22 Hitachi Chem Co Ltd 感光性樹脂組成物及び半導体素子の製造法
US5912049A (en) 1997-08-12 1999-06-15 Micron Technology, Inc. Process liquid dispense method and apparatus
US6132632A (en) * 1997-09-11 2000-10-17 International Business Machines Corporation Method and apparatus for achieving etch rate uniformity in a reactive ion etcher
US5965237A (en) * 1997-10-20 1999-10-12 Novartis Ag Microstructure device
US5877861A (en) 1997-11-14 1999-03-02 International Business Machines Corporation Method for overlay control system
US5991022A (en) 1997-12-09 1999-11-23 N&K Technology, Inc. Reflectance spectrophotometric apparatus with toroidal mirrors
US6117708A (en) * 1998-02-05 2000-09-12 Micron Technology, Inc. Use of residual organic compounds to facilitate gate break on a carrier substrate for a semiconductor device
TW352421B (en) 1998-04-27 1999-02-11 United Microelectronics Corp Method and process of phase shifting mask
US6713238B1 (en) * 1998-10-09 2004-03-30 Stephen Y. Chou Microscale patterning and articles formed thereby
US6218316B1 (en) * 1998-10-22 2001-04-17 Micron Technology, Inc. Planarization of non-planar surfaces in device fabrication
US6204922B1 (en) 1998-12-11 2001-03-20 Filmetrics, Inc. Rapid and accurate thin film measurement of individual layers in a multi-layered or patterned sample
US6168845B1 (en) 1999-01-19 2001-01-02 International Business Machines Corporation Patterned magnetic media and method of making the same using selective oxidation
US6274294B1 (en) * 1999-02-03 2001-08-14 Electroformed Stents, Inc. Cylindrical photolithography exposure process and apparatus
US6334960B1 (en) * 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
JP2003502169A (ja) * 1999-06-11 2003-01-21 ボシュ・アンド・ロム・インコーポレイテッド コンタクトレンズおよび他の眼科製品を製造するための保護被覆を備えたレンズ鋳型
US6344105B1 (en) * 1999-06-30 2002-02-05 Lam Research Corporation Techniques for improving etch rate uniformity
US6190929B1 (en) * 1999-07-23 2001-02-20 Micron Technology, Inc. Methods of forming semiconductor devices and methods of forming field emission displays
WO2001018305A1 (en) * 1999-09-10 2001-03-15 Nano-Tex, Llc Water-repellent and soil-resistant finish for textiles
US6517995B1 (en) * 1999-09-14 2003-02-11 Massachusetts Institute Of Technology Fabrication of finely featured devices by liquid embossing
US6873087B1 (en) 1999-10-29 2005-03-29 Board Of Regents, The University Of Texas System High precision orientation alignment and gap control stages for imprint lithography processes
US6355994B1 (en) 1999-11-05 2002-03-12 Multibeam Systems, Inc. Precision stage
US6091485A (en) 1999-12-15 2000-07-18 N & K Technology, Inc. Method and apparatus for optically determining physical parameters of underlayers
US6391217B2 (en) * 1999-12-23 2002-05-21 University Of Massachusetts Methods and apparatus for forming submicron patterns on films
US6774183B1 (en) * 2000-04-27 2004-08-10 Bostik, Inc. Copolyesters having improved retained adhesion
US7635262B2 (en) * 2000-07-18 2009-12-22 Princeton University Lithographic apparatus for fluid pressure imprint lithography
US7211214B2 (en) * 2000-07-18 2007-05-01 Princeton University Laser assisted direct imprint lithography
EP2306242A3 (de) * 2000-10-12 2011-11-02 Board of Regents, The University of Texas System Verfahren zur Erzeugung eines Motifs auf einem Substrat
US6503914B1 (en) * 2000-10-23 2003-01-07 Board Of Regents, The University Of Texas System Thienopyrimidine-based inhibitors of the Src family
US6387787B1 (en) * 2001-03-02 2002-05-14 Motorola, Inc. Lithographic template and method of formation and use
US6517977B2 (en) * 2001-03-28 2003-02-11 Motorola, Inc. Lithographic template and method of formation and use
US6541356B2 (en) * 2001-05-21 2003-04-01 International Business Machines Corporation Ultimate SIMOX
US6737489B2 (en) * 2001-05-21 2004-05-18 3M Innovative Properties Company Polymers containing perfluorovinyl ethers and applications for such polymers
US6736857B2 (en) * 2001-05-25 2004-05-18 3M Innovative Properties Company Method for imparting soil and stain resistance to carpet
SG187992A1 (en) * 2001-07-25 2013-03-28 Univ Princeton Nanochannel arrays and their preparation and use for high throughput macromolecular analysis
US6721529B2 (en) * 2001-09-21 2004-04-13 Nexpress Solutions Llc Release agent donor member having fluorocarbon thermoplastic random copolymer overcoat
US6790905B2 (en) * 2001-10-09 2004-09-14 E. I. Du Pont De Nemours And Company Highly repellent carpet protectants
US20030080472A1 (en) * 2001-10-29 2003-05-01 Chou Stephen Y. Lithographic method with bonded release layer for molding small patterns
US7077992B2 (en) * 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US6900881B2 (en) * 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US6908861B2 (en) * 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US6932934B2 (en) * 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US7027156B2 (en) * 2002-08-01 2006-04-11 Molecular Imprints, Inc. Scatterometry alignment for imprint lithography
US6916584B2 (en) * 2002-08-01 2005-07-12 Molecular Imprints, Inc. Alignment methods for imprint lithography
US7070405B2 (en) * 2002-08-01 2006-07-04 Molecular Imprints, Inc. Alignment systems for imprint lithography
US6936194B2 (en) * 2002-09-05 2005-08-30 Molecular Imprints, Inc. Functional patterning material for imprint lithography processes
US20040065252A1 (en) * 2002-10-04 2004-04-08 Sreenivasan Sidlgata V. Method of forming a layer on a substrate to facilitate fabrication of metrology standards
US7750059B2 (en) * 2002-12-04 2010-07-06 Hewlett-Packard Development Company, L.P. Polymer solution for nanoimprint lithography to reduce imprint temperature and pressure
US7452574B2 (en) * 2003-02-27 2008-11-18 Molecular Imprints, Inc. Method to reduce adhesion between a polymerizable layer and a substrate employing a fluorine-containing layer
US6943117B2 (en) * 2003-03-27 2005-09-13 Korea Institute Of Machinery & Materials UV nanoimprint lithography process using elementwise embossed stamp and selectively additive pressurization

Also Published As

Publication number Publication date
US20120133078A1 (en) 2012-05-31
US6719915B2 (en) 2004-04-13
US20010040145A1 (en) 2001-11-15
JP2002539604A (ja) 2002-11-19
US20050236739A1 (en) 2005-10-27
EP1228401A1 (de) 2002-08-07
ATE301846T1 (de) 2005-08-15
WO2000054107A1 (en) 2000-09-14
WO2000054107A9 (en) 2002-05-02
US6334960B1 (en) 2002-01-01
DE60021909T2 (de) 2006-05-24
EP1228401B1 (de) 2005-08-10

Similar Documents

Publication Publication Date Title
DE60021909D1 (en) Prägeform-lithographie
WO2004034424A3 (en) A method and a mold to arrange features on a substrate to replicate features having minimal dimensional variability
PT1009621E (pt) Metodo para produzir um corpo conformado
TW330307B (en) Semiconductor substrate and producing method thereof
WO2003031096A3 (en) Patterned structure reproduction using nonsticking mold
EP1036649A3 (de) Geprägte Mehrschichtfolie
WO2005039868A3 (de) Strukturierung von elektrischen funktionsschichten mittels einer transferfolie und strukturierung des klebers
WO1998054556A3 (en) Sensor device and method of forming a sensor device
AU5510496A (en) Micro relief element and preparation thereof
CA2326244A1 (en) Electrical connecting element and method of producing the same
EP1039551A3 (de) Photovoltaisches Modul
AU4628600A (en) Methods for patterning polymer films, and use of the methods
EP0905756A3 (de) Planarisierung von einer nicht-konformen Vorrichtungsschicht in Halbleiterherstellung
CA2336467A1 (en) Method of making optical replicas by stamping in photoresist and replicas formed thereby
AU2000270860A1 (en) A compound mold and structured surface articles containing geometric structures with compound faces and method of making same
WO2004036663A3 (en) Method of patterning a functional material on to a substrate
AU2003209795A1 (en) A method for forming a film, by using electrostatic forces
CA2360881A1 (en) A method for creating a patterned concrete surface
TW353775B (en) Production of semiconductor device
AU5442100A (en) Decorative signage with portions in relief and method of making same
JPS54589A (en) Burying method of insulator
HK1003309A1 (en) Fluoropolymer protectant layer for high temperature superconductor film and photo-definition thereof
EP0390465A3 (de) Verfahren zum Aufbringen eines Filmmusters auf ein Substrat
CA2359385A1 (en) Photo definable polyimide film used as an embossing surface
YU69002A (sh) Prethodno isečeni poklopci koji se uklanjaju

Legal Events

Date Code Title Description
8364 No opposition during term of opposition