DE60024146T2 - Methode und apparatfür die gleichmä ige gaszufuhr zu substraten bei cvd und pecvd verfahren - Google Patents

Methode und apparatfür die gleichmä ige gaszufuhr zu substraten bei cvd und pecvd verfahren Download PDF

Info

Publication number
DE60024146T2
DE60024146T2 DE60024146T DE60024146T DE60024146T2 DE 60024146 T2 DE60024146 T2 DE 60024146T2 DE 60024146 T DE60024146 T DE 60024146T DE 60024146 T DE60024146 T DE 60024146T DE 60024146 T2 DE60024146 T2 DE 60024146T2
Authority
DE
Germany
Prior art keywords
channels
passages
channel
distribution
showerhead
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE60024146T
Other languages
English (en)
Other versions
DE60024146D1 (de
Inventor
William Scott DUNHAM
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Genus Inc
Original Assignee
Genus Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Genus Inc filed Critical Genus Inc
Application granted granted Critical
Publication of DE60024146D1 publication Critical patent/DE60024146D1/de
Publication of DE60024146T2 publication Critical patent/DE60024146T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means

Description

  • TECHNISCHES GEBIET DER ERFINDUNG
  • Die Erfindung betrifft das Gebiet des chemischen Aufdampfens (CVD) einschließlich der plasmaunterstützten chemischen Aufdampfung (PECVD) und verwandter Prozesse und betrifft insbesondere Verfahren und Vorrichtungen zur Steuerung der Flußgleichmäßigkeit für die Gaszufuhr.
  • TECHNISCHER HINTERGRUND DER ERFINDUNG
  • Auf dem Gebiet der Dünnschichttechnologie, die in großem Umfang bei der Herstellung integrierter Schaltkreise eingesetzt wird, war und ist der Bedarf an dünneren Beschichtungen, besserer Gleichmäßigkeit über größere Oberflächen und höheren Ausbeuten treibende Kraft für entstehende Technologien, die von Geräteherstellern entwickelt werden. Während Halbleiterbauelemente kleiner und schneller werden, steigt die Notwendigkeit größerer Gleichmäßigkeit und der Prozeßsteuerung der Schichtdicke, Gleichmäßigkeit, Widerstandsfähigkeit und anderer Schichteigenschaften dramatisch an.
  • Dem Fachmann für das Aufbringen von Dünnschichten auf Substrate in Fertigungsschritten für integrierte Schaltkreise (ICs) sind verschiedene Technologien bekannt. Zu den etablierteren Technologien, die für das Aufbringen von Dünnschichten verfügbar sind, gehört das chemische Aufdampfen (CVD), welches das plasmaunterstützte chemische Aufdampfen (PECVD) einschließt. Dies sind flußabhängige Anwendungen, die eine bestimmte und gleichmäßige Substrattemperatur benötigen und erfordern, daß sich Vorläufer (chemische Spezies) in der Bearbeitungskammer in einem Zustand der Gleichmäßigkeit befinden, um gewünschte Dünnschichteigenschaften auf einer Substratoberfläche zu erzeugen. Diese Anforderungen werden mit zunehmender Größe des Substrats und abnehmender Bauelementgröße (d. h. Linienbreite) kritischer und schaffen eine Notwendigkeit größerer Komplexität der Kammerkonstruktion und der Gasströmungsverfahren, um eine angemessene Gleichmäßigkeit aufrechtzuerhalten.
  • CVD-Systeme nutzen verschiedene bekannte Vorrichtungen zur Zuführung von Vorläufergasen zu Targetsubstraten. Allgemein gesagt, sind Gaszufuhrsystem für CVD- und PECVD-Prozesse speziell für eine bestimmte Anwendung und Substratgröße ausgelegt. Daher sind Veränderungen des Gegenstands solcher Abgabevorrichtungen und -verfahren von den jeweiligen Prozeßparametern und Substratgrößen abhängig, die in einem einzelnen Reaktionsbehälter verarbeitet werden. Gasverteiler und Diffusoren der Stand der Technik sind aus verschiedenen Materialien hergestellt worden und weisen sehr unterschiedliche Konstruktionen auf. Zum Beispiel sind bestimmte Gasabgabeverteiler lange Röhren, die entweder gerade oder spiralförmig sind und ein Vielzahl von kleinen, oft unterschiedlich bemessenen Gasabgabelöchern aufweisen, die in Längsrichtung in Abständen entlang dem Verteiler angeordnet sind. Die meisten Diffusoren und Brauseköpfe sind im Grunde ablenkplattenartige Strukturen mit einer Vielzahl von Löchern, die in kreisförmigen oder spiralförmigen Anordnungen auf einander gegenüberliegenden Platten oder Oberflächen angeordnet sind. Oft sind die Löcher in einer Reihe von Kreisen mit größer werdenden Radien auf jeder Platte enthalten. Häufig ist eine solche Vorrichtung nur an einen Prozeßtyp angepaßt und kann nicht bei anderen Prozessen eingesetzt werden, welche die gleiche CVD-Einrichtung nutzen.
  • Ein Charakteristikum, das in CVD-Gaszuführeinrichtungen allgemein erforderlich ist, besteht darin, daß die Lochgrößen und der Abstand zwischen den Löchern genau kontrolliert werden, so daß über eine bestimmte Oberfläche eine gleichmäßige Gasverteilung oder -zone aufrechterhalten wird. Ungleichmäßige Gasströmung entsteht oft, wenn einige Löcher im Vergleich zu einer mittleren Größe unabsichtlich zu groß ausgeführt oder in falschen Positionen angeordnet werden. Wenn ein größeres Substrat in einer gleichen oder verschiedenen Kammer eingesetzt wird, dann muß die Gaszufuhreinrichtung oft gegen eine andere ausgetauscht werden, die entsprechend der Veränderung der Substratgröße und/oder der Kammerparameter ausgelegt und daran angepaßt ist. An den Verteiler- und Diffusorkonstruktionen vorgenommene Verbesserungen sind oft weitgehend von empirischen Methoden auf diesem Gebiet abhängig, die zu zahlreichen Fällen von Produktverbrauch durch Chargentests führen.
  • Die gleichmäßige Gaszufuhr bleibt eine äußerst schwierige Aufgabe bei der CVD-Verarbeitung von Substraten. Wenn die Gleichmäßigkeit der Gaszufuhr nicht genau reguliert werden kann, wird die Schichtdicke nicht gleichmäßig sein. Das Problem nimmt seinen Fortgang mit größerer Targetgröße und dem Hinzufügen weiterer Schichten. Außerdem weisen viele zu beschichtende Substrate bereits eine komplexe Topologie auf, die eine Forderung nach gleichmäßiger Stufenüberdeckung auslöst. PECVD hat in vielen Fällen aufgrund der Zufuhr von stärker reaktiven chemischen Vorläufern, die durch das Plasma aktiviert werden, Vorteile gegenüber CVD. Bisher bieten jedoch Verfahren zur Gaszufuhr in CVD-Systemen, einschließlich PECVD-Systemen, viel Raum für Verbesserung.
  • Ein Problem bei vielen Verteilerbrausekopfsystemen betrifft die begrenzte Gasströmungsdynamik und Steuerungsfähigkeit. Zum Beispiel überstreicht durch einen typischen Brausekopf abgegebenes Gas eine Verteilungszone innerhalb der Kammer, die durch eine Anordnung von im Brausekopf angeordneten Verteilungslöchern erzeugt wird. Wenn ein System für die Verarbeitung eines 200 mm-Wafers oder einer Wafercharge konstruiert ist, erzeugt die mit diesem System verbundene Gasverteilungsvorrichtung eine Zone, die für diese Größe optimal ist. Wenn die Wafergröße über die festgelegte Zonentauglichkeit eines bestimmten Brausekopfs hinaus vergrößert oder verkleinert wird, dann muß eine neue Verteilungsvorrichtung bereitgestellt werden, um sie an die neue Größe anzupassen. Typischerweise gibt es keine Vereinbarungen für die Bereitstellung von mehr als einigen Zonen oder für wechselnde Vorläuferzufuhr für Substrate verschiedener Größe in einem Prozeß.
  • In einem Milieu, wo gewöhnlich unterschiedliche Substratgrößen verarbeitet werden, ist es erwünscht, daß Verteilungsmethoden und -vorrichtungen flexibler sind, so daß eine Mehrzonenverteilung an Substraten unterschiedlicher Größe unter Verwendung eines Brausekopfsysteme durchführbar ist. Dies würde weniger Stillstandszeit in Verbindung mit dem Austausch von Einrichtungen für unterschiedliche Situationen und bessere Gleichmäßigkeit durch Kombination und Abwechseln verschiedener Zonen während der Verteilung ermöglichen. Verteilungsverfahren und -vorrichtungen nach dem Stand der Technik erfüllen Anforderungen nach dieser Art Flexibilität nicht.
  • Ein weiteres Problem bei dieser Technologie ist, daß verschiedene Gase mit unterschiedlichen Eigenschaften für einen bestimmten Prozeß vermischt werden. Es treten Schwankungen der Dichte, Temperatur, Reaktivität und dergleichen auf, so daß eine vollkommene Gleichmäßigkeit in Zusammensetzung und Dichte des Gasgemischs keine präzise Gleichmäßigkeit bei der Schichtabscheidung erzeugt. In einigen Verfahren wird zur Erzeugung der Schichtgleichmäßigkeit eine absichtliche Ungleichmäßigkeit der Gaszufuhr erforderlich sein.
  • Was eindeutig benötigt wird, sind verbesserte Vorläuferzufuhreinrichtungen und -verfahren, die eine genaue und kombinierte Steuerung der Gasverteilung über mehrere Targetzonen in einem Reaktionsbehälter zulassen und mehrere Freiheitsgrade beim Vermischen, der Abgabe und der Gleichmäßigkeitskontrolle aufweisen. Ein solches System würde eine Fähigkeit bereitstellen, die Gasströmung so zu regulieren, daß eine Prozeßpunkt-Reaktionsgleichmäßigkeit erzielt werden kann, die eine hervorragende Gleichmäßigkeit der Schichteigenschaften liefert. Ein derartiges System kann so angepaßt werden, daß es bei vielen verschiedenen CVD- und PECVD-Anwendungen funktioniert.
  • ZUSAMMENFASSUNG DER ERFINDUNG
  • In einer bevorzugten Ausführungsform der vorliegenden Erfindung wird eine Brausekopfverteilervorrichtung für ein CVD-Verfahren bereitgestellt, die aufweist: einen ersten Kanalbereich mit mehreren ersten unabhängigen radial-konzentrischen Kanälen und individuellen Gaszuflußöffnungen von einer ersten Seite der Vorrichtung zu individuellen ersten Kanälen; einen zweiten Kanalbereich mit mehreren zweiten unabhängigen radial-konzentrischen Kanälen und einer Struktur von Verteilungsdurchlässen von den zweiten Kanälen zu einer zweiten Seite der Vorrichtung; einen Übergangsbereich zwischen dem ersten Kanalbereich und dem zweiten Kanalbereich mit mindestens einem Übergangsgasdurchlaß zur Weiterleitung von Gas aus jedem ersten Kanal im ersten Bereich zu einem entsprechenden zweiten Kanal im zweiten Bereich; und eine Vakuumdichtungs-Schnittstelle für die Montage der Brausekopfvorrichtung an einer CVD-Reaktorkammer, so daß die erste Seite und die Zuflußöffnungen von der Reaktorkammer abgewandt sind und die zweite Seite und die von den zweiten Kanälen ausgehenden Verteilungsdurchlaßstrukturen in die Reaktorkammer münden.
  • In bevorzugten Ausführungsformen weist die zweite Seite eine ebene Oberfläche auf, so daß die Verteilungsdurchlässe von den zweiten Kanälen auf einer Ebene in die Reaktorkammer münden. Außerdem weist in bevorzugten Ausführungsformen die Vakuumdichtungs-Schnittstelle einen Flansch mit Schraubenlöchern und einen O-Ring zur Montage und Abdichtung an einer Wand der Reaktorkammer auf.
  • Zur Verbesserung der Gasverteilung und -vermischung sind in Ausführungsformen der Erfindung die Zuflußöffnungen zu den ersten Kanälen und die Übergangsdurchlässe von den ersten Kanälen in die zweiten Kanäle so gegeneinander versetzt, daß keine Zuflußöffnung auf einen Übergangsdurchlaß ausgerichtet ist. Bevorzugte Ausführungsformen weisen ferner Kühlmittelkanäle im zweiten Kanalbereich auf, die der Innenseite einer Reaktorkammer gegenüberliegen, um die Brausekopfvorrichtung gegen Hitze aus dem Inneren der Kammer zu schützen und Abscheidung der Prozeßschicht auf der Vorderseite des Brausekopfs zu erschweren.
  • Nach einem anderen Aspekt der Erfindung wird ein CVD-Reaktorsystem bereitgestellt, das aufweist: eine Reaktorkammer mit einer Öffnung für eine Brausekopfvorrichtung; einen der Öffnung benachbarten Träger in der Kammer, wobei der Träger für ein zu verarbeitendes Substrat vorgesehen ist; und eine Brausekopfverteilervorrichtung für ein CVD-Verfahren, wobei der Brausekopf aufweist: einen ersten Kanalbereich mit mehreren ersten unabhängigen radial-konzentrischen Kanälen und individuellen Gaszuflußöffnungen von einer ersten Seite der Vorrichtung zu individuellen ersten Kanälen; einen zweiten Kanalbereich mit mehreren zweiten unabhängigen radial-konzentrischen Kanälen und einer Struktur von Verteilungsdurchlässen von den zweiten Kanälen zu einer zweiten Seite der Vorrichtung; einen Übergangsbereich zwischen dem ersten Kanalbereich und dem zweiten Kanalbereich mit mindestens einem Übergangsgasdurchlaß zur Weiterleitung von Gas aus jedem ersten Kanal im ersten Bereich zu einem entsprechenden zweiten Kanal im zweiten Bereich; und eine Vakuumdichtungs-Schnittstelle für die Montage der Brausekopfvorrichtung an einer CVD-Reaktorkammer, so daß die erste Seite und die Zuflußöffnungen von der Reaktorkammer abgewandt sind und die zweite Seite und die von den zweiten Kanälen ausgehenden Verteilungsdurchlaßstrukturen in die Reaktorkammer münden. In dem Reaktorsystem weist die zweite Seite eine ebene Oberfläche auf, so daß die Verteilungsdurchlässe von den zweiten Kanälen auf einer Ebene in die Reaktorkammer münden.
  • Nach einem weiteren Aspekt der Erfindung wird ein Verfahren zur Verteilung von Gasen auf einen Wafer in einem CVD-Beschichtungsverfahren bereitgestellt, das die folgenden Schritte aufweist: (a) Einleiten von Gasen für das Verfahren durch individuelle Zuflußöffnungen in individuelle Kanäle von mehreren radial-konzentrischen ersten Kanälen eines ersten Kanalbereichs einer Brausekopfvorrichtung; (b) Durchleiten der Gase aus den ersten Kanälen durch Übergangsdurchlässe in entsprechende radial-konzentrische zweite Kanäle in einem zweiten Kanalbereich; und (c) Verteilen der Gase aus den zweiten Kanälen durch Verteilungsdurchlässe, die durch eine ebene Oberfläche der Brausekopfvorrichtung münden, die parallel zu dem zu beschichtenden Wafer und diesem benachbart ist.
  • Nach einem weiteren Aspekt der Erfindung wird ein Verfahren zur Regulierung der Gasströmungsverteilung über einem Wafer in einem CVD-Beschichtungsvorgang bereitgestellt, das die folgenden Schritte aufweist: (a) Einleiten von Gasen für den Beschichtungsvorgang durch individuelle Zuflußöffnungen in individuelle Kanäle von mehreren radial-konzentrischen ersten Kanälen eines ersten Kanalbereichs einer Brausekopfvorrichtung; (b) Durchleiten der Gase aus den ersten Kanälen durch Übergangsdurchlässe in entsprechende radial-konzentrische zweite Kanäle in einem zweiten Kanalbereich; (c) Verteilen der Gase aus den zweiten Kanälen durch Verteilungsdurchlässe, die durch eine ebene Oberfläche der Brausekopfvorrichtung münden, die parallel zu dem zu beschichtenden Wafer und diesem benachbart ist, und (d) Regulieren der Gasstromverteilung über dem Wafer durch individuelles Dosieren des Massenzuflusses zu einzelnen von den individuellen Zuflußöffnungen der ersten Kanäle.
  • In den Ausführungsformen der Erfindung wird erstmals ein Verteiler mit Flexibilität zur Regulierung des Gasverteilungsflusses auf mehrere verschiedene Arten bereitgestellt, der das Einwählen eines Verteilers ermöglicht, um viele Gasparameter zu berücksichtigen, wie z. B. die Reaktivität und dergleichen. Nachstehend werden verschiedene Ausführungsformen der Erfindung nachvollziehbar erläutert.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • 1 zeigt eine perspektivische Ansicht eines Mehrzonenverteilers nach einer Ausführungsform der vorliegenden Erfindung.
  • 2 zeigt eine Schnittansicht des Mehrzonenverteilers von 1 entlang der Schnittlinie A-A.
  • 3 zeigt ein Schema, das obere Gaszonen und Gasübergangsdurchlaßstellen nach einer Ausführungsform der vorliegenden Erfindung darstellt.
  • 4 zeigt ein Schema, das untere Gaszonen und Gasverteilungsdurchlässe nach einer Ausführungsform der vorliegenden Erfindung darstellt.
  • 5 zeigt ein Blockdiagramm, das drei Gastrennstufen in der Vorrichtung gemäß 1 nach einer Ausführungsform der vorliegenden Erfindung darstellt.
  • BESCHREIBUNG DER BEVORZUGTEN AUSFÜHRUNGSFORMEN
  • Wie im Abschnitt über den technischen Hintergrund beschrieben, ist das Erzielen einer einheitlichen und gleichmäßigen Materialschichtenbildung bei der Halbleiterfertigung ausschlaggebend für die Erzeugung hochwertiger Halbleiterbauelemente. Verteilervorrichtungen nach dem Stand der Technik weisen jedoch viele inhärente Beschränkungen auf, die Hersteller, welche CVD-Anwendungen oder Varianten davon anwenden, auch weiterhin belästigen. Der Erfinder bietet in der vorliegenden Offenbarung eine außergewöhnliche Vorrichtung und ein Verfahren zur Verbesserung der Verarbeitungsgleichmäßigkeit durch Nutzung von Mehrzonenfähigkeiten und genau gesteuerten Gaszufuhrmethoden. Das Verfahren und die Vorrichtung gemäß der vorliegenden Erfindung werden nachstehend auf nachvollziehbare Weise näher erläutert.
  • 1 zeigt eine perspektivische Ansicht eines Mehrzonenverteilers 9 nach einer Ausführungsform der vorliegenden Erfindung. Der Verteiler 9 ist an die Zufuhr von Vorläufergasen und Inertgasen zu Beschichtungszwecken bei CVD-Verfahren oder Varianten davon angepaßt.
  • Der Verteiler 9 ist eine Baugruppe, die in der vorliegenden Ausführungsform drei Grundkomponenten aufweist, eine obere Verteilungskanalbaugruppe 11, eine Gasübergangsablenkplatte 13 und eine untere Verteilungskanalbaugruppe 15. Die Komponenten 11, 13 und 15 werden in einer bevorzugten Ausführungsform durch Hartlöten oder ein anderes Verbindungsverfahren starr zu einem Ganzen zusammengefügt.
  • Der Verteiler 9 ist so konstruiert und eingerichtet, daß er durch einen Flansch und geeignete Dichtungselemente an einem Prozeßreaktor (nicht dargestellt) installiert wird, um Prozeßgase über ein geeignetes, darin enthaltenes Substrat zu verteilen. In einer bevorzugten Ausführungsform wird der Verteiler 9 über einen Deckel eines Verarbeitungssystems für Einzelwafer angekuppelt. Ein unterer Abschnitt (in dieser Ansicht nicht sichtbar) der Kanalbaugruppe 15 ragt in einen Reaktor hinein, wenn der Verteiler 9 richtig montiert ist. Mehrere Durchgangslöcher 19 am Flanschabschnitt der unteren Spiralbaugruppe 15 sind für Bolzen vorgesehen, die bei der Montage an einem Deckel einer Reaktorkammer benutzt werden, und Löcher 20 sind in einer alternativen Ausführungsform für die Montage einer HF-Elektrode in einem Reaktor zur Erzeugung und Aufrechterhaltung von Plasma vorgesehen, falls dies für irgendeinen Zweck erforderlich ist, wie z. B. für PECVD.
  • Der Verteiler 9 ermöglicht aufgrund der oben beschriebenen Komponenten eine dosierte Zufuhr von Gasen zu CVD-Prozessen oder deren Varianten gemäß vorausberechneten Parametern. Die Merkmale des Verteilers 9 sind so ausgelegt, daß mehrere radiale Gaszonen über einem Target erzeugt werden, um eine verbesserte Steuerbarkeit der Beschichtungsgleichmäßigkeit zu erzielen, die früher bei Systemen nach dem Stand der Technik nicht erreicht worden ist. Der Verteiler 9 bietet ferner die Fähigkeit zur dosierten, entweder abwechselnden oder kombinierten Zufuhr vieler verschiedener Gase zu einigen oder allen definierten Gaszonen. Diese außergewöhnliche Fähigkeit ermöglicht Herstellern eine leichte Feinabstimmung der Schichtgleichmäßigkeit während der Verarbeitung, um über einfachen und komplexen Topologien eine optimale und reproduzierbare Schichtgleichmäßigkeit zu erzielen.
  • Die obere Spiralbaugruppe 9 weist mehrere Gaszuflußdurchlässe 17 auf, die durch eine obere Plattenoberfläche hindurchgehen. Jeder Zuflußdurchlaß 17 speist eine von mehreren Gaszonen, die durch mehrere, in der Baugrppe 11 vorgesehene radiale Kanäle definiert sind und in weiteren Figuren und nachstehenden Beschreibungen dargestellt werden. Für die Zuleitung von Gasen zu den Durchlässen 17 eingerichtete Gaszuflußröhren und Anschlußstutzen sind hier der Einfachheit nicht dargestellt. Kühlmittelfördenöhren 21 (ein Einlaß und ein Auslaß) sind in der Oberseite der Spiralbaugruppe 11 vorgesehen und so angepaßt, daß sie den Kühlmittelumlauf durch Kühlmittelkanäle im Verteiler 9 ermöglichen. Weitere Details über den Verteiler 9 und innere Komponenten werden weiter unten angegeben.
  • 2 zeigt eine Schnittansicht des Verteilers 9 von 1 entlang der Schnittlinie AA. Die obere Kanalbaugruppe 11 weist mehrere radiale Gaszonen mit unterschiedlichen Durchmessern auf, die konzentrisch beabstandet angeordnet sind. In diesem Beispiel sind insgesamt dreizehn Zonen 23 vorhanden, jedoch können mehr oder weniger Zonen 23 vorhanden sein.
  • Jede Zone 23 befindet sich in einem selbständigen kreisförmigen Kanal und wird durch einen Gaszuflußdurchlaß 17 gespeist, von denen vier in dieser Schnittansicht dargestellt sind. Durch diese Anordnung können verschiedenen Gaszonen 23 unabhängig voneinander ohne Vermischen von Gasen oder gegenseitige Beeinflussung von einer Zone zur anderen mit unterschiedlichen Gasen gespeist werden. Da zwischen einzelnen Zonen 23 keine gegenseitige Beeinflussung auftritt, können überdies verschiedene Strömungsdrücke an jede besondere Zone angelegt werden. Zum Beispiel kann eine niedrig dosierte Strömung für einen Kanal bereitgestellt werden, der näher am Mittelpunkt des Verteilers liegt, während eine höher dosierte Strömung an eine Zone angelegt werden kann, die näher am äußeren Umfang liegt. Außerdem können die Zonen 23 abwechselnd benutzt werden. Zum Beispiel können durch selektives Abschalten der Gaszufuhr zu einem Gaszuflußdurchlaß oder einer Kombination von Gaszuflußdurchlässen 17 damit verbundene Zonen 23 abgeschaltet werden, ohne den Gaszufluß zu anderen Zonen zu beeinflussen. Dies ermöglicht Bedienungspersonen eine viel größere Flexibilität beim Einleiten von getrennten Gasen in einen Prozeß.
  • Die untere Kanalbaugruppe 15 weist konzentrische Kanäle in der gleichen radialen Geometrie auf wie die obere Kanalbaugruppe 11, und die Ablenkplatte 13, die einen Mittelabschnitt des Verteilers 9 bildet, weist mehrere strategisch darin angeordnete, langgestreckte Gasübergangsdurchlässe 25 auf, die Gas von jedem oberen Kanal in einen entsprechenden unteren Kanal einspeisen. Die Ablenkplatte 13 wird vorzugsweise aus einem massiven Metallstück gefertigt. Für jedes Paar von oberen und unteren Kanälen können eine beliebige Anzahl und beliebige Abstände von Übergangsdurchlässen 25 durch das Ablenkplattenelement 13 vorhanden sein. Zum Beispiel kann ein äußeres Kanalpaar viel mehr Übergangsdurchlässe als ein inneres Kanalpaar aufweisen.
  • Übergangsdurchlässe 25 sind aufgrund der Dicke der Platte 13 im wesentlichen langgestreckt und weisen einen erheblich kleineren Durchmesser auf als Zuflußdurchlässe 17. Übergangsdurchlässe 25 können, wie im vorliegenden Beispiel, alle vom gleichen Durchmesser sein oder können unterschiedliche Durchmesser aufweisen, wie sie beispielsweise festgelegt werden, um bestimmte gewünschte Gasströmungseigenschaften zu bewirken. Außer der Länge und dem Durchmesser von Übergangsdurchlässen 25 können die zonenspezifische Orientierung und die Anzahl der Löcher 25 pro Zone entsprechend berechneten Vorgabewerten variieren, die durch Computermodellierung ermittelt werden können, und sind dazu gedacht, optimale Gleichmäßigkeitseigenschaften zu erzeugen. Diese berechneten Vorgabewerte bestimmen auch die Dicke der Ablenkplattenbaugruppe 13 und definieren daher die Länge der Durchlässe 25.
  • Kanäle 27 in der Baugruppe 15 sind in der vorliegenden Ausführungsform etwas tiefer (Höhe) als Kanäle 23 der Baugruppe 11. Dieses Merkmal fördert die weitere Verteilung von Gasen, bevor sie in einen Reaktor eingeleitet werden. Mehrere Gasverteilungsdurchlässe 31 sind vorgesehen, die durch einen unteren Abschnitt der Kanalbaugruppe 15 in einen Reaktor führen. Durchlässe 31 erlauben die Einleitung von Gasen von Kanäle 27 in den Reaktor. Die durch die Durchlässe 31 in den Reaktor gelangenden Gase werden entsprechend vorgegebenen Parametern optimal verteilt. Die Anzahl der Gasverteilungsdurchlässe 31 pro Kanal ist in Ausführungsformen der Erfindung typischerweise erheblich größer als die Anzahl der Gasübergangsdurchlässe 25 pro Kanal. Zum Beispiel kann ein am weitesten außen liegender Kanal 27 drei Übergangsdurchlässe 25 (Einlaß in den Kanal) und vielleicht 30 Verteilungsdurchlässe 31 (Auslaß aus dem Kanal) aufweisen.
  • In Ausführungsformen der Erfindung wird für jeden Kanal 27 ein HF-Sperring 29 vorgesehen. HF-Ringe 29 sind so konstruiert und angepaßt, daß sie die Durchlässe von den Kanälen 27 in die Reaktorkammer so abschirmen, daß ein in der Kammer gezündetes bzw. erzeugtes Plasma nicht in die Kanäle 27 oder den Verteiler 9 wandert. Die HF-Ringe 29 bestehen aus geeignetem, elektrisch leitfähigem Metall, und jeder HF-Ring 29 ist vorzugsweise in jedem Kanal 27 unmittelbar über der unteren Fläche des Kanals angeschweißt und läßt, wie dargestellt, an den Seiten Raum, so daß Gase, die aus jedem Kanal 27 in einen Durchlaß 31 fließen, einen gewundenen Weg durchlaufen müssen, dessen Abmessungen klein genug sind, um etwaiges Plasma zu löschen bzw. zu neutralisieren. In der Praxis werden Ringe 29 in Positionen, die nicht in einer Linie mit Durchlässen 31 liegen, mit drei oder mehr abwärts gerichteten Vertiefungen ausgebildet, wobei die Ringe so angeordnet sind, daß die Unterseite dieser Vertiefungen eine Fläche berührt, die ein wenig oberhalb des Bodens der entsprechenden Kanäle liegt, und die Ringe werden dann im Boden der Kanäle durch Punktschweißen mit dieser Montagefläche verbunden.
  • In den Trennwänden der Kanäle 27 in der Kanalbaugruppe 19 sind Wasserdurchlässe 33 vorgesehen, die eine Wasserkühlung ermöglichen, da zu verarbeitende Substrate typischerweise auf einem Herd in der Kammer auf eine hohe Temperatur erhitzt werden. Die Röhren 21 bilden einen Einlaß und Auslaß für Kühlmittel, wie weiter oben beschrieben.
  • Für den Fachmann wird offensichtlich sein, daß der Verteiler 9 in vielen verschiedenen Durchmessern mit verschiedenen Anzahlen von Gaszonen und Kanälen hergestellt werden kann. In bevorzugten Ausführungsformen wird der Verteiler 9 so hergestellt, daß er für eine bestimmte Halbleiterwafergröße Platz bietet, wie zum Beispiel für einen 200 mm- oder 300 mm-Wafer. Bei der praktischen Anwendung kann ein für eine Wafergröße hergestellter Verteiler für Wafer einer kleineren Größe eingesetzt werden, indem die Gaszufuhr zu äußeren Kanälen geschlossen und die Gaszufuhr zu den übrigen Kanälen angepaßt wird.
  • Für den Fachmann wird gleichfalls ersichtlich sein, daß ein Verteiler nach Ausführungsformen der vorliegenden Erfindung entsprechend Maßvorgabewerten gefertigt werden kann, die aus einer Computermodellierung der Gasströmungsdynamik abgeleitet sind. Auf diese Weise können umfangreiche praktische Erprobungen von Gleichmäßigkeitseigenschaften vermieden werden, die normalerweise in Anwendungen des Verfahrens nach dem Stand der Technik erforderlich sind. Die Feinabstimmung von Gleichmäßigkeitseigenschaften, wie z. B. durch Einstellen von Durchflußgeschwindigkeiten zu bestimmten Gaszonen, die Abschaltung bestimmter Gaszonen und dergleichen, kann jedoch während des Prozesses von Bedienungspersonen mit Hilfe des Verteilers 9 ausgeführt werden.
  • 3 zeigt ein Schema, das eine Anordnung von oberen Gaskanälen 23 und typische Positionen von Gasübergangsdurchlässen 25 gemäß einer Ausführungsform der vorliegenden Erfindung darstellt. Die Kanäle 23 sind in Bezug aufeinander konzentrisch angeordnet, wie weiter oben beschrieben. Jeder Kanal 23 steht in Verbindung mit bestimmten Gasübergangsdurchlässen 25, die in der Ablenkplatte 13 ausgearbeitet sind. Zum Beispiel weist der mittelste Kanal 23 einen Gasübergangsdurchlaß 25 auf. Ein dritter Kanal 23 (von der Mitte aus gezählt) weist zwei Gasübergangsdurchlässe 25 auf. Zum Umfang hin weist jeder darauf folgende Kanal drei Gasübergangsdurchlässe 25 auf. Diese besondere Anordnung im Sinne der Anzahl von Durchlässen 25 pro Kanal 23 ist nicht als Beschränkung aufzufassen, sondern einfach so, daß die mittelsten Gaskanäle typischerweise einen geringeren Gasdurchfluß als äußere Kanäle erfordern.
  • Die Übergangsdurchlässe 25 sind in dieser Ausführungsform in einer Formation mit gleichen Abständen (120-Grad-Anordnung) bezüglich jedes Kanals 23 mit drei Durchlässen pro Kanal angeordnet. Jede Formation von Übergangsdurchlässen 25 weist gegenüber Durchlaßpositionen in benachbarten Kanälen eine versetzte Orientierung auf. Dies trägt dazu bei, eine gleichmäßige Gasausbreitung von oberen Kanälen 23 zu unteren Kanälen 27 zu erleichtern, ist jedoch für die praktische Ausführung der vorliegenden Erfindung nicht erforderlich. Die Computermodellierung in unterschiedlichen Umgebungen liefert optimale Daten für die Menge und Positionierung von Übergangsdurchlässen 25, um eine optimale Gasströmungsdynamik zu erleichtern.
  • Der Verteiler 9 bietet mindestens vier Freiheitsgrade, um einen abgestuften Übergang von Gasen von äußeren zu inneren Gaskanälen zu erleichtern. Eine Option ist die Regulierung von Durchlaßabmessungen für Übergangsdurchlässe 25 und die Bereitstellung einer konstanten Anzahl von Durchlässen 25 für jeden Kanal 23, wobei die Durchlässe für die näher an der Mitte liegenden Kanäle kleiner sind und die Durchlaßgröße (Durchmesser) für Durchlässe in Kanälen von einem Kanal zum anderen zum Außendurchmesser des Verteilers hin größer wird. Ein weitere Option besteht darin, eine konstante Anzahl von Übergangsdurchlässen pro Kanal bereitzustellen, aber die Kanalkapazität durch Bereitstellen breiterer Kanäle zur Mitte hin und engerer Kanäle zum Außendurchmesser des Verteilers hin zu regulieren. Die Begrenzung der Anzahl von Übergangsdurchlässen zur Mitte hin, wie hier dargestellt, ist eine weitere Option. Eine weitere Option besteht einfach darin, die Gaszuflußgeschwindigkeiten zu jedem selbständigen Kanal durch kanalunabhängige Zuflußleitungen zu dosieren.
  • 4 zeigt ein Schema, das die Anordnung von Gasverteilungsdurchlässen in der unteren Kanalbaugruppe 15 gemäß einer Ausführungsform der vorliegenden Erfindung darstellt. Jeder Kanal 27 weist mehrere, in gleichen Abständen angeordnete Verteilungsdurchlässe in einem kreisförmigen Muster auf. Hierin sind nur zwei Kanäle 27 mit Verteilungsdurchlässen 31 dargestellt, um Verwirrung zu vermeiden, jedoch kann angenommen werden, daß alle Zonen Verteilungsdurchlässe 31 aufweisen.
  • Ein ausgeprägter Unterschied zwischen der in 3 dargestellten Anordnung von Übergangsdurchlässen 25 und derjenigen von Verteilungsdurchlässen 31 ist, daß viel mehr Verteilungsdurchlässe 31 als Übergangsdurchlässe 25 vorhanden sind. In der vorliegenden Ausführungsform sind Durchlässe 31 etwa alle 12 Grad angeordnet, oder 30 Löcher 31 pro Kanal 27.
  • Der Lochabstand basiert nicht unbedingt in allen Ausführungsformen auf der Azimutposition. In einer Ausführungsform basieren die Löcher auf der Einhaltung eines Abstands von 0,375 zwischen jedem Loch und allen in der Nähe liegenden Löchern, einschließlich der Löcher auf dem nächsthöheren und/oder nächstniedrigeren Radius. Die gegenwärtige Konstruktion weist 69 Löcher in der äußersten Zone auf. Die auf 300 mm bezogene Konstruktion weist in ihrer äußersten Zone 125 Löcher auf. Der Zonenabstand basiert auf der Einhaltung des gleichen Abstands von 0,375. Die Anzahl der Verteilungsdurchlässe kann jedoch kleiner oder größer sein, und die Anzahl pro Kanal kann gleichfalls variieren.
  • Die gleiche Flexibilität bezüglich der Durchlaßabmessungen, der Kanalbreite, der Kombination oder abwechselnden Nutzung von Kanälen, der Menge der Durchlässe und so weiter wird der unteren Kanalbaugruppe 15 zugeschrieben, wie oben in Bezug auf die Ablenkplatte 13 und die obere Kanalbaugruppe 11 beschrieben wurde. Der Gasdurchfluß durch die Verteilungsdurchlässe 33 in irgendeinem Kanal 27 kann reguliert werden, indem das Gas in unabhängige Gaszuflußleitungen dosiert wird, die in den Verteiler 9 eintreten. In den meisten Ausführungsformen sind Verteilungsdurchlässe 33 kleiner als Übergangsdurchlässe 25 und Zuflußdurchlässe 17. Jede Stufe vergrößert die Gasverteilung bzw. -zerstreuung ohne Turbulenz, wodurch man eine bessere Gasverteilung und gleichmäßigen Durchfluß erhält.
  • 5 zeigt ein Schema, das drei Gastrennstufen darstellt, die durch den Verteiler 9 gemäß einer Ausführungsform der vorliegenden Erfindung genutzt werden. Wie weiter oben beschrieben, weist der Verteiler 9 eine obere Verteilungsstufe auf, die durch eine obere Kanalbaugruppe 11 gebildet wird. Gas wird der oberen Kanalbaugruppe 11 durch zonenunabhängige Gaszuflußleitungen 17 zugeführt, die hier durch einen mit GasIn bezeichneten Pfeil dargestellt sind. In der oberen Verteilungsstufe wird Gas eingeleitet und verteilt sich in den Kanälen 23 (3), bevor es durch die Ablenkplatte 13 fließt.
  • Eine Gasübergangsphase wird durch die Ablenkplatte 13 mit Übergangsdurchlässen 25 ausgeführt. Gas in den Kanälen 23 wird weiter verteilt und beim Durchgang durch die Platte 13 gelenkt. Eine untere Verteilungsphase wird in der Kanalbaugruppe 15 ausgeführt. In der Endphase werden die Gase beim Durchgang durch die untere Kanalbaugruppe 15 weiter verteilt. In einer Kammer entsprechen die eingeleiteten Gase mehreren radialen Zonen, die aufgrund der Anordnung und Positionierung der Verteilungslöcher darin erzeugt werden. Aufgrund der langen und gewundenen Durchlaßwege von Gasen in die Reaktorkammer treten außerdem die Gase schließlich ohne plötzliche Ausdehnung oder Turbulenz in die Kammer ein. Auf diese Weise kann ein Substrat gleichmäßig mit dem Gasstrom in Kontakt gebracht werden, wodurch eine gleichmäßige Schichtbildung erleichtert wird. Zur weiteren Verbesserung der Gleichmäßigkeit kann eine Feinabstimmung durchgeführt werden, indem der Gaszufluß zu getrennten Kanälen reguliert wird, indem bestimmte Kanäle benutzt werden, andere aber nicht, und so weiter.
  • Für den Fachmann wird offensichtlich sein, daß das Verfahren und die Vorrichtung gemäß der vorliegenden Erfindung für Prozeßbetreiber eine außergewöhnliche Verbesserung und Steuerung bieten, die durch in CVD-Prozessen eingesetzte Verteilungsvorrichtungen nach dem Stand der Technik nicht bereitgestellt werden. Die Bereitstellung von mehreren, aber getrennten Gaszuflußkanälen über einem Target ist eine wesentliche Verbesserung gegenüber dem Stand der Technik.
  • Ferner wird für den Fachmann offensichtlich sein, daß wegen der Durchführung einer Computermodellierung der Gasströmungsdynamik, um optimale Parameter für Abmessungen von Elementen des Verteilers 9 zu ermitteln, diese Parameter für verschiedene Prozeßtypen variiert werden können. Derartige Parameter können sich auch wegen unterschiedlicher Vorgabewerte ändern, die aus verbesserten Modellierungsverfahren abgeleitet werden. Daher dürften das Verfahren und die Vorrichtung gemäß der vorliegenden Erfindung einen sehr breiten Umfang aufweisen. Die vorliegende Erfindung ist nur durch die nachstehenden Patentansprüche beschränkt.

Claims (12)

  1. Brausekopfverteilervorrichtung für ein CVD-Verfahren, die aufweist: einen ersten Kanalbereich mit mehreren ersten unabhängigen radial-konzentrischen Kanälen und individuellen Gaszuflussöffnungen von einer ersten Seite der Vorrichtung zu individuellen ersten Kanälen; einen zweiten Kanalbereich mit mehreren zweiten unabhängigen radial-konzentrischen Kanälen und einer Struktur von Verteilungsdurchlässen von den zweiten Kanälen zu einer zweiten Seite der Vorrichtung; einen Übergangsbereich zwischen dem ersten Kanalbereich und dem zweiten Kanalbereich mit mindestens einem Übergangsgasdurchlass zur Weiterleitung von Gas aus jedem ersten Kanal im ersten Bereich zu einem entsprechenden zweiten Kanal im zweiten Bereich; und eine Vakuumdichtungs-Schnittstelle für die Montage der Brausekopfvorrichtung an einer CVD-Reaktorkammer, so daß die erste Seite und die Zuflussöffnungen von der Reaktorkammer abgewandt sind und die zweite Seite und die von den zweiten Kanälen ausgehenden Verteilungsdurchlassstrukturen in die Reaktorkammer münden.
  2. Brausekopfvorrichtung nach Anspruch 1, wobei die zweite Seite eine ebene Oberfläche aufweist, so daß die Verteilungsdurchlässe von den zweiten Kanälen auf einer Ebene in die Reaktorkammer münden.
  3. Brausekopfvorrichtung nach Anspruch 1, wobei die Vakuumdichtungs-Schnittstelle einen Flansch mit Schraubenlöchern und einen O-Ring zur Montage und Abdichtung an einer Wand der Reaktorkammer aufweist.
  4. Brausekopfvorrichtung nach Anspruch 1, wobei die Zuflussöffnungen zu den ersten Kanälen und die Übergangsdurchlässe von den ersten Kanälen in die zweiten Kanäle so gegeneinander versetzt sind, daß keine Zuflussöffnung auf einen Übergangsdurchlass ausgerichtet ist.
  5. Brausekopfvorrichtung nach Anspruch 1, wobei die Übergangsdurchlässe in die zweiten Kanäle so gegen die Verteilungsdurchlässe in die Reaktorkammer versetzt sind, daß kein Übergangsdurchlass auf einen Verteilungsdurchlass ausgerichtet ist.
  6. Brausekopfvorrichtung nach Anspruch 1, die ferner Kühlmittelkanäle in Trennwänden zwischen zweiten Kanälen im zweiten Kanalbereich aufweist, wobei die Kühlmittelkanäle so untereinander verbunden sind, daß eine einzige Einlassöffnung und eine einzige Auslassöffnung Kühlmittel durch alle Kühlmittelkanäle bereitstellen.
  7. Brausekopfvorrichtung nach Anspruch 6, die ein Zufluss- und ein Abflussrohr aufweist, die von der ersten Seite ausgehen und an die Zufluss- und Abflussöffnungen angeschlossen sind.
  8. CVD-Reaktorsystem, das aufweist: eine Reaktorkammer mit einer Öffnung für eine Brausekopfvorrichtung; einen der Öffnung benachbarten Träger in der Kammer, wobei der Träger für ein zu verarbeitendes Substrat vorgesehen ist; und eine Brausekopfverteilervorrichtung für ein CVD-Verfahren nach einem der Ansprüche 1 bis 7.
  9. Verfahren zur Verteilung von Gasen auf einen Wafer in einem CVD-Beschichtungsverfahren, mit den folgenden Schritten: (a) Einleiten von Gasen für das Verfahren durch individuelle Zuflussöffnungen in individuelle Kanäle von mehreren radial-konzentrischen ersten Kanälen eines ersten Kanalbereichs einer Brausekopfvorrichtung nach einem der Ansprüche 1 bis 7; (b) Durchleiten der Gase aus den ersten Kanälen durch Übergangsdurchlässe in entsprechende radial-konzentrische zweite Kanäle in einem zweiten Kanalbereich; und (c) Verteilen der Gase aus den zweiten Kanälen durch Verteilungsdurchlässe, die durch eine ebene Oberfläche der Brausekopfvorrichtung münden, die parallel zu dem zu beschichtenden Wafer und diesem benachbart ist.
  10. Verfahren nach Anspruch 9, wobei die Zuflussöffnungen, die Übergangsdurchlässe und die Verteilungsdurchlässe nichtlinear angeordnet sind.
  11. Verfahren zur Regulierung der Gasstromverteilung über einen Wafer bei einem CVD-Beschichtungsvorgang, mit den folgenden Schritten: (a) Einleiten von Gasen für den Beschichtungsvorgang durch individuelle Zuflussöffnungen in individuelle Kanäle von mehreren radial-konzentrischen ersten Kanälen eines ersten Kanalbereichs einer Brausekopfvorrichtung nach einem der Ansprüche 1 bis 7; (b) Durchleiten der Gase aus den ersten Kanälen durch Übergangsdurchlässe in entsprechende radial-konzentrische zweite Kanäle in einem zweiten Kanalbereich; (c) Verteilen der Gase aus den zweiten Kanälen durch Verteilungsdurchlässe, die durch eine ebene Oberfläche der Brausekopfvorrichtung münden, die parallel zu dem zu beschichtenden Wafer und diesem benachbart ist; und (d) Regulieren der Gasstromverteilung über den Wafer durch individuelles Dosieren des Massenzuflusses zu einzelnen von den individuellen Zuflussöffnungen der ersten Kanäle.
  12. Verfahren nach Anspruch 11 mit einem Schritt zur Regulierung der Gasstromverteilung durch Umschalten individueller Gase zwischen individuellen ersten Kanälen des ersten Kanalbereichs.
DE60024146T 1999-07-08 2000-07-06 Methode und apparatfür die gleichmä ige gaszufuhr zu substraten bei cvd und pecvd verfahren Expired - Fee Related DE60024146T2 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US350417 1989-05-11
US09/350,417 US6206972B1 (en) 1999-07-08 1999-07-08 Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
PCT/US2000/040314 WO2001004931A2 (en) 1999-07-08 2000-07-06 Method and apparatus for providing uniform gas delivery to substrates in cvd and pecvd processes

Publications (2)

Publication Number Publication Date
DE60024146D1 DE60024146D1 (de) 2005-12-22
DE60024146T2 true DE60024146T2 (de) 2006-08-03

Family

ID=23376624

Family Applications (1)

Application Number Title Priority Date Filing Date
DE60024146T Expired - Fee Related DE60024146T2 (de) 1999-07-08 2000-07-06 Methode und apparatfür die gleichmä ige gaszufuhr zu substraten bei cvd und pecvd verfahren

Country Status (8)

Country Link
US (5) US6206972B1 (de)
EP (1) EP1274875B1 (de)
JP (1) JP2003504866A (de)
KR (1) KR100446486B1 (de)
AT (1) ATE310107T1 (de)
AU (1) AU6803900A (de)
DE (1) DE60024146T2 (de)
WO (1) WO2001004931A2 (de)

Families Citing this family (203)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020053694A1 (en) 1998-06-10 2002-05-09 Sutcliffe Victor C. Method of forming a memory cell with self-aligned contacts
US6395640B2 (en) * 1999-12-17 2002-05-28 Texas Instruments Incorporated Apparatus and method for selectivity restricting process fluid flow in semiconductor processing
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US7554829B2 (en) 1999-07-30 2009-06-30 Micron Technology, Inc. Transmission lines for CMOS integrated circuits
US8048806B2 (en) 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
KR100419756B1 (ko) * 2000-06-23 2004-02-21 아넬바 가부시기가이샤 박막 형성 장치
US6896737B1 (en) * 2000-08-28 2005-05-24 Micron Technology, Inc. Gas delivery device for improved deposition of dielectric material
DE10043601A1 (de) * 2000-09-01 2002-03-14 Aixtron Ag Vorrichtung und Verfahren zum Abscheiden insbesondere kristalliner Schichten auf insbesondere kristallinen Substraten
KR100516844B1 (ko) * 2001-01-22 2005-09-26 동경 엘렉트론 주식회사 처리 장치 및 처리 방법
US6852167B2 (en) * 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
KR100413482B1 (ko) * 2001-06-12 2003-12-31 주식회사 하이닉스반도체 화학적 강화제(ce) 처리 챔버
KR100400044B1 (ko) * 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
JP4236882B2 (ja) * 2001-08-01 2009-03-11 東京エレクトロン株式会社 ガス処理装置およびガス処理方法
JP3886424B2 (ja) * 2001-08-28 2007-02-28 鹿児島日本電気株式会社 基板処理装置及び方法
US6844203B2 (en) * 2001-08-30 2005-01-18 Micron Technology, Inc. Gate oxides, and methods of forming
US8026161B2 (en) * 2001-08-30 2011-09-27 Micron Technology, Inc. Highly reliable amorphous high-K gate oxide ZrO2
TW573053B (en) * 2001-09-10 2004-01-21 Anelva Corp Surface processing apparatus
US6590344B2 (en) * 2001-11-20 2003-07-08 Taiwan Semiconductor Manufacturing Co., Ltd. Selectively controllable gas feed zones for a plasma reactor
US6953730B2 (en) 2001-12-20 2005-10-11 Micron Technology, Inc. Low-temperature grown high quality ultra-thin CoTiO3 gate dielectrics
US6670071B2 (en) * 2002-01-15 2003-12-30 Quallion Llc Electric storage battery construction and method of manufacture
US6767795B2 (en) * 2002-01-17 2004-07-27 Micron Technology, Inc. Highly reliable amorphous high-k gate dielectric ZrOXNY
US6793733B2 (en) 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
US20040060514A1 (en) * 2002-01-25 2004-04-01 Applied Materials, Inc. A Delaware Corporation Gas distribution showerhead
US6812100B2 (en) * 2002-03-13 2004-11-02 Micron Technology, Inc. Evaporation of Y-Si-O films for medium-k dielectrics
US20050081788A1 (en) * 2002-03-15 2005-04-21 Holger Jurgensen Device for depositing thin layers on a substrate
US7279432B2 (en) * 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US7205218B2 (en) * 2002-06-05 2007-04-17 Micron Technology, Inc. Method including forming gate dielectrics having multiple lanthanide oxide layers
US7135421B2 (en) 2002-06-05 2006-11-14 Micron Technology, Inc. Atomic layer-deposited hafnium aluminum oxide
US6804136B2 (en) 2002-06-21 2004-10-12 Micron Technology, Inc. Write once read only memory employing charge trapping in insulators
US7193893B2 (en) * 2002-06-21 2007-03-20 Micron Technology, Inc. Write once read only memory employing floating gates
US7221586B2 (en) 2002-07-08 2007-05-22 Micron Technology, Inc. Memory utilizing oxide nanolaminates
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US7221017B2 (en) * 2002-07-08 2007-05-22 Micron Technology, Inc. Memory utilizing oxide-conductor nanolaminates
KR100476370B1 (ko) * 2002-07-19 2005-03-16 주식회사 하이닉스반도체 배치형 원자층증착장치 및 그의 인시튜 세정 방법
US6921062B2 (en) * 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
US6921702B2 (en) * 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
GB2406583B (en) * 2002-08-08 2005-12-21 Trikon Technologies Ltd Improvements to showerheads
US6884739B2 (en) * 2002-08-15 2005-04-26 Micron Technology Inc. Lanthanide doped TiOx dielectric films by plasma oxidation
US6955725B2 (en) * 2002-08-15 2005-10-18 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US20040036129A1 (en) * 2002-08-22 2004-02-26 Micron Technology, Inc. Atomic layer deposition of CMOS gates with variable work functions
US6884296B2 (en) * 2002-08-23 2005-04-26 Micron Technology, Inc. Reactors having gas distributors and methods for depositing materials onto micro-device workpieces
US6967154B2 (en) 2002-08-26 2005-11-22 Micron Technology, Inc. Enhanced atomic layer deposition
US7199023B2 (en) * 2002-08-28 2007-04-03 Micron Technology, Inc. Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed
US7456116B2 (en) 2002-09-19 2008-11-25 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US20070212850A1 (en) * 2002-09-19 2007-09-13 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7335609B2 (en) * 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US7431967B2 (en) * 2002-09-19 2008-10-07 Applied Materials, Inc. Limited thermal budget formation of PMD layers
US7141483B2 (en) * 2002-09-19 2006-11-28 Applied Materials, Inc. Nitrous oxide anneal of TEOS/ozone CVD for improved gapfill
US7494560B2 (en) * 2002-11-27 2009-02-24 International Business Machines Corporation Non-plasma reaction apparatus and method
US6958302B2 (en) 2002-12-04 2005-10-25 Micron Technology, Inc. Atomic layer deposited Zr-Sn-Ti-O films using TiI4
US7101813B2 (en) 2002-12-04 2006-09-05 Micron Technology Inc. Atomic layer deposited Zr-Sn-Ti-O films
US7018940B2 (en) * 2002-12-30 2006-03-28 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US7335396B2 (en) * 2003-04-24 2008-02-26 Micron Technology, Inc. Methods for controlling mass flow rates and pressures in passageways coupled to reaction chambers and systems for depositing material onto microfeature workpieces in reaction chambers
CN101068950A (zh) * 2003-05-30 2007-11-07 阿维扎技术公司 气体分配系统
US7413612B2 (en) * 2003-07-10 2008-08-19 Applied Materials, Inc. In situ substrate holder leveling method and apparatus
US7220665B2 (en) * 2003-08-05 2007-05-22 Micron Technology, Inc. H2 plasma treatment
US7344755B2 (en) * 2003-08-21 2008-03-18 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers
US7235138B2 (en) * 2003-08-21 2007-06-26 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US7422635B2 (en) * 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US20050050708A1 (en) * 2003-09-04 2005-03-10 Taiwan Semiconductor Manufacturing Co., Ltd. Embedded fastener apparatus and method for preventing particle contamination
US7056806B2 (en) * 2003-09-17 2006-06-06 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
US7282239B2 (en) * 2003-09-18 2007-10-16 Micron Technology, Inc. Systems and methods for depositing material onto microfeature workpieces in reaction chambers
US7323231B2 (en) * 2003-10-09 2008-01-29 Micron Technology, Inc. Apparatus and methods for plasma vapor deposition processes
US7647886B2 (en) * 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US7258892B2 (en) * 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US7472432B2 (en) * 2003-12-30 2009-01-06 Letty Ann Owen Bathtub insert “Take-Five”
US20050164626A1 (en) * 2004-01-26 2005-07-28 Kloostra Marvin L. High mix air diffuser
US7906393B2 (en) 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US20050233477A1 (en) * 2004-03-05 2005-10-20 Tokyo Electron Limited Substrate processing apparatus, substrate processing method, and program for implementing the method
US20050223984A1 (en) * 2004-04-08 2005-10-13 Hee-Gyoun Lee Chemical vapor deposition (CVD) apparatus usable in the manufacture of superconducting conductors
US20050223983A1 (en) 2004-04-08 2005-10-13 Venkat Selvamanickam Chemical vapor deposition (CVD) apparatus usable in the manufacture of superconducting conductors
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US20050249873A1 (en) * 2004-05-05 2005-11-10 Demetrius Sarigiannis Apparatuses and methods for producing chemically reactive vapors used in manufacturing microelectronic devices
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US8074599B2 (en) * 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US7699932B2 (en) * 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US20060021703A1 (en) * 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
JP5519105B2 (ja) * 2004-08-02 2014-06-11 ビーコ・インストゥルメンツ・インコーポレイテッド 化学気相成長の方法及び化学気相成長リアクタ用のガス供給システム
US7642171B2 (en) * 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US20070212847A1 (en) * 2004-08-04 2007-09-13 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US7387811B2 (en) * 2004-09-21 2008-06-17 Superpower, Inc. Method for manufacturing high temperature superconducting conductors using chemical vapor deposition (CVD)
US7572340B2 (en) * 2004-11-29 2009-08-11 Applied Materials, Inc. High resolution substrate holder leveling device and method
GB0426766D0 (en) * 2004-12-06 2005-01-12 Q Chip Ltd Device for fluid transport
US20060165873A1 (en) * 2005-01-25 2006-07-27 Micron Technology, Inc. Plasma detection and associated systems and methods for controlling microfeature workpiece deposition processes
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US20060237138A1 (en) * 2005-04-26 2006-10-26 Micron Technology, Inc. Apparatuses and methods for supporting microelectronic devices during plasma-based fabrication processes
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
WO2006121264A1 (en) * 2005-05-09 2006-11-16 Asm Genitech Korea Ltd. Multiple inlet tomic layer deposition reactor
KR100731164B1 (ko) * 2005-05-19 2007-06-20 주식회사 피에조닉스 샤워헤드를 구비한 화학기상 증착 방법 및 장치
US7313310B2 (en) * 2005-05-25 2007-12-25 Honeywell International Inc. Plasma directing baffle and method of use
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
CN100358099C (zh) * 2005-08-05 2007-12-26 中微半导体设备(上海)有限公司 等离子体处理装置
US20070044714A1 (en) * 2005-08-31 2007-03-01 Applied Materials, Inc. Method and apparatus for maintaining a cross sectional shape of a diffuser during processing
CN100405537C (zh) * 2005-12-07 2008-07-23 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体反应装置
US7685965B1 (en) * 2006-01-26 2010-03-30 Lam Research Corporation Apparatus for shielding process chamber port
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US20070234956A1 (en) * 2006-04-05 2007-10-11 Dalton Jeremie J Method and apparatus for providing uniform gas delivery to a reactor
US7811085B2 (en) * 2006-05-04 2010-10-12 Honeywell International Inc. Gas preheater for chemical vapor processing furnace
US7771194B2 (en) * 2006-05-26 2010-08-10 Honeywell International Inc. Gas preheater for chemical vapor processing furnace having circuitous passages
US20080241805A1 (en) * 2006-08-31 2008-10-02 Q-Track Corporation System and method for simulated dosimetry using a real time locating system
US7563730B2 (en) * 2006-08-31 2009-07-21 Micron Technology, Inc. Hafnium lanthanide oxynitride films
KR100849929B1 (ko) 2006-09-16 2008-08-26 주식회사 피에조닉스 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치
US7854820B2 (en) * 2006-10-16 2010-12-21 Lam Research Corporation Upper electrode backing member with particle reducing features
CN100451163C (zh) * 2006-10-18 2009-01-14 中微半导体设备(上海)有限公司 用于半导体工艺件处理反应器的气体分布装置及其反应器
US8702866B2 (en) 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
US20080166880A1 (en) * 2007-01-08 2008-07-10 Levy David H Delivery device for deposition
US20080194112A1 (en) * 2007-02-09 2008-08-14 International Business Machines Corporation Method and system for plasma etching having improved across-wafer etch uniformity
US7674394B2 (en) * 2007-02-26 2010-03-09 Applied Materials, Inc. Plasma process for inductively coupling power through a gas distribution plate while adjusting plasma distribution
US20080236490A1 (en) * 2007-03-29 2008-10-02 Alexander Paterson Plasma reactor with an overhead inductive antenna and an overhead gas distribution showerhead
DE102007026349A1 (de) * 2007-06-06 2008-12-11 Aixtron Ag Aus einer Vielzahl diffusionsverschweißter Scheiben bestehender Gasverteiler
US20080317973A1 (en) 2007-06-22 2008-12-25 White John M Diffuser support
US20080314311A1 (en) * 2007-06-24 2008-12-25 Burrows Brian H Hvpe showerhead design
US20090149008A1 (en) * 2007-10-05 2009-06-11 Applied Materials, Inc. Method for depositing group iii/v compounds
US20090159002A1 (en) * 2007-12-19 2009-06-25 Kallol Bera Gas distribution plate with annular plenum having a sloped ceiling for uniform distribution
US8512509B2 (en) * 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
US20090162261A1 (en) * 2007-12-19 2009-06-25 Kallol Baera Plasma reactor gas distribution plate having a vertically stacked path splitting manifold
WO2009078921A1 (en) * 2007-12-19 2009-06-25 Applied Materials, Inc. Plasma reactor gas distribution plate with path splitting manifold
US20090162262A1 (en) * 2007-12-19 2009-06-25 Applied Material, Inc. Plasma reactor gas distribution plate having path splitting manifold side-by-side with showerhead
US20090159213A1 (en) * 2007-12-19 2009-06-25 Applied Materials, Inc. Plasma reactor gas distribution plate having a path splitting manifold immersed within a showerhead
US8876024B2 (en) * 2008-01-10 2014-11-04 Applied Materials, Inc. Heated showerhead assembly
US8721836B2 (en) * 2008-04-22 2014-05-13 Micron Technology, Inc. Plasma processing with preionized and predissociated tuning gases and associated systems and methods
KR101588482B1 (ko) * 2008-07-07 2016-01-25 램 리써치 코포레이션 플라즈마 처리 챔버에 사용하기 위한 진공 갭을 포함하는 플라즈마 대향 프로브 장치
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
KR101606736B1 (ko) 2008-07-07 2016-03-28 램 리써치 코포레이션 플라즈마 프로세싱 챔버에서 플라즈마 불안정성을 검출하기 위한 패시브 용량성-결합된 정전식 (cce) 프로브 장치
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US20100037820A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Vapor Deposition Reactor
US8470718B2 (en) * 2008-08-13 2013-06-25 Synos Technology, Inc. Vapor deposition reactor for forming thin film
US20110239940A1 (en) * 2008-10-08 2011-10-06 Giacomo Benvenuti Vapor phase deposition system
US9714465B2 (en) * 2008-12-01 2017-07-25 Applied Materials, Inc. Gas distribution blocker apparatus
US20110048325A1 (en) * 2009-03-03 2011-03-03 Sun Hong Choi Gas Distribution Apparatus and Substrate Processing Apparatus Having the Same
US8402918B2 (en) * 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US8491720B2 (en) * 2009-04-10 2013-07-23 Applied Materials, Inc. HVPE precursor source hardware
US8183132B2 (en) 2009-04-10 2012-05-22 Applied Materials, Inc. Methods for fabricating group III nitride structures with a cluster tool
CN102449743A (zh) * 2009-04-24 2012-05-09 应用材料公司 用于后续高温第三族沉积的基材预处理
US20100273291A1 (en) * 2009-04-28 2010-10-28 Applied Materials, Inc. Decontamination of mocvd chamber using nh3 purge after in-situ cleaning
WO2010127156A2 (en) * 2009-04-29 2010-11-04 Applied Materials, Inc. Method of forming in-situ pre-gan deposition layer in hvpe
US8758512B2 (en) * 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
US8147614B2 (en) * 2009-06-09 2012-04-03 Applied Materials, Inc. Multi-gas flow diffuser
US8419959B2 (en) * 2009-09-18 2013-04-16 Lam Research Corporation Clamped monolithic showerhead electrode
TWI385272B (zh) * 2009-09-25 2013-02-11 Ind Tech Res Inst 氣體分佈板及其裝置
US20110076421A1 (en) * 2009-09-30 2011-03-31 Synos Technology, Inc. Vapor deposition reactor for forming thin film on curved surface
WO2011044451A2 (en) * 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
KR200464037Y1 (ko) * 2009-10-13 2012-12-07 램 리써치 코포레이션 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극
WO2011062779A1 (en) 2009-11-20 2011-05-26 Eastman Kodak Company Method for selective deposition and devices
US20110120544A1 (en) 2009-11-20 2011-05-26 Levy David H Deposition inhibitor composition and method of use
US9111729B2 (en) * 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
JP5835722B2 (ja) 2009-12-10 2015-12-24 オルボテック エルティ ソラー,エルエルシー 自動順位付け多方向直列型処理装置
US9190289B2 (en) * 2010-02-26 2015-11-17 Lam Research Corporation System, method and apparatus for plasma etch having independent control of ion generation and dissociation of process gas
US20110256692A1 (en) 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US9449793B2 (en) 2010-08-06 2016-09-20 Lam Research Corporation Systems, methods and apparatus for choked flow element extraction
US9967965B2 (en) 2010-08-06 2018-05-08 Lam Research Corporation Distributed, concentric multi-zone plasma source systems, methods and apparatus
US8999104B2 (en) 2010-08-06 2015-04-07 Lam Research Corporation Systems, methods and apparatus for separate plasma source control
US9155181B2 (en) 2010-08-06 2015-10-06 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US20120052216A1 (en) * 2010-08-27 2012-03-01 Applied Materials, Inc. Gas distribution showerhead with high emissivity surface
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US9057030B2 (en) 2010-10-30 2015-06-16 General Electric Company System and method for protecting gasifier quench ring
US8840958B2 (en) 2011-02-14 2014-09-23 Veeco Ald Inc. Combined injection module for sequentially injecting source precursor and reactant precursor
TWI534291B (zh) 2011-03-18 2016-05-21 應用材料股份有限公司 噴淋頭組件
US8459276B2 (en) 2011-05-24 2013-06-11 Orbotech LT Solar, LLC. Broken wafer recovery system
US9177762B2 (en) 2011-11-16 2015-11-03 Lam Research Corporation System, method and apparatus of a wedge-shaped parallel plate plasma reactor for substrate processing
US10283325B2 (en) 2012-10-10 2019-05-07 Lam Research Corporation Distributed multi-zone plasma source systems, methods and apparatus
US9508530B2 (en) 2011-11-21 2016-11-29 Lam Research Corporation Plasma processing chamber with flexible symmetric RF return strap
US8872525B2 (en) 2011-11-21 2014-10-28 Lam Research Corporation System, method and apparatus for detecting DC bias in a plasma processing chamber
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US9263240B2 (en) 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
US8898889B2 (en) 2011-11-22 2014-12-02 Lam Research Corporation Chuck assembly for plasma processing
WO2013078098A1 (en) * 2011-11-23 2013-05-30 Lam Research Corporation Multi zone gas injection upper electrode system
KR102031393B1 (ko) * 2011-11-23 2019-10-11 램 리써치 코포레이션 상부 전극들의 이중 존 온도 제어
US8900364B2 (en) * 2011-11-29 2014-12-02 Intermolecular, Inc. High productivity vapor processing system
US8618003B2 (en) 2011-12-05 2013-12-31 Eastman Kodak Company Method of making electronic devices using selective deposition
WO2013181521A2 (en) 2012-05-31 2013-12-05 Advanced Technology Materials, Inc. Source reagent-based delivery of fluid with high material flux for batch deposition
US9088085B2 (en) * 2012-09-21 2015-07-21 Novellus Systems, Inc. High temperature electrode connections
JP2014082354A (ja) * 2012-10-17 2014-05-08 Hitachi High-Technologies Corp プラズマ処理装置
KR20140087215A (ko) * 2012-12-28 2014-07-09 주식회사 윈텔 플라즈마 장치 및 기판 처리 장치
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US8970114B2 (en) 2013-02-01 2015-03-03 Lam Research Corporation Temperature controlled window of a plasma processing chamber component
DE102013101534A1 (de) * 2013-02-15 2014-08-21 Aixtron Se Gasverteiler für einen CVD-Reaktor
TWI473903B (zh) 2013-02-23 2015-02-21 Hermes Epitek Corp 應用於半導體設備的噴射器與上蓋板總成
JP6007143B2 (ja) * 2013-03-26 2016-10-12 東京エレクトロン株式会社 シャワーヘッド、プラズマ処理装置、及びプラズマ処理方法
KR102156795B1 (ko) * 2013-05-15 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 증착 장치
JP6199619B2 (ja) * 2013-06-13 2017-09-20 株式会社ニューフレアテクノロジー 気相成長装置
JP6153401B2 (ja) * 2013-07-02 2017-06-28 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
US9353440B2 (en) * 2013-12-20 2016-05-31 Applied Materials, Inc. Dual-direction chemical delivery system for ALD/CVD chambers
KR101575844B1 (ko) 2014-05-09 2015-12-08 제주대학교 산학협력단 원자층 증착용 헤드 및 이를 구비하는 원자층 증착 장치
JP6169040B2 (ja) * 2014-05-12 2017-07-26 東京エレクトロン株式会社 プラズマ処理装置の上部電極構造、プラズマ処理装置、及びプラズマ処理装置の運用方法
TWI733712B (zh) * 2015-12-18 2021-07-21 美商應用材料股份有限公司 用於沉積腔室的擴散器及用於沉積腔室的電極
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
JP7035581B2 (ja) * 2017-03-29 2022-03-15 東京エレクトロン株式会社 基板処理装置及び基板処理方法。
KR102096700B1 (ko) 2017-03-29 2020-04-02 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 기판 처리 방법
US11220747B2 (en) * 2018-10-29 2022-01-11 Applied Materials, Inc. Complementary pattern station designs
JP6937806B2 (ja) * 2019-09-25 2021-09-22 株式会社Kokusai Electric 基板処理装置、及び半導体の製造方法
KR20210150978A (ko) * 2020-06-03 2021-12-13 에이에스엠 아이피 홀딩 비.브이. 샤워 플레이트, 기판 처리 장치 및 기판 처리 방법
DE102020123076A1 (de) 2020-09-03 2022-03-03 Aixtron Se Gaseinlassorgan eines CVD-Reaktors mit zwei Einspeisestellen

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3689110A (en) * 1970-08-05 1972-09-05 William B Ferguson Fluid line coupling
US4226164A (en) * 1979-01-10 1980-10-07 Carter Alton L Split threaded coupling nut
US4923349A (en) * 1985-05-28 1990-05-08 Hartley Logsdon Threaded split coupling nut
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US6379466B1 (en) * 1992-01-17 2002-04-30 Applied Materials, Inc. Temperature controlled gas distribution plate
GB9313633D0 (en) * 1993-07-01 1993-08-18 Black & Decker Inc A clamp nut
US5628829A (en) * 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
JP3362552B2 (ja) * 1995-03-10 2003-01-07 東京エレクトロン株式会社 成膜処理装置
JP3380091B2 (ja) * 1995-06-09 2003-02-24 株式会社荏原製作所 反応ガス噴射ヘッド及び薄膜気相成長装置
US5725675A (en) * 1996-04-16 1998-03-10 Applied Materials, Inc. Silicon carbide constant voltage gradient gas feedthrough
US5906683A (en) * 1996-04-16 1999-05-25 Applied Materials, Inc. Lid assembly for semiconductor processing chamber
US5846883A (en) * 1996-07-10 1998-12-08 Cvc, Inc. Method for multi-zone high-density inductively-coupled plasma generation
US5653808A (en) * 1996-08-07 1997-08-05 Macleish; Joseph H. Gas injection system for CVD reactors
US5882414A (en) * 1996-09-09 1999-03-16 Applied Materials, Inc. Method and apparatus for self-cleaning a blocker plate
US5950925A (en) * 1996-10-11 1999-09-14 Ebara Corporation Reactant gas ejector head
US6266462B1 (en) 1998-02-12 2001-07-24 Ultraband Fiber Optics Acousto-optic filter
US5968276A (en) * 1997-07-11 1999-10-19 Applied Materials, Inc. Heat exchange passage connection
US6161500A (en) * 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6110556A (en) * 1997-10-17 2000-08-29 Applied Materials, Inc. Lid assembly for a process chamber employing asymmetric flow geometries
US5997649A (en) * 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
US6126753A (en) * 1998-05-13 2000-10-03 Tokyo Electron Limited Single-substrate-processing CVD apparatus and method
US6096564A (en) * 1999-05-25 2000-08-01 Wisconsin Alumni Research Foundation Plasma-aided treatment of surfaces against bacterial attachment and biofilm deposition
US6123775A (en) * 1999-06-30 2000-09-26 Lam Research Corporation Reaction chamber component having improved temperature uniformity
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes

Also Published As

Publication number Publication date
ATE310107T1 (de) 2005-12-15
EP1274875B1 (de) 2005-11-16
US6284673B2 (en) 2001-09-04
US6626998B1 (en) 2003-09-30
AU6803900A (en) 2001-01-30
US20010002582A1 (en) 2001-06-07
WO2001004931A2 (en) 2001-01-18
US6206972B1 (en) 2001-03-27
US20030101934A1 (en) 2003-06-05
EP1274875A2 (de) 2003-01-15
EP1274875A4 (de) 2004-10-20
JP2003504866A (ja) 2003-02-04
KR100446486B1 (ko) 2004-09-01
WO2001004931A3 (en) 2001-05-03
US20010054391A1 (en) 2001-12-27
DE60024146D1 (de) 2005-12-22
US6616766B2 (en) 2003-09-09
KR20020031380A (ko) 2002-05-01

Similar Documents

Publication Publication Date Title
DE60024146T2 (de) Methode und apparatfür die gleichmä ige gaszufuhr zu substraten bei cvd und pecvd verfahren
DE60201383T2 (de) Dosierrohr für Gasabgabe
DE4120176C1 (de)
US7018940B2 (en) Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
EP0697376B1 (de) Einteiliges Injektionsgerät und Verfahren zum Abgeben von Gasen auf eine Oberfläche
DE69629412T2 (de) Anlage zur Dampfabscheidung von Dünnschichten
DE4014351C2 (de) Vorrichtung zum Ätzen der oder zum Bilden von Schichten auf der Oberfläche von Halbleitern
DE3923390C2 (de)
EP1349968B1 (de) Fluidverteilungsvorrichtung für mehrere fluide
EP2167270B1 (de) Aus einer vielzahl diffusionsverschweisster scheiben bestehender gasverteiler und ein verfahren zur fertigung eines solchen gasverteilers
EP2470685B1 (de) Cvd-reaktor und verfahren zum abscheiden einer schicht
DE102008036642A1 (de) Sprühkopf und CVD-Vorrichtung, welche diesen aufweist
DE112014003341B4 (de) Epitaxiereaktor
WO2020083917A1 (de) Schirmplatte für einen cvd-reaktor
EP3847293A2 (de) Verfahren zur regelung der deckentemperatur eines cvd-reaktors
EP1485518A1 (de) Vorrichtung zum abscheiden von d nnen schichten auf einem su bstrat
DE102006013801A1 (de) Gaseinlassorgan mit gelochter Isolationsplatte
DE102017104223A1 (de) Verzweigungsstruktur
EP3255173B1 (de) Fluidtemperierter gasverteiler in schichtbauweise
DE102020101066A1 (de) CVD-Reaktor mit doppelter Vorlaufzonenplatte
DE102004004858A1 (de) Vorrichtung zum Beschichten von Substratscheiben, Gaszufuhreinrichtung und Verfahren zu ihrer Herstellung
DE102021103368A1 (de) CVD-Reaktor mit einem ein Gaseinlassorgan umgebenden Temperrierring
EP4208584A2 (de) Gaseinlassorgan eines cvd-reaktors mit zwei einspeisestellen
DE102020112568A1 (de) Gaseinlassorgan für einen CVD-Reaktor
DE102015104307B4 (de) Sputtervorrichtung zur Beschichtung eines Substrats

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee