DE60030480D1 - Gerät und verfahren zum selektiven verdichten von testergebnissen - Google Patents

Gerät und verfahren zum selektiven verdichten von testergebnissen

Info

Publication number
DE60030480D1
DE60030480D1 DE60030480T DE60030480T DE60030480D1 DE 60030480 D1 DE60030480 D1 DE 60030480D1 DE 60030480 T DE60030480 T DE 60030480T DE 60030480 T DE60030480 T DE 60030480T DE 60030480 D1 DE60030480 D1 DE 60030480D1
Authority
DE
Germany
Prior art keywords
compactor
scan chain
enabled
scan
outputs
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE60030480T
Other languages
English (en)
Other versions
DE60030480T2 (de
Inventor
Janusz Rajski
Jerzy Tyszer
Mark Kassab
Nilanjan Mukherjee
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Mentor Graphics Corp
Original Assignee
Mentor Graphics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mentor Graphics Corp filed Critical Mentor Graphics Corp
Application granted granted Critical
Publication of DE60030480D1 publication Critical patent/DE60030480D1/de
Publication of DE60030480T2 publication Critical patent/DE60030480T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318544Scanning methods, algorithms and patterns
    • G01R31/318547Data generators or compressors
DE60030480T 1999-11-23 2000-11-15 Gerät und verfahren zum selektiven verdichten von testergebnissen Expired - Lifetime DE60030480T2 (de)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US16713699P 1999-11-23 1999-11-23
US167136P 1999-11-23
US09/619,988 US6557129B1 (en) 1999-11-23 2000-07-20 Method and apparatus for selectively compacting test responses
US619988 2000-07-20
PCT/US2000/031376 WO2001038889A1 (en) 1999-11-23 2000-11-15 Method and apparatus for selectively compacting test responses

Publications (2)

Publication Number Publication Date
DE60030480D1 true DE60030480D1 (de) 2006-10-12
DE60030480T2 DE60030480T2 (de) 2007-06-06

Family

ID=26862892

Family Applications (2)

Application Number Title Priority Date Filing Date
DE60030480T Expired - Lifetime DE60030480T2 (de) 1999-11-23 2000-11-15 Gerät und verfahren zum selektiven verdichten von testergebnissen
DE60043319T Expired - Lifetime DE60043319D1 (de) 1999-11-23 2000-11-15 Verfahren und Vorrichtung zur selektiven Kompaktierung von Testreaktionen

Family Applications After (1)

Application Number Title Priority Date Filing Date
DE60043319T Expired - Lifetime DE60043319D1 (de) 1999-11-23 2000-11-15 Verfahren und Vorrichtung zur selektiven Kompaktierung von Testreaktionen

Country Status (7)

Country Link
US (5) US6557129B1 (de)
EP (3) EP1256008B1 (de)
JP (1) JP4047584B2 (de)
AT (2) ATE338280T1 (de)
DE (2) DE60030480T2 (de)
HK (2) HK1049206B (de)
WO (1) WO2001038889A1 (de)

Families Citing this family (137)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6573703B1 (en) * 1999-04-05 2003-06-03 Matsushita Electric Industrial Co., Ltd. Semiconductor device
US6874109B1 (en) * 1999-11-23 2005-03-29 Janusz Rajski Phase shifter with reduced linear dependency
US6353842B1 (en) * 1999-11-23 2002-03-05 Janusz Rajski Method for synthesizing linear finite state machines
WO2001039254A2 (en) * 1999-11-23 2001-05-31 Mentor Graphics Corporation Continuous application and decompression of test patterns to a circuit-under-test
US8533547B2 (en) * 1999-11-23 2013-09-10 Mentor Graphics Corporation Continuous application and decompression of test patterns and selective compaction of test responses
US6684358B1 (en) * 1999-11-23 2004-01-27 Janusz Rajski Decompressor/PRPG for applying pseudo-random and deterministic test patterns
US9134370B2 (en) 1999-11-23 2015-09-15 Mentor Graphics Corporation Continuous application and decompression of test patterns and selective compaction of test responses
US6327687B1 (en) * 1999-11-23 2001-12-04 Janusz Rajski Test pattern compression for an integrated circuit test environment
US9664739B2 (en) 1999-11-23 2017-05-30 Mentor Graphics Corporation Continuous application and decompression of test patterns and selective compaction of test responses
US6557129B1 (en) * 1999-11-23 2003-04-29 Janusz Rajski Method and apparatus for selectively compacting test responses
US6748564B1 (en) * 2000-10-24 2004-06-08 Nptest, Llc Scan stream sequencing for testing integrated circuits
US7073143B1 (en) * 2000-11-06 2006-07-04 Cadence Design Systems, Inc. Solving constraint satisfiability problem for circuit designs
JP4228061B2 (ja) * 2000-12-07 2009-02-25 富士通マイクロエレクトロニクス株式会社 集積回路の試験装置および試験方法
US7028239B2 (en) * 2000-12-29 2006-04-11 Intel Corporation Microprocessor on-chip testing architecture and implementation
US7103816B2 (en) * 2001-01-23 2006-09-05 Cadence Design Systems, Inc. Method and system for reducing test data volume in the testing of logic products
US6738939B2 (en) * 2001-05-21 2004-05-18 Intel Corporation Method and apparatus for fault tolerant and flexible test signature generator
US6950974B1 (en) * 2001-09-07 2005-09-27 Synopsys Inc. Efficient compression and application of deterministic patterns in a logic BIST architecture
US6877119B2 (en) * 2001-09-14 2005-04-05 Stmicroelectronics Limited Circuit scan output arrangement
JP2003121498A (ja) * 2001-10-09 2003-04-23 Sony Corp スキャンパス回路、集積回路及び集積回路の検査方法
DE10201554A1 (de) 2002-01-17 2003-08-21 Philips Intellectual Property Integrierter Schaltkreis mit Selbsttest-Schaltung
US6941498B2 (en) * 2002-03-07 2005-09-06 Agilent Technologies, Inc. Technique for debugging an integrated circuit having a parallel scan-chain architecture
US7185253B2 (en) * 2002-03-27 2007-02-27 Intel Corporation Compacting circuit responses
US7444567B2 (en) * 2002-04-09 2008-10-28 Syntest Technologies, Inc. Method and apparatus for unifying self-test with scan-test during prototype debug and production test
US6745359B2 (en) 2002-06-06 2004-06-01 Logicvision, Inc. Method of masking corrupt bits during signature analysis and circuit for use therewith
JP4031954B2 (ja) * 2002-06-11 2008-01-09 富士通株式会社 集積回路の診断装置および診断方法
US7424658B1 (en) * 2002-07-01 2008-09-09 Altera Corporation Method and apparatus for testing integrated circuits
US7055076B2 (en) * 2002-08-28 2006-05-30 Micron Technology, Inc. Output data compression scheme using tri-state
US8506959B2 (en) 2002-11-01 2013-08-13 Neotope Biosciences Limited Prevention and treatment of synucleinopathic and amyloidogenic disease
US7240260B2 (en) 2002-12-11 2007-07-03 Intel Corporation Stimulus generation
US7058869B2 (en) * 2003-01-28 2006-06-06 Syntest Technologies, Inc. Method and apparatus for debug, diagnosis, and yield improvement of scan-based integrated circuits
JP4791954B2 (ja) 2003-02-13 2011-10-12 メンター・グラフィクス・コーポレーション コンパクタを使用する試験応答の圧縮
US7302624B2 (en) * 2003-02-13 2007-11-27 Janusz Rajski Adaptive fault diagnosis of compressed test responses
US7509550B2 (en) 2003-02-13 2009-03-24 Janusz Rajski Fault diagnosis of compressed test responses
US7437640B2 (en) * 2003-02-13 2008-10-14 Janusz Rajski Fault diagnosis of compressed test responses having one or more unknown states
US7219280B2 (en) * 2003-02-24 2007-05-15 Avago Technologies General Ip (Singapore) Pte. Ltd. Integrated circuit with test signal routing module
US7184915B2 (en) * 2003-03-20 2007-02-27 Qualcomm, Incorporated Tiered built-in self-test (BIST) architecture for testing distributed memory modules
US7392442B2 (en) 2003-03-20 2008-06-24 Qualcomm Incorporated Built-in self-test (BIST) architecture having distributed interpretation and generalized command protocol
US7404109B2 (en) * 2003-06-12 2008-07-22 Verigy (Singapore) Pte. Ltd. Systems and methods for adaptively compressing test data
US7032148B2 (en) * 2003-07-07 2006-04-18 Syntest Technologies, Inc. Mask network design for scan-based integrated circuits
DE10338922B4 (de) * 2003-08-20 2016-07-14 Infineon Technologies Ag Elektrische Diagnoseschaltung sowie Verfahren zum Testen und/oder zur Diagnose einer integrierten Schaltung
US7574640B2 (en) * 2003-09-05 2009-08-11 Intel Corporation Compacting circuit responses
US7523370B1 (en) 2003-09-15 2009-04-21 Cadence Design Systems, Inc. Channel masking during integrated circuit testing
EP1671141B1 (de) * 2003-09-26 2007-10-03 Nxp B.V. Verfahren und system zum selektiven maskieren von testantworten
DE60330968D1 (de) * 2003-11-27 2010-03-04 Texas Instruments Inc Dynamisch konfigurierbare Abtastprüfung
US7219265B2 (en) * 2003-12-29 2007-05-15 Agere Systems Inc. System and method for debugging system-on-chips
KR20050078704A (ko) * 2004-01-31 2005-08-08 삼성전자주식회사 스캔 베이스 atpg 테스트회로, 테스트방법 및 스캔체인 재배열방법
US7093174B2 (en) * 2004-02-17 2006-08-15 Mentor Graphics Corporation Tester channel count reduction using observe logic and pattern generator
US8280687B2 (en) * 2004-03-31 2012-10-02 Mentor Graphics Corporation Direct fault diagnostics using per-pattern compactor signatures
US7729884B2 (en) * 2004-03-31 2010-06-01 Yu Huang Compactor independent direct diagnosis of test hardware
US7239978B2 (en) * 2004-03-31 2007-07-03 Wu-Tung Cheng Compactor independent fault diagnosis
JP2005308500A (ja) * 2004-04-20 2005-11-04 Nec Electronics Corp 半導体集積回路装置及びテスト方法
US7418640B2 (en) * 2004-05-28 2008-08-26 Synopsys, Inc. Dynamically reconfigurable shared scan-in test architecture
US7370249B2 (en) * 2004-06-22 2008-05-06 Intel Corporation Method and apparatus for testing a memory array
US7461312B2 (en) * 2004-07-22 2008-12-02 Microsoft Corporation Digital signature generation for hardware functional test
US7254760B2 (en) * 2004-10-05 2007-08-07 Verigy (Singapore) Pte. Ltd. Methods and apparatus for providing scan patterns to an electronic device
US7222277B2 (en) * 2004-11-10 2007-05-22 Nec Laboratories America, Inc. Test output compaction using response shaper
JP2006153538A (ja) * 2004-11-26 2006-06-15 Fujitsu Ltd プロセッサ、そのエラー解析方法及びプログラム
US7395473B2 (en) * 2004-12-10 2008-07-01 Wu-Tung Cheng Removing the effects of unknown test values from compacted test responses
US7210083B2 (en) * 2004-12-16 2007-04-24 Lsi Logic Corporation System and method for implementing postponed quasi-masking test output compression in integrated circuit
US7487420B2 (en) * 2005-02-15 2009-02-03 Cadence Design Systems Inc. System and method for performing logic failure diagnosis using multiple input signature register output streams
US7610527B2 (en) * 2005-03-16 2009-10-27 Nec Laboratories America, Inc. Test output compaction with improved blocking of unknown values
US7231572B2 (en) * 2005-04-15 2007-06-12 Lsi Corporation Method and circuit for parametric testing of integrated circuits with an exclusive-or logic tree
US20060242508A1 (en) * 2005-04-26 2006-10-26 Texas Instruments Incorporation Simultaneous scan testing for identical modules
US7487419B2 (en) * 2005-06-15 2009-02-03 Nilanjan Mukherjee Reduced-pin-count-testing architectures for applying test patterns
US7376875B2 (en) * 2005-07-14 2008-05-20 International Business Machines Corporation Method of improving logical built-in self test (LBIST) AC fault isolations
DE102005046588B4 (de) * 2005-09-28 2016-09-22 Infineon Technologies Ag Vorrichtung und Verfahren zum Test und zur Diagnose digitaler Schaltungen
US7313746B2 (en) * 2005-09-30 2007-12-25 Nec Laboratories America, Inc. Test output compaction for responses with unknown values
US8161338B2 (en) * 2005-10-14 2012-04-17 Mentor Graphics Corporation Modular compaction of test responses
US7415678B2 (en) 2005-11-15 2008-08-19 Synopsys, Inc. Method and apparatus for synthesis of multimode X-tolerant compressor
US7461309B2 (en) * 2005-12-20 2008-12-02 Kabushiki Kaisha Toshiba Systems and methods for providing output data in an LBIST system having a limited number of output ports
JP4839856B2 (ja) * 2006-01-23 2011-12-21 富士通株式会社 スキャンチェーン抽出プログラム、スキャンチェーン抽出方法及び試験装置
US7840862B2 (en) 2006-02-17 2010-11-23 Mentor Graphics Corporation Enhanced diagnosis with limited failure cycles
EP2677328B1 (de) * 2006-02-17 2015-07-29 Mentor Graphics Corporation Mehrstufige Testreaktionsverdichter
JP5034576B2 (ja) * 2006-05-02 2012-09-26 富士通株式会社 半導体集積回路、テストデータ生成装置およびlsi試験装置
EP1852706B1 (de) 2006-05-04 2009-10-28 STMicroelectronics S.r.l. Adaptive Scan-compression Architektur
US7647540B2 (en) 2006-07-21 2010-01-12 Janusz Rajski Decompressors for low power decompression of test patterns
US7797603B2 (en) * 2006-07-21 2010-09-14 Janusz Rajski Low power decompression of test cubes
US7788561B2 (en) * 2006-08-14 2010-08-31 Yu Huang Diagnosing mixed scan chain and system logic defects
US7779322B1 (en) 2006-09-14 2010-08-17 Syntest Technologies, Inc. Compacting test responses using X-driven compactor
DE102006059156B4 (de) * 2006-12-14 2008-11-06 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Testen eines integrierten Schaltkreischips mit zumindest zwei Schaltungskernen sowie integrierter Schaltkreischip und Testsystem
DE102006059158B4 (de) * 2006-12-14 2009-06-10 Advanced Micro Devices, Inc., Sunnyvale Integrierter Schaltkreischip mit zumindest zwei Schaltungskernen und zugehöriges Verfahren zum Testen
US7793184B2 (en) * 2007-01-11 2010-09-07 International Business Machines Corporation Lowering power consumption during logic built-in self-testing (LBIST) via channel suppression
US8286040B2 (en) * 2007-02-09 2012-10-09 Freescale Semiconductor, Inc. Device and method for testing a circuit
CN101663648B (zh) 2007-02-12 2012-10-03 明导公司 低功耗扫描测试技术及装置
EP2135104B1 (de) * 2007-04-05 2010-10-20 Nxp B.V. Prüfbare integrierte schaltung und verfahren zur generierung von testdaten
US7814444B2 (en) * 2007-04-13 2010-10-12 Synopsys, Inc. Scan compression circuit and method of design therefor
US7882409B2 (en) * 2007-09-21 2011-02-01 Synopsys, Inc. Method and apparatus for synthesis of augmented multimode compactors
US7949921B2 (en) * 2007-09-21 2011-05-24 Synopsys, Inc. Method and apparatus for synthesis of augmented multimode compactors
DE102007046216B4 (de) * 2007-09-27 2018-01-18 Adc Automotive Distance Control Systems Gmbh Vorrichtung und Verfahren zur Verifikation eines digitalen Signalverarbeitungssystems
US7831876B2 (en) * 2007-10-23 2010-11-09 Lsi Corporation Testing a circuit with compressed scan chain subsets
US8086923B2 (en) * 2007-11-05 2011-12-27 Mentor Graphics Corporation Accurately identifying failing scan bits in compression environments
US8166359B2 (en) 2007-12-20 2012-04-24 Mentor Graphics Corporation Selective per-cycle masking of scan chains for system level test
US7818643B2 (en) * 2008-02-20 2010-10-19 Nec Laboratories America, Inc. Method for blocking unknown values in output response of scan test patterns for testing circuits
US8584073B2 (en) 2008-07-21 2013-11-12 Synopsys, Inc. Test design optimizer for configurable scan architectures
US8214172B2 (en) * 2008-11-11 2012-07-03 Nec Laboratories America, Inc. Systems and methods for locating defective components of a circuit
WO2010060012A1 (en) * 2008-11-23 2010-05-27 Mentor Graphics Corporation On-chip logic to support in-field or post-tape-out x-masking in bist designs
US8103925B2 (en) * 2008-11-24 2012-01-24 Mentor Graphics Corporation On-chip logic to support compressed X-masking for BIST
US8006152B2 (en) * 2009-01-12 2011-08-23 International Business Machines Corporation Scan chain fail diagnostics
US8214170B2 (en) 2009-01-15 2012-07-03 International Business Machines Corporation Test pattern compression
US10955460B2 (en) 2010-03-16 2021-03-23 Mentor Graphics Corporation Test scheduling and test access in test compression environment
JP5601860B2 (ja) * 2010-03-26 2014-10-08 ピーエスフォー ルクスコ エスエイアールエル 半導体装置
US8887018B2 (en) * 2010-06-11 2014-11-11 Texas Instruments Incorporated Masking circuit removing unknown bit from cell in scan chain
US8612814B1 (en) * 2010-06-14 2013-12-17 Altera Corporation Memory error detection circuitry
US8468404B1 (en) * 2010-06-25 2013-06-18 Cadence Design Systems, Inc. Method and system for reducing switching activity during scan-load operations
US8438437B2 (en) 2010-07-27 2013-05-07 Texas Instruments Incorporated Structures and control processes for efficient generation of different test clocking sequences, controls and other test signals in scan designs with multiple partitions, and devices, systems and processes of making
US8793549B2 (en) * 2010-08-11 2014-07-29 Lsi Corporation Low-cost design for register file testability
US9222978B2 (en) * 2011-03-09 2015-12-29 Mentor Graphics Corporation Two-dimensional scan architecture
US10345369B2 (en) 2012-10-02 2019-07-09 Synopsys, Inc. Augmented power-aware decompressor
US9329235B2 (en) * 2013-03-13 2016-05-03 Synopsys, Inc. Localizing fault flop in circuit by using modified test pattern
US9411014B2 (en) 2013-03-22 2016-08-09 Synopsys, Inc. Reordering or removal of test patterns for detecting faults in integrated circuit
US9239897B2 (en) 2013-04-03 2016-01-19 Synopsys, Inc. Hierarchical testing architecture using core circuit with pseudo-interfaces
US9417287B2 (en) * 2013-04-17 2016-08-16 Synopsys, Inc. Scheme for masking output of scan chains in test circuit
US9588179B2 (en) 2013-06-12 2017-03-07 Synopsys, Inc. Scheme for masking output of scan chains in test circuit
US10067187B2 (en) 2013-07-19 2018-09-04 Synopsys, Inc. Handling of undesirable distribution of unknown values in testing of circuit using automated test equipment
US9915702B2 (en) 2013-11-26 2018-03-13 Mentor Graphics Corporation Channel sharing for testing circuits having non-identical cores
US9244124B2 (en) * 2014-03-28 2016-01-26 International Business Machines Corporation Initializing and testing integrated circuits with selectable scan chains with exclusive-or outputs
US9599673B2 (en) 2014-10-15 2017-03-21 Freescale Semiconductor, Inc. Structural testing of integrated circuits
US9933485B2 (en) 2015-02-24 2018-04-03 Mentor Graphics Corporation Deterministic built-in self-test based on compressed test patterns stored on chip and their derivatives
US10380303B2 (en) 2015-11-30 2019-08-13 Synopsys, Inc. Power-aware dynamic encoding
US10578672B2 (en) * 2015-12-31 2020-03-03 Stmicroelectronics (Grenoble 2) Sas Method, device and article to test digital circuits
US10996273B2 (en) 2018-03-22 2021-05-04 Siemens Industry Software Inc. Test generation using testability-based guidance
US11422188B2 (en) 2018-03-22 2022-08-23 Siemens Industry Software Inc Isometric control data generation for test compression
EP3756021A1 (de) 2018-03-22 2020-12-30 Mentor Graphics Corporation Flexible isometrische dekompressorarchitektur für testkompression
WO2019183296A1 (en) 2018-03-22 2019-09-26 Mentor Graphics Corporation Deterministic stellar built-in self-test
US10379159B1 (en) * 2018-07-31 2019-08-13 International Business Machines Corporation Minimization of over-masking in an on product multiple input signature register (OPMISR)
US10371750B1 (en) * 2018-08-31 2019-08-06 International Business Machines Corporation Minimization of over-masking in an on product multiple input signature register (OPMISR)
US10371749B1 (en) 2018-08-31 2019-08-06 International Business Machines Corporation Removal of over-masking in an on product multiple input signature register (OPMISR) test
US10908213B1 (en) 2018-09-28 2021-02-02 Synopsys, Inc. Reducing X-masking effect for linear time compactors
US11422186B1 (en) * 2019-06-20 2022-08-23 Synopsys, Inc. Per-shift X-tolerant logic built-in self-test
US11815555B2 (en) * 2019-09-06 2023-11-14 Siemens Industry Software Inc. Universal compactor architecture for testing circuits
US11232246B2 (en) 2019-11-14 2022-01-25 Siemens Industry Software Inc. Layout-friendly test pattern decompressor
US11106848B2 (en) 2019-11-14 2021-08-31 Siemens Industry Software Inc. Diagnostic resolution enhancement with reversible scan chains
US11175338B2 (en) 2019-12-31 2021-11-16 Alibaba Group Holding Limited System and method for compacting test data in many-core processors
US11422185B2 (en) * 2020-06-30 2022-08-23 Nxp Usa, Inc. System and method for testing critical components on system-on-chip
DE102020124515B3 (de) * 2020-09-21 2021-12-30 Infineon Technologies Ag Selbsttestschaltung für einen integrierten Schaltkreis und Verfahren zum Betreiben einer Selbsttestschaltung für einen integrierten Schaltkreis
US11320487B1 (en) * 2021-05-26 2022-05-03 Siemens Industry Software Inc. Programmable test compactor for improving defect determination
WO2023107096A1 (en) 2021-12-07 2023-06-15 Siemens Industry Software Inc. X-masking for in-system deterministic test

Family Cites Families (137)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US519078A (en) * 1894-05-01 Martin middleton wilson
US713605A (en) 1899-11-13 1902-11-18 Gen Electric Transformer.
US3614400A (en) 1969-11-26 1971-10-19 Rca Corp Maximum length pulse sequence generators
US3700869A (en) 1970-12-04 1972-10-24 Nasa Pseudonoise sequence generators with three-tap linear feedback shift registers
US4024460A (en) * 1973-11-23 1977-05-17 Hewlett-Packard Company Electronic line stretcher
US4122390A (en) * 1976-10-28 1978-10-24 Gerhard Kollitz Apparatus for sensing and indicating the angular relationship between a towing and a towed vehicle
US4122399A (en) 1977-12-07 1978-10-24 Bell Telephone Laboratories, Incorporated Distortion generator
US4161041A (en) 1978-10-06 1979-07-10 The United States Of America As Represented By The Secretary Of The Air Force Pseudo random number generator apparatus
GB2049958B (en) * 1979-03-15 1983-11-30 Nippon Electric Co Integrated logic circuit adapted to performance tests
US4320509A (en) * 1979-10-19 1982-03-16 Bell Telephone Laboratories, Incorporated LSI Circuit logic structure including data compression circuitry
US4503537A (en) 1982-11-08 1985-03-05 International Business Machines Corporation Parallel path self-testing system
US4513418A (en) 1982-11-08 1985-04-23 International Business Machines Corporation Simultaneous self-testing system
US5974433A (en) 1984-06-29 1999-10-26 Currie; Robert John High speed M-sequence generator and decoder circuit
US4602210A (en) 1984-12-28 1986-07-22 General Electric Company Multiplexed-access scan testable integrated circuit
US4785410A (en) 1985-06-05 1988-11-15 Clarion Co., Ltd. Maximum length shift register sequences generator
US4687988A (en) 1985-06-24 1987-08-18 International Business Machines Corporation Weighted random pattern testing apparatus and method
US4801870A (en) 1985-06-24 1989-01-31 International Business Machines Corporation Weighted random pattern testing apparatus and method
US4754215A (en) 1985-11-06 1988-06-28 Nec Corporation Self-diagnosable integrated circuit device capable of testing sequential circuit elements
JP2628154B2 (ja) * 1986-12-17 1997-07-09 富士通株式会社 半導体集積回路
US4810870A (en) * 1987-03-30 1989-03-07 Kabushiki Kaisha Toshiba Sun sensor with periodic pattern reticle and reference phase signal generating means
US4827476A (en) * 1987-04-16 1989-05-02 Tandem Computers Incorporated Scan test apparatus for digital systems having dynamic random access memory
US4860236A (en) 1987-10-26 1989-08-22 University Of Manitoba Cellular automaton for generating random data
US4974184A (en) 1988-05-05 1990-11-27 Honeywell Inc. Maximum length pseudo-random test pattern generator via feedback network modification
EP0350538B1 (de) 1988-07-13 1993-12-01 Koninklijke Philips Electronics N.V. Speichergerät, das einen zur Ausführung einer Selbstprüfung adaptierten statischen RAM-Speicher enthält und integrierte Schaltung, die als eingebauten statischen RAM-Speicher ein solches Gerät enthält
US4959832A (en) 1988-12-09 1990-09-25 International Business Machines Parallel pseudorandom pattern generator with varying phase shift
JP2584673B2 (ja) 1989-06-09 1997-02-26 株式会社日立製作所 テストデータ変更回路を有する論理回路テスト装置
JPH03214809A (ja) 1990-01-19 1991-09-20 Nec Corp リニアフィードバック・シフトレジスタ
US5138619A (en) 1990-02-15 1992-08-11 National Semiconductor Corporation Built-in self test for integrated circuit memory
US5268949A (en) 1990-03-28 1993-12-07 Ando Electric Co., Ltd. Circuit for generating M-sequence pseudo-random pattern
IL94115A (en) * 1990-04-18 1996-06-18 Ibm Israel Dynamic process for creating pseudo-random test templates for pompous hardware design violence
EP0460352B1 (de) * 1990-06-07 1995-11-02 International Business Machines Corporation System zur Reduzierung von Prüfdatenspeichern
US5167034A (en) * 1990-06-18 1992-11-24 International Business Machines Corporation Data integrity for compaction devices
US5173906A (en) 1990-08-31 1992-12-22 Dreibelbis Jeffrey H Built-in self test for integrated circuits
EP0481097B1 (de) 1990-09-15 1995-06-14 International Business Machines Corporation Procédé et appareil pour tester des circuits intégrés à grande integration
US5258986A (en) 1990-09-19 1993-11-02 Vlsi Technology, Inc. Tightly coupled, low overhead RAM built-in self-test logic with particular applications for embedded memories
US5293123A (en) * 1990-10-19 1994-03-08 Tandem Computers Incorporated Pseudo-Random scan test apparatus
JP2584172B2 (ja) 1991-08-23 1997-02-19 インターナショナル・ビジネス・マシーンズ・コーポレイション デイジタル試験信号発生回路
US5369648A (en) 1991-11-08 1994-11-29 Ncr Corporation Built-in self-test circuit
EP0549949B1 (de) 1991-12-16 1998-03-11 Nippon Telegraph And Telephone Corporation Schaltung mit eingebautem Selbsttest
US5412665A (en) 1992-01-10 1995-05-02 International Business Machines Corporation Parallel operation linear feedback shift register
US5349587A (en) * 1992-03-26 1994-09-20 Northern Telecom Limited Multiple clock rate test apparatus for testing digital systems
CA2064609C (en) * 1992-04-01 1996-10-29 Sydney Oliver Smith Explosive composition
US5394405A (en) 1992-04-24 1995-02-28 International Business Machines Corporation Universal weight generator
JP3474214B2 (ja) 1992-10-22 2003-12-08 株式会社東芝 論理回路及びこの論理回路を備えたテスト容易化回路
US5608870A (en) 1992-11-06 1997-03-04 The President And Fellows Of Harvard College System for combining a plurality of requests referencing a common target address into a single combined request having a single reference to the target address
US5701309A (en) 1992-12-02 1997-12-23 At&T Global Information Solutions Company Automated test equipment digital tester expansion apparatus
US5586125A (en) 1993-02-26 1996-12-17 Warner; William T. Method for generating test vectors for characterizing and verifying the operation of integrated circuits
EP0620518B1 (de) 1993-04-06 1999-10-06 Hewlett-Packard Company Verfahren und Apparat zum Erzeugen von linearen Rückführungsschieberegistersequenzen
US5450414A (en) 1993-05-17 1995-09-12 At&T Corp. Partial-scan built-in self-testing circuit having improved testability
US5416783A (en) * 1993-08-09 1995-05-16 Motorola, Inc. Method and apparatus for generating pseudorandom numbers or for performing data compression in a data processor
US5444716A (en) * 1993-08-30 1995-08-22 At&T Corp. Boundary-scan-based system and method for test and diagnosis
US5414716A (en) 1993-09-22 1995-05-09 Mitsubishi Electronic Research Laboratories, Inc. Weighting system for testing of circuits utilizing determination of undetected faults
US5848198A (en) 1993-10-08 1998-12-08 Penn; Alan Irvin Method of and apparatus for analyzing images and deriving binary image representations
US5524114A (en) * 1993-10-22 1996-06-04 Lsi Logic Corporation Method and apparatus for testing semiconductor devices at speed
US5617531A (en) 1993-11-02 1997-04-01 Motorola, Inc. Data Processor having a built-in internal self test controller for testing a plurality of memories internal to the data processor
JP3179646B2 (ja) * 1993-12-28 2001-06-25 日本電信電話株式会社 共有型試験レジスタおよびこれを用いた組み込み自己試験回路
US5631913A (en) 1994-02-09 1997-05-20 Matsushita Electric Industrial Co., Ltd. Test circuit and test method of integrated semiconductor device
US5694401A (en) 1994-06-27 1997-12-02 Tandem Computers Incorporated Fault isolation using pseudo-random scan
US6029263A (en) * 1994-06-30 2000-02-22 Tandem Computers Incorporated Interconnect testing using non-compatible scan architectures
US5642362A (en) * 1994-07-20 1997-06-24 International Business Machines Corporation Scan-based delay tests having enhanced test vector pattern generation
US5592493A (en) * 1994-09-13 1997-01-07 Motorola Inc. Serial scan chain architecture for a data processing system and method of operation
US5748497A (en) 1994-10-31 1998-05-05 Texas Instruments Incorporated System and method for improving fault coverage of an electric circuit
US5533128A (en) 1995-01-18 1996-07-02 Vobach; Arnold Pseudo-random transposition cipher system and method
US5974179A (en) 1995-02-13 1999-10-26 Integrated Device Technology, Inc. Binary image data compression and decompression
JP3499034B2 (ja) 1995-03-13 2004-02-23 富士通株式会社 非対称信号検出回路
US5717702A (en) * 1995-03-14 1998-02-10 Hughes Electronics Scan testing digital logic with differing frequencies of system clock and test clock
US5761489A (en) * 1995-04-17 1998-06-02 Motorola Inc. Method and apparatus for scan testing with extended test vector storage in a multi-purpose memory system
US5553082A (en) * 1995-05-01 1996-09-03 International Business Machines Corporation Built-in self-test for logic circuitry at memory array output
US5574733A (en) 1995-07-25 1996-11-12 Intel Corporation Scan-based built-in self test (BIST) with automatic reseeding of pattern generator
DE19680782C2 (de) 1995-07-26 2002-11-21 Advantest Corp Hochgeschwindigkeits- Mustergenerierungsverfahren und unter Verwendung dieses Verfahrens arbeitender Hochgeschwindigkeits-Mustergenerator
US5831992A (en) 1995-08-17 1998-11-03 Northern Telecom Limited Methods and apparatus for fault diagnosis in self-testable systems
FR2738972B1 (fr) * 1995-09-15 1997-11-28 Thomson Multimedia Sa Procede de mise en gage de donnees pour un protocole d'echange de donnees securise
US6055658A (en) * 1995-10-02 2000-04-25 International Business Machines Corporation Apparatus and method for testing high speed components using low speed test apparatus
US5680543A (en) * 1995-10-20 1997-10-21 Lucent Technologies Inc. Method and apparatus for built-in self-test with multiple clock circuits
US5614838A (en) * 1995-11-03 1997-03-25 International Business Machines Corporation Reduced power apparatus and method for testing high speed components
US5867507A (en) * 1995-12-12 1999-02-02 International Business Machines Corporation Testable programmable gate array and associated LSSD/deterministic test methodology
JP3512939B2 (ja) 1996-03-12 2004-03-31 株式会社ルネサステクノロジ 疑似乱数発生回路及び双方向シフトレジスタ
US5883926A (en) 1996-03-28 1999-03-16 Ub Networks, Inc. Method and apparatus for communicating data and clock signals
DE69613560T2 (de) 1996-04-30 2002-03-14 Agilent Technologies Inc Ein Prüfgerät für elektronische Schaltkreise oder Platinen mit komprimierten Datenfolgen
US5790562A (en) 1996-05-06 1998-08-04 General Motors Corporation Circuit with built-in test and method thereof
US5668817A (en) * 1996-07-11 1997-09-16 Northern Telecom Limited Self-testable digital signal processor and method for self-testing of integrating circuits including DSP data paths
JPH1056361A (ja) 1996-08-07 1998-02-24 Matsushita Electric Ind Co Ltd 疑似雑音系列発生器
US5717701A (en) * 1996-08-13 1998-02-10 International Business Machines Corporation Apparatus and method for testing interconnections between semiconductor devices
US5812561A (en) * 1996-09-03 1998-09-22 Motorola, Inc. Scan based testing of an integrated circuit for compliance with timing specifications
US5790626A (en) 1996-09-10 1998-08-04 Hewlett-Packard Company Bi-directional linear feedback shift register
US5991909A (en) 1996-10-15 1999-11-23 Mentor Graphics Corporation Parallel decompressor and related methods and apparatuses
KR100206128B1 (ko) * 1996-10-21 1999-07-01 윤종용 선형 궤환 쉬프트레지스터, 다중 입력기호 레지스터 및 이들을 이용한 내장 자기 진단회로
US5694402A (en) 1996-10-22 1997-12-02 Texas Instruments Incorporated System and method for structurally testing integrated circuit devices
US5701308A (en) * 1996-10-29 1997-12-23 Lockheed Martin Corporation Fast bist architecture with flexible standard interface
US5905986A (en) 1997-01-07 1999-05-18 Hewlett-Packard Company Highly compressible representation of test pattern data
US5991898A (en) 1997-03-10 1999-11-23 Mentor Graphics Corporation Arithmetic built-in self test of multiple scan-based integrated circuits
US6199182B1 (en) * 1997-03-27 2001-03-06 Texas Instruments Incorporated Probeless testing of pad buffers on wafer
US5968194A (en) * 1997-03-31 1999-10-19 Intel Corporation Method for application of weighted random patterns to partial scan designs
US6026508A (en) 1997-04-22 2000-02-15 International Business Machines Corporation Storage sub-system compression and dataflow chip offering excellent data integrity
US6061818A (en) * 1997-05-08 2000-05-09 The Board Of Trustees Of The Leland Stanford Junior University Altering bit sequences to contain predetermined patterns
US6097889A (en) 1997-06-23 2000-08-01 Motorola, Inc. Signal processing apparatus with stages in a signal path operating as LFSR of alternable type and method for processing signals
US5883906A (en) * 1997-08-15 1999-03-16 Advantest Corp. Pattern data compression and decompression for semiconductor test system
KR19990018125A (ko) * 1997-08-26 1999-03-15 윤종용 Ic칩 검사용 테스터데이타 압축방법과 그 압축장치 및 ic칩용 테스터장치와 그 테스터방법
US5983380A (en) 1997-09-16 1999-11-09 International Business Machines Corporation Weighted random pattern built-in self-test
DE59813158D1 (de) 1997-09-18 2005-12-08 Infineon Technologies Ag Verfahren zum Testen einer elektronischen Schaltung
US6272653B1 (en) * 1997-11-14 2001-08-07 Intrinsity, Inc. Method and apparatus for built-in self-test of logic circuitry
US6198285B1 (en) 1997-11-28 2001-03-06 Hitachi Medical Corporation In-room MRI display terminal and remote control system
CA2226061C (en) * 1997-12-31 2002-05-28 Logicvision, Inc. Method and apparatus for controlling power level during bist
US6014763A (en) * 1998-01-15 2000-01-11 International Business Machines Corporation At-speed scan testing
US6148425A (en) 1998-02-12 2000-11-14 Lucent Technologies Inc. Bist architecture for detecting path-delay faults in a sequential circuit
US6158032A (en) * 1998-03-27 2000-12-05 International Business Machines Corporation Data processing system, circuit arrangement and program product including multi-path scan interface and methods thereof
US6141669A (en) 1998-05-06 2000-10-31 Nortel Networks Corporation Pseudorandom binary sequence block shifter
US6178532B1 (en) * 1998-06-11 2001-01-23 Micron Technology, Inc. On-chip circuit and method for testing memory devices
US6256759B1 (en) 1998-06-15 2001-07-03 Agere Systems Inc. Hybrid algorithm for test point selection for scan-based BIST
US6100716A (en) * 1998-09-17 2000-08-08 Nortel Networks Corporation Voltage excursion detection apparatus
US6256760B1 (en) * 1998-11-13 2001-07-03 Nortel Networks Limited Automatic test equipment scan test enhancement
US6286119B1 (en) * 1998-12-22 2001-09-04 Nortel Networks Limited Delay fault testing with IEEE 1149.1
US6240432B1 (en) 1998-12-28 2001-05-29 Vanguard International Semiconductor Corporation Enhanced random number generator
GB9900432D0 (en) 1999-01-08 1999-02-24 Xilinx Inc Linear feedback shift register in a progammable gate array
US6467058B1 (en) 1999-01-20 2002-10-15 Nec Usa, Inc. Segmented compaction with pruning and critical fault elimination
US6327685B1 (en) 1999-05-12 2001-12-04 International Business Machines Corporation Logic built-in self test
US6308290B1 (en) 1999-05-20 2001-10-23 International Business Machines Corporation Look ahead scan chain diagnostic method
US6590929B1 (en) * 1999-06-08 2003-07-08 International Business Machines Corporation Method and system for run-time logic verification of operations in digital systems
US6463560B1 (en) 1999-06-23 2002-10-08 Agere Systems Guardian Corp. Method for implementing a bist scheme into integrated circuits for testing RTL controller-data paths in the integrated circuits
US6385750B1 (en) * 1999-09-01 2002-05-07 Synopsys, Inc. Method and system for controlling test data volume in deterministic test pattern generation
US6694466B1 (en) 1999-10-27 2004-02-17 Agere Systems Inc. Method and system for improving the test quality for scan-based BIST using a general test application scheme
US6327687B1 (en) * 1999-11-23 2001-12-04 Janusz Rajski Test pattern compression for an integrated circuit test environment
US6353842B1 (en) * 1999-11-23 2002-03-05 Janusz Rajski Method for synthesizing linear finite state machines
US6684358B1 (en) * 1999-11-23 2004-01-27 Janusz Rajski Decompressor/PRPG for applying pseudo-random and deterministic test patterns
WO2001039254A2 (en) * 1999-11-23 2001-05-31 Mentor Graphics Corporation Continuous application and decompression of test patterns to a circuit-under-test
US6557129B1 (en) 1999-11-23 2003-04-29 Janusz Rajski Method and apparatus for selectively compacting test responses
US7493540B1 (en) 1999-11-23 2009-02-17 Jansuz Rajski Continuous application and decompression of test patterns to a circuit-under-test
US6874109B1 (en) * 1999-11-23 2005-03-29 Janusz Rajski Phase shifter with reduced linear dependency
DE60108993T2 (de) * 2000-03-09 2005-07-21 Texas Instruments Inc., Dallas Anpassung von "Scan-BIST"-Architekturen für einen Betrieb mit niedrigem Verbrauch
US6421794B1 (en) 2000-03-09 2002-07-16 John T. Chen Method and apparatus for diagnosing memory using self-testing circuits
US6611933B1 (en) * 2000-04-12 2003-08-26 International Business Machines Corporation Real-time decoder for scan test patterns
US6300885B1 (en) 2000-04-14 2001-10-09 International Business Machines Corporation Dual aldc decompressors inside printer asic
US6510398B1 (en) * 2000-06-22 2003-01-21 Intel Corporation Constrained signature-based test
US6618826B1 (en) 2000-10-26 2003-09-09 Cadence Design Systems, Inc. Test sequences generated by automatic test pattern generation and applicable to circuits with embedded multi-port RAMs
JP4228061B2 (ja) * 2000-12-07 2009-02-25 富士通マイクロエレクトロニクス株式会社 集積回路の試験装置および試験方法
JP4278940B2 (ja) * 2002-09-09 2009-06-17 株式会社 液晶先端技術開発センター 結晶化装置および結晶化方法
US20060038485A1 (en) 2004-08-18 2006-02-23 Harvatek Corporation Laminated light-emitting diode display device and manufacturing method thereof

Also Published As

Publication number Publication date
EP1256008A4 (de) 2005-01-12
US20110138242A1 (en) 2011-06-09
US7500163B2 (en) 2009-03-03
EP2146212A1 (de) 2010-01-20
JP4047584B2 (ja) 2008-02-13
US20030115521A1 (en) 2003-06-19
HK1049206B (zh) 2007-06-08
US6557129B1 (en) 2003-04-29
DE60030480T2 (de) 2007-06-06
WO2001038889A1 (en) 2001-05-31
JP2004500558A (ja) 2004-01-08
US8108743B2 (en) 2012-01-31
EP1722246A2 (de) 2006-11-15
EP1722246B1 (de) 2009-11-11
EP1256008A1 (de) 2002-11-13
US6829740B2 (en) 2004-12-07
ATE448485T1 (de) 2009-11-15
US20090228749A1 (en) 2009-09-10
HK1097600A1 (en) 2007-06-29
DE60043319D1 (de) 2009-12-24
HK1049206A1 (en) 2003-05-02
EP1722246A3 (de) 2006-11-29
ATE338280T1 (de) 2006-09-15
EP1256008B1 (de) 2006-08-30
US7805649B2 (en) 2010-09-28
EP2146212B1 (de) 2013-08-07
US20050097419A1 (en) 2005-05-05

Similar Documents

Publication Publication Date Title
DE60030480D1 (de) Gerät und verfahren zum selektiven verdichten von testergebnissen
ATE442624T1 (de) Verfahren und vorrichtung zum mischen von daten
IL120927A (en) Method and apparatus for testing a megacell in an ASIC using JTAG
FR2603704B1 (fr) Procede pour tester un support portant plusieurs circuits integres a fonctionnement numerique, circuit integre propre a etre monte sur un support a tester ainsi, et support pourvu de plusieurs circuits integres de ce genre
KR870004454A (ko) 테스트 패턴 제너레이터
DE60314530D1 (de) Verfahren und system zum debuggen unter verwendung duplizierter logik
WO1995019011A3 (en) Apparatus and method for testing integrated circuits
DE69616640T2 (de) Prüfkopf, Vorrichtung und Verfahren zum Testen von Eiern
ATE325383T1 (de) Bidirektionale sondierung von software
DE69624897D1 (de) Vorrichtung zur prüfung eines pipeline-mikroprozessors
DE68907825D1 (de) Verfahren und vorrichtung zum faerben von gestreckten textilmaterialien.
NO901612D0 (no) Fremgangsmaate og apparat for maaling av flerfasestroemninger, saerlig i hydrokarbonbroenner.
DE3850547D1 (de) Speicher mit eingebautem Logik-LSI und Verfahren zum LSI-Prüfen.
DE69102770D1 (de) Hochgeschwindigkeitstester und Verfahren zur Erzeugung aufeinanderfolgender Schleifen von Datensignalen einer bestimmten Taktrate.
DE69022925T2 (de) Halbleiteranordnung und Verfahren zum Test derselben.
KR980003618A (ko) 메모리 시험 장치
ES2006764A6 (es) Red contadora multi-modos y metodo de probar su funcionamiento.
ATE246257T1 (de) Trommel zum walken, färben und gerben
MY116817A (en) Apparatus and method for programmable parametric toggle testing of digital cmos pads
DE9320307U1 (de) Vorrichtung zur Prüfung von Verbrauchszählern, insbesondere Wasserzählern
JPS5322489A (en) Tension tester
DE69812184D1 (de) Integrierte schaltungsarchitektur mit prüfzellematrix zur bereitstellung von vollsteuerbarkeit für automatische schaltungsprüfung
DE69120922T2 (de) Verfahren zum Prüfen von Temperaturmesschaltungen und Prüfer die dieses Verfahren anwendet
ATE324732T1 (de) Verfahren zum erzeugen und einrichtung zum empfangen eines anisochronen binären signals
JPS5720674A (en) Lsi tester

Legal Events

Date Code Title Description
8364 No opposition during term of opposition