DE60125338T2 - Gradierte dünne schichten - Google Patents

Gradierte dünne schichten Download PDF

Info

Publication number
DE60125338T2
DE60125338T2 DE60125338T DE60125338T DE60125338T2 DE 60125338 T2 DE60125338 T2 DE 60125338T2 DE 60125338 T DE60125338 T DE 60125338T DE 60125338 T DE60125338 T DE 60125338T DE 60125338 T2 DE60125338 T2 DE 60125338T2
Authority
DE
Germany
Prior art keywords
vapor phase
reactant
gas
varying
copper
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE60125338T
Other languages
English (en)
Other versions
DE60125338D1 (de
Inventor
J. Christiaan Tempe WERKHOVEN
Ivo Raaijmakers
P. Suvi HAUKKA
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM International NV
Original Assignee
ASM International NV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM International NV filed Critical ASM International NV
Application granted granted Critical
Publication of DE60125338D1 publication Critical patent/DE60125338D1/de
Publication of DE60125338T2 publication Critical patent/DE60125338T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • C23C16/029Graded interfaces
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02194Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing more than one metal element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3145Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers formed by deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31616Deposition of Al2O3
    • H01L21/3162Deposition of Al2O3 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31641Deposition of Zirconium oxides, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4908Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET for thin film semiconductor, e.g. gate of TFT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • H01L2221/1073Barrier, adhesion or liner layers
    • H01L2221/1084Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L2221/1089Stacks of seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24942Structurally defined web or sheet [e.g., overall dimension, etc.] including components having same physical characteristic in differing degree
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24942Structurally defined web or sheet [e.g., overall dimension, etc.] including components having same physical characteristic in differing degree
    • Y10T428/2495Thickness [relative or absolute]

Description

  • Gebiet der Erfindung
  • Die vorliegende Erfindung bezieht sich allgemein auf die Ausbildung von Schichten integrierter Schaltkreise und genauer gesagt auf das Abscheiden dünner Filme mit abgestuften Verunreinigungskonzentrationen bzw. einem Gradienten in der Verunreinigungskonzentration.
  • Hintergrund der Erfindung
  • Es gibt zahlreiche Halbleiterverarbeitungsschritte, die bei der Entwicklung moderner integrierter Schaltkreise (ICs) eine Rolle spielen. Von der anfänglichen Herstellung von Siliziumsubstraten bis zur endgültigen Verpackung bzw. Konfektionierung und zum Testen umfasst die Herstellung integrierter Schaltkreise viele Herstellungsschritte, einschließlich der Photolithographie, der Dotierung, des Ätzens und der Dünnfilmabscheidung. Als Ergebnis dieser Vorgänge werden integrierte Schaltkreise aus mikroskopischen Einrichtungen und einer Verdrahtung bzw. Kontaktherstellung inmitten mehrerer Schichten gebildet.
  • Ein grundlegender Baustein des integrierten Schaltkreises ist der Dünnfilmtransistor (TFT). Der Transistor weist eine dielektrische Schicht für ein Gate auf, welche sandwichartig zwischen einer „Metall"-Schicht und dem Halbleitersubstrat angeordnet ist, woher das Akronym „MOS" für Metal-Oxide-Semiconductor (Metall-Oxid-Halbleiter) stammt. In der Realität wird die Gateelektrode typischerweise aus auf Leitfähigkeit dotiertem Silizium hergestellt anstatt aus Metall. Das Dielektrikum des Gate, welches am häufigsten verwendet wird, ist SiO2 oder Siliziumdioxid.
  • Die heutigen Märkte erfordern leistungsstärkere und schnellere integrierte Schaltkreise.
  • Bei dem Streben nach solcher Geschwindigkeit und geringerem Energieverbrauch werden die Packungsdichten dieser Einrichtungen immer weiter erhöht, indem die typischen Abmessungen der Einrichtung immer weiter verkleinert bzw. herunterskaliert werden. Bisher hat diese Verkleinerung die Breiten der Gateelektroden auf weniger als 0,25 μm reduziert. Es sind derzeit schon kommerzielle Produkte verfügbar, welche Gatebreiten oder kritische Abmessungen von 0,18 μm oder weniger verwenden. Die Skalierungsregeln, welche für diese kleinen Einrichtungen gelten, verlangen sehr dünne Gateoxidschichten, die mit jeder Generation von integrierten MOS-Schaltkreisen immer kleiner geworden sind. Die Dicke der Gateoxide wird so klein wie möglich gehalten, wodurch die Schaltgeschwindigkeit erhöht wird. Konventionelle Gateoxid schichten können in mehrfacher Hinsicht ungeeignet sein, wenn die Maße kontinuierlich darunter skaliert werden.
  • Extrem dünne Gatedielektrika aus Siliziumdioxid zeigen unerwünschte Phänomene, wie z. B: das quantenmechanische Tunneln. Im klassischen Sinn stellt das Oxid eine relativ undurchdringbare Barriere für das Einführen bzw. Injizieren von Elektronen in das Leitungsband des Siliziums dar, wenn sie genetische Energien aufweisen, die kleiner als 3,1 eV sind. Das Elektron hat jedoch eine endliche Wahrscheinlichkeit, die Barriere zu durchqueren, selbst wenn das Elektron keine ausreichende Energie aufweist. Diese Wahrscheinlichkeit wächst mit größer werdenden elektrischen Feldern des Gates und/oder dünneren Gateoxiden an. Für Oxiddicken, die kleiner als 3 nm sind, wird der direkte Tunnelstrom groß genug, so dass er Leitungsträger schneller ableitet, als sie durch thermische Erzeugung zugeführt werden können. Im Ergebnis erreichen Gatedielektrika aus Siliziumdioxid wahrscheinlich einen unteren Skalierungsgrenzwert von etwa 1,5 nm bis 2 nm.
  • Ein weiteres Problem von dünnen Gateoxiden ist ihre Empfänglichkeit für die Diffusion von Dotiermitteln aus der darüberliegenden Gateelektrode. Eine Gateelektrodenschicht aus Polysilizium wird für ihre erhöhte Leitfähigkeit typischerweise mit Bor dotiert. Wenn die Gateoxiddicke herabgesetzt wird, kann das Bor das Gateoxid leicht durchdringen, was zu Instabilitäten in den Geräte- bzw. Produkteigenschaften führt. Das Eindringen von Bor in Gatedielektrika hat solche unerwünschten Konsequenzen wie die positive Verschiebung der Schwellenwertspannung, Verstärkung des Schwingens unterhalb des Grenz- bzw. Schwellenwertes, Erhöhen von Ladungseinfang, Absenken der Löchermobilität bei niedrigen Feldern und Verschlechterung der Stromverstärkung aufgrund von Polysiliziumverarmung in p-MOSFETs.
  • Ansätze für den Umgang mit Nachteilen von Siliziumdioxid schließen den Einbau von Stickstoff in das Gatedielektrikum ein. Siliziumnitrid (Si3N4) hat eine höhere Dielektrizitätskonstante als SiO2, was theoretisch eine dünnere äquivalente Oxiddicke für Gatedielektrika ermöglicht, die nicht durch Tunneln begrenzt sind, und es dient weiterhin als effektive Barriere gegen die Diffusion von Verunreinigungen. Die Grenzflächen bzw. Übergangsebenen zwischen Siliziumnitridfilmen und dem darunterliegenden Halbleitersubstrat haben im Allgemeinen jedoch eine schlechte Qualität, was zu einer hohen Dichte von Ladungseinfangplätzen und so genannten „Pinholes" sowie einem zugehörigen Stromleck führt. Als Konsequenz sind Versuche unternommen worden, um Hybridschichten aus SiO2 und Si3N4 zu erzeugen, wie z. B. Siliziumoxinitridfilme für die Verwendung als Gatedielektrika. Konventionelle Verfahren des Einbauens von Stickstoff in das Gatedielektrikum aus Siliziumoxid sind jedoch schwer zu steuern, insbesondere für die ultradünnen Gatedielektrika künftiger Generationen von entsprechenden Einrichtungen.
  • Andere Lösungen der Skalierungsprobleme umfassen die Verwendung von Materialien mit hoher Elektrizitätskonstante (hohes K) wie z. B. Tantalpentoxid, Strontium-Wismut-Tantalat (SBT), Barium-Strontium-Tantalat (BST), etc. Während diese eine in hohem Maße erhöhte dielektrische Festigkeit zeigen, sind diese Materialien nur mit Schwierigkeiten in die existierende Herstellungstechnologie zu integrieren.
  • Ein weiteres Problem, welches bei der kontinuierlichen Verkleinerung der Maße von integrierten Schaltkreisen auftritt, ist die Schwierigkeit, adäquat leitfähige Metallleitungen zur Verdrahtung bzw. Kontaktierung der Schaltung innerhalb der integrierten Schaltkreise herzustellen. Eine Art und Weise zur Vereinfachung des Vorganges der Metallisierung ist die Verwendung von Damaszenertechniken. Anstatt Abdeckungen aus Metallschichten abzuscheiden und überschüssiges Material wegzuätzen, um Draht- bzw. Leitungsbahnmuster zu hinterlassen, beinhaltet die Damaszenerverarbeitung das Ausbilden von Schablonen für die Verdrahtung mit Hilfe von Gruben in einer Isolierschicht. Metall füllt die Gruben bis über den Rand aus und ein Polierschritt entfernt überschüssiges Metall außerhalb der Gruben. Demnach verbleibt Metall in einem gewünschten Verdrahtungsmuster innerhalb der Gruben. Wenn Kontaktlöcher oder Durchgänge, von der Unterseite der Gruben zu darunterliegenden leitfähigen Elementen vorhanden sind und diese gleichzeitig mit Metall ausgefüllt werden, wird dieses Verfahren als zweifache Damaszenerverarbeitung bezeichnet.
  • Unglücklicherweise führt die Skalierung Schwierigkeiten in die Damaszenerprozesse ein, insbesondere wenn schnell diffundierende Metalle, wie z. B. Kupfer, für die Metallleitungen und Kontakte verwendet werden. Um das Abschälen von Metallleitungen von der umgebenden Isolierung zu verhindern und um Diffusionsspitzen zu vermeiden, welche Kurzschlüsse zwischen Leitungen erzeugen, werden ein oder zwei Auskleidungsschichten innerhalb der Gruben (und bei dem doppelten Damaszenerprozess der Durchgänge) vor der Füllung mit Metall ausgebildet. Typischerweise werden Metallhaftschichten und Metallnitridbarriereschichten verwendet. Eine metallische Keimschicht kann auch erforderlich sein, wenn die Gruben durch Elektroblockierung gefüllt werden sollen.
  • Diese Auskleidungsschichten beanspruchen ein beträchtliches Volumen der verfügbaren Gruben, was den für die höher leitfähige Metallfüllung verfügbaren Raum reduziert. Damit wird die Leitfähigkeit im Vergleich zu denselben vollständig mit Metall gefüllten Gruben reduziert. Darüber hinaus weiß man, dass die Verwendung von Metallnitridauskleidungen, auch wenn sie in vorteilhafter Weise die Metallfüllung aufnehmen und Kurzschlüsse verhindern, während des Betriebes des Schaltkreises eine Elektromigration induzieren, was zu Leerstellen und einer weiter reduzierten Leitfähigkeit entlang der Metallleitungen führt.
  • Dementsprechend besteht ein Bedarf an dünnen Filmen, welche die mit den aus traditionellen Materialien, wie z. B. Siliziumnitrid und Siliziumoxid, aufgebauten Gatedielektrika verbundenen Probleme überwindet. Es besteht auch ein Bedarf an verbesserten Strukturen und Verfahren für die Aufnahme von Metall innerhalb von Damaszener-Gruben ohne übermäßigen Verlust in der Leitfähigkeit.
  • Zusammenfassung der Erfindung
  • Die zuvor erwähnten und weiteren Bedürfnisse werden durch verschiedene Aspekte der vorliegenden Erfindung befriedigt.
  • Gemäß einem Aspekt der vorliegenden Erfindung wird ein Verfahren zum Ausbilden eines nicht-epitaktischen, dünnen Filmes mit variierender Zusammensetzung zwischen seiner Oberseite und seiner Unterseite in einem integrierten Schaltkreis bereitgestellt, welches aufweist:
    Anordnung eines Substrates in einer Reaktionskammer,
    Einführung erster und zweiter Dampfphasenreaktionsmittel in abwechselnden und zeitlich getrennten Impulsen für das Substrat in einer Mehrzahl von Abscheidungszyklen, und
    Einführen unterschiedlicher Mengen eines dritten Reaktionsmittels in der Dampfphase in das Substrat während der Mehrzahl von Abscheidungszyklen, so dass die Oberfläche des dünnen Films eine graduell abgestufte Konzentration mit 5 % einer Verunreinigung aufweist, die eine Komponente des dritten Reaktionsmittels in der Dampfphase aufweist, und wobei die Dicke des dünnen Films weniger als 50 Å (5 × 10–9 m) beträgt.
  • Gemäß einem anderen Aspekt der vorliegenden Erfindung wird ein dünner Film in einem integrierten Schaltkreis bereitgestellt, der eine Dicke von weniger als 50 Å (5 × 10–9 m) hat, die zwischen einer oberen Fläche und einer unteren Fläche definiert bzw. festgelegt wird, wobei der dünne Film eine kontrolliert eingestellte und zwischen der oberen und der unteren Fläche variierende Zusammensetzung einer Verunreinigung hat, wobei die Konzentration der Verunreinigung an der oberen Fläche mehr als 5 % beträgt und wobei die variierende Zusammensetzung eine abgestufte bzw. sich graduell ändernde Konzentration der Verunreinigung (einen Konzentrationsgradienten der Verunreinigung) aufweist.
  • Wir beschreiben einen dünnen Film der vorliegenden Erfindung, der in einem integrierten Schaltkreis vorgesehen ist. Der Film hat eine geringe Dicke, wie sie als Abstand zwischen einer oberen und einer unteren Fläche definiert wird. Eine kontrollierte, sich über diese geringe Dicke verändernde Zusammensetzung wird bereitgestellt. Beispielhafte Dicken können in der Größenordnung von 10 Å sein.
  • Gemäß einer Ausführungsform weist der Film ein Gatedielektrikum für einen integrierten Dünnfilmtransistor auf. In einer Anordnung wird eine Siliziumoxidschicht mit einer abgestuften Konzentration (einem Konzentrationsgradienten) von Stickstoff bereitgestellt. Trotz der geringen Dicke der Schicht kann man einen solchen Gradienten erhalten. In vorteilhafter Weise kann auf der unteren Ebene ein relativ reines Siliziumdioxid bereitgestellt werden für eine qualitativ hochwertige Kanalgrenzfläche, während an der oberen Fläche ein relativ hoher Stickstoffgehalt den Widerstand gegen Bordiffusion aus der Polysiliziumgateelektrode verbessert. In einer anderen Anordnung können andere dielektrische Materialien in abgestufter Weise zugemischt werden, um wünschenswerte Zwischenebenen- bzw. Grenzflächeneigenschaften von einem Material und wünschenswerte Massivmaterialeigenschaften von einem anderen Material zu erhalten, ohne unerwünschte, scharte bzw. abrupte Übergangsschichten in dem Gatedielektrikum. Beispielsweise hat Al2O3 eine hohe dielektrische Konstante und wünschenswerte Grenzflächeneigenschaften, während ZrO2 eine noch höhere dielektrische Konstante hat, die für die „Masse" des Gatedielektrikums wünschenswert ist.
  • Gemäß einer zweiten Ausführungsform weist der Film eine Übergangsschicht zwischen einem Barrierefilm und einem besser leitfähigen Verdrahtungs- bzw. Kontaktmaterial auf. In der dargestellten Ausführungsform wird die dünne Metallnitridschicht mit einer abgestuften Konzentration von Kupfer versehen. Die Nitridschicht kann außerordentlich dünn hergestellt werden, was beispielsweise mehr Platz für mehr leitfähiges Metall innerhalb einer Damaszener-Grube frei lässt. In vorteilharter Weise kann eine effektive Diffusionsbarriere mit Metallnitrid an der Unterseite vorgesehen werden, während ein hoher Kupfergehalt an der oberen Fläche die Leitfähigkeit bereitstellt, die benötigt wird, um als eine Keimschicht für die Elektroplattierung zu dienen. Der allmähliche Übergang vermindert auch die Elektromigration gegenüber Strukturen, die abrupte Übergangsschichten zwischen Barriere und Metall haben.
  • Wie zuvor bereits erwähnt, wird gemäß einem anderen Aspekt der Erfindung ein Verfahren bereitgestellt, um einen dünnen Film in einem integrierten Schaltkreis mit einer über seine Dicke variierenden Zusammensetzung bereitzustellen. Das Verfahren umfasst das abwechselnde Einführen bzw. Zuführen zumindest einer ersten Art und einer zweiten Art zu einem Substrat in einer Mehrzahl von Abscheidungszyklen, während das Substrat in einer Reaktionskammer gehalten wird. Eine dritte Art bzw. Substanz wird in einer Mehrzahl von Abscheidungszyklen in das Substrat eingeführt. Die Menge der dritten Substanz kann in den verschiedenen Zyklen, in denen sie zugeführt bzw. eingeführt wird, variieren. Alternativ wird die dritte Substanz durch ihren eigenen Quellgasimpuls zugeführt, wobei dieser Impuls mit zunehmender oder abnehmender Frequenz abgegeben wird, während die Dünnfilmabscheidung fortschreitet (z. B. keiner während einer ersten Stufe, jeder vierte Zyklus während einer zweiten Stufe und jeder Zyklus während einer dritten Stufe, etc.).
  • In vorteilhafter Weise variiert die Menge an Verunreinigungen zwischen Null während anfänglicher Abscheidungszyklen und einem maximalen Betrag während späterer Abscheidungszyklen. In einem Beispiel wird eine Siliziumgasquelle auf dem Substrat in einer ersten Phase jedes Zyklus adsorbiert, während ein Gas einer Oxidationsmittelquelle in einer zweiten Phase des Zyklus Siliziumoxid bildet. Nachdem ein relativ reines Siliziumoxid die Substratoberfläche abdeckt, werden während der zweiten Phase kleine Mengen von einer Stickstoffgasquelle eingeführt. Die Menge an Stickstoffgas nimmt danach mit jedem Zyklus weiter zu. Die Menge an Oxidationsmittel während der zweiten Phase kann ebenfalls abnehmen, so dass sich in dem am meisten bevorzugten Fall eine obere Fläche aus reinem Siliziumnitrid ergibt, wobei der Stickstoffgehalt zwischen den oberen und unteren Flächen des Dielektrikums abgestuft ist. In ähnlicher Weise stellen in einem zweiten Beispiel Wolfram, Reduktionsmittelquellen und Stickstoffquellen in den ersten bis dritten Phasen Metallnitrid bereit. Eine Kupferquelle und Reduziermittel in den vierten und fünften Phasen liefern Kupfer. In den nachfolgenden Zyklen verändern sich die relativen Anteile der ersten bis dritten Phasen (welche nicht mehr als etwa eine Monoschicht aus WN erzeugen) und der vierten bis fünften Phasen (welche nicht mehr als etwa eine Monoschicht aus CU bilden). Die Zunahmen/Reduktionen können schrittweise verändert werden, beispielsweise mit jedem zweiten Zyklus, mit jedem dritten Zyklus, etc.
  • Gemäß einem anderen Aspekt der Erfindung können gezielt eingeführte Verunreinigungsphasen oder Impulse Atome einer vorherigen Phase in einer thermodynamisch begünstigten Substitutionsreaktion ersetzen. Die Abstufung bzw. Gradientenbildung kann bewerkstelligt werden durch Variieren der Frequenz der Verunreinigungsphase während des Abscheidungsvorganges der atomaren Schichten. Alternativ kann die Frequenz der Verunreinigungsphase konstant gehalten werden, während die Dauer der Verunreinigungsphase im Verlaufe des Abscheidungsprozesses variiert wird, oder es kann eine Kombination von variierender Frequenz und Dauer verwendet werden.
  • Aufgrund der genauen Steuerung, welche durch die Abscheidung atomarer Schichten gewährleistet wird, kann diese Abstufung in sehr dünnen Schichten bereitgestellt werden. Darüber hin aus ermöglichen die niedrigen Temperaturen während des Prozesses, dass das gewünschte Profil des Verunreinigungsgehalts beibehalten wird.
  • Kurzbeschreibung der Figuren
  • Die vorstehenden und weitere Aspekte der Erfindung werden für Fachleute anhand der folgenden Beschreibung und der beigefügten Zeichnungen in einfacher Weise verständlich, wobei:
  • 1 eine teilweise schematische Schnittansicht einer Reaktionskammer mit einem einzelnen Substrat ist, welche einige umgebende Reaktorkomponenten für die Verwendung in Verbindung mit bevorzugten Ausführungsformen der vorliegenden Erfindung aufweist.
  • 2 ist eine schematische Schnittansicht eines teilweise hergestellten integrierten Schaltkreises, welcher die Schicht eines Gatedielektrikums zeigt, die sandwichartig zwischen einer Gateelektrode und einer Halbleiterschicht angeordnet ist.
  • 3-6 zeigen schematisch die Abscheidung eines Gatedielektrikums in einzelnen Schichten (Monolayers) gemäß einer bevorzugten Ausführungsform der Erfindung. In den dargestellten Ausführungsformen wird eine „Einzelschicht" (Monolayer) mit wenigen Zyklen in einem abwechselnden, zyklischen Prozess ausgebildet.
  • 7 ist ein beispielhaftes Gasstromdiagramm gemäß einem bevorzugten Verfahren der Abscheidung ultradünner, abgestufter dielektrischer Schichten.
  • 8 ist ein theoretisches umgekehrtes Auger-Profil einer abgestuften dielektrischen Schicht, die gemäß einer bevorzugten Ausführungsform aufgebaut ist.
  • 9 ist eine schematische Schnittansicht eines „Drahtes" bzw. einer elektrischen Leitung und eines Kontaktes, welche in einer Doppel-Damaszener-Grube bzw. einem Durchgang, einschließlich Barriere- und Metallschichten, ausgebildet sind.
  • 10 ist eine vergrößerte Ansicht des Schnittes 10-10 in 9, welche eine abgestufte Übergangsschicht zeigt, die zwischen den Barriere- und Metallschichten ausgebildet ist.
  • 11 ist ein theoretisches Auger-Profil eines abgestuften (mit Gradienten versehenen) Barriere-zu-Metall-Übergangsbereiches, welches gemäß einer bevorzugten Ausführungsform aufgebaut ist.
  • 12 ist ein beispielhaftes Gasstromdiagramm gemäß einer Ausführungsform für das Abscheiden abgestufter leitfähiger Schichten.
  • Genaue Beschreibung der bevorzugten Ausführungsformen
  • Auch wenn die Beschreibung hier im Kontext abgestufter dielektrischer Gateschichten in einem integrierten Transistorstapel und abgestufter Übergänge von Barrieren zu Metallschichten erfolgt, würde ein Fachmann in einfacher Weise für die hier offenbarten Prinzipien auch Anwendungen in einer Anzahl weiterer Zusammenhänge finden. Die hier offenbarten Prozesse und Schichtstrukturen sind besonders zweckmäßig, wo extrem dünne Schichten mit maßgeschneiderten Konzentrationen von Verunreinigungen über die Dicke der Schicht hinweg erwünscht sind.
  • Es ist oft wünschenswert, in einem integrierten Schaltkreis eine abgestufte oder sonst wie variierende Zusammensetzung über die Dicke eines Films hinweg bereitzustellen. Scharfe Grenzen bzw. Grenzflächen zwischen unterschiedlichen Schichten können in nachteiliger Weise eine schlechte Haftung, unerwünschte elektrische Qualität, fehlende Steuerungsmöglichkeiten etc. aufweisen.
  • Für sehr dünne Filme, welche beispielsweise dünner als 10 nm sind, ist es sehr schwierig, mit konventionellen Herstellungsmethoden exakt maßgeschneiderte Profile zu realisieren. Die bevorzugten Ausführungsformen verwenden jedoch eine Abscheidung atomarer Schichten (ALD – atomic layer deposition), welche die Ausbildung dünner Filme in jeweils einzelnen Monolayerschichten (monoatomare Schichten) ermöglicht. Tatsächlich existiert die Kontrolle bzw. Steuerungsmöglichkeit sogar auf einer kleineren Skala als der monoatomarer Schichten, da aufgrund von räumlichen Einschränkungen bzw. Abschattungen von chemischen Molekülen einer massiven Quelle weniger als eine Monoschicht pro Zyklus erzeugt wird. Die Fähigkeit, auf atomarer Ebene dünne Monoschichten aufzubringen, ermöglicht die Ausbildung präziserer Konzentrationsgradienten von der unteren Fläche (beispielsweise der Grenzfläche zwischen Gateoxid und Siliziumsubstrat) zu der oberen Fläche (beispielsweise der Grenzfläche zwischen Gateelektrode/Gatedielektrikum).
  • Dementsprechend stellen bevorzugte Ausführungsformen Verfahren zum exakteren, maßgeschneiderten Einbringen von Verunreinigungen in dünne Schichten, die in integrierten Schaltkreisen ausgebildet werden, dar. Die dargestellten Ausführungsformen, die nachstehend beschrieben werden, umfassen demnach Verfahren zum Aufbauen eines dünnen Filmes in diskreten Schritten in Form von Monoschichten (monoatomaren bzw. monomolekularen Schichten) aus einem Material und sie gehören damit zu Spielarten der Abscheidung atomarer Schichten (ALD). Die Zusammensetzung jeder diskreten Schicht kann maßgeschneidert werden, indem wahlweise die gewünschte Chemie für jede abzuscheidende Monoschicht eingeführt wird. Beispielsweise reagiert mit Hilfe von ALD eine bestimmte Kombination eingeführter Gase mit dem Werkstück, wird darauf abgeschieden oder absorbiert, und zwar aufgrund der Natur der Abscheidechemie selbst, bis der Vorgang von selbst endet. Unabhängig davon, wie lange die Exposition (bzw. die Bedampfung) erfolgt, tragen die Prozessgase nicht mehr zu der Abscheidung bei. Um nachfolgende Monoschichten abzuscheiden, werden andere chemische Kombinationen in die Prozesskammer eingeführt, so dass sie mit der zuvor ausgebildeten Monoschicht reagieren oder auf dieser absorbiert werden. Es ist wünschenswert, wenn die zweite Chemie (die chemische Zusammensetzung im zweiten Zyklus) oder eine nachfolgende Chemie eine weitere Monoschicht bildet, und zwar auch in sich selbst begrenzender Weise. Diese sich selbst begrenzenden Monoschichten wechseln viele Male ab, je nachdem, wie es gewünscht ist, um einen Film einer geeigneten Dicke zu bilden.
  • Allein die Art und Weise dieser Methode ermöglicht eine Veränderung der Chemie für jeden diskreten Zyklus. Dementsprechend kann die Zusammensetzung des sich ergebenden dünnen Filmes schrittweise, beispielsweise in jedem Zyklus, in jedem zweiten Zyklus oder in irgendeiner anderen gewünschten Vorgehensweise, geändert werden. Zusätzlich kann, da ALD bei sehr niedrigen Temperaturen ausgeführt werden kann, jedenfalls im Vergleich zu einer konventionellen thermischen Oxidierung und konventionellen CVD-Prozessen, eine Diffusion während des Prozesses in effektiver Weise begrenzt werden. Für Zwecke der Veranschaulichung eines Verhältnisses zwischen Oxiddicke und der entsprechenden Anzahl von Schichten enthält beispielsweise ein dünner Film aus 2 nm Siliziumoxid etwa sieben (7) Monoschichten. Gemäß der dargestellten Ausführungsform können sieben Monoschichten von Siliziumoxid in etwa 18 bis 22 Zyklen eines ALD-Vorganges ausgebildet werden. Demnach kann selbst für eine solch extrem dünne Schicht die Zusammensetzung so verändert werden, dass in der ersten Monoschicht gegenüber der siebten Monoschicht eine andere Verunreinigungskonzentration eingebaut wird.
  • Bevorzugter Prozessreaktor
  • 1 zeigt einen Reaktor 10 für chemische Dampfabscheidung (CVD), einschließlich einer Quarz-Prozess- oder Reaktionskammer 12, die entsprechend einer bevorzugten Ausführungsform aufgebaut ist und für welche die hier offenbarten Verfahren besonders nützlich sind. Der dargestellte Reaktor weist ein Prozessmodul auf, das kommerziell unter dem Handelsnamen EpsilonTM von ASM America, Inc., Phoenix, AZ, erhältlich ist und das dafür ausgelegt ist, dass es eine entfernte Plasmaquelle umfasst bzw. einschließt. Während die bevorzugten Ausführungsformen im Kontext eines CVD-Reaktors für ein einzelnes Substrat diskutiert werden, versteht es sich, dass die offenbarten Verfahren auch auf CVD-Reaktoren anderer Typen angewendet werden können, welche Reaktionskammern mit gegenüber den hier diskutierten anderen Geometrien haben. In anderen Anordnungen können die bevorzugten Verfahren in einem Reaktor ausgeführt werden, der kommerziell erhältlich ist unter dem Namen PulsarTM 2000 von ASM Microchemistry, LTD, Finnland, der speziell für die ALD ausgelegt ist.
  • Eine Mehrzahl von Wärmestrahlungsquellen ist außerhalb der Kammer 12 gehaltert, um Wärmeenergie für die Kammer 12 bereitzustellen, ohne dass die Wände der Quarzkammer 12 nennenswert absorbieren. Während die bevorzugten Ausführungsformen im Kontext eines CVD-Reaktors mit „kalter Wand" für die Verarbeitung von Halbleiterwafern beschrieben werden, versteht es sich, dass die hier beschriebenen Verarbeitungsmethoden auch in Verbindung mit anderen Erhitzungs-/Kühl-Systemen ihre Anwendungsmöglichkeiten haben, wie z. B. denjenigen, die ein induktives oder ein Widerstandsheizen verwenden.
  • Die dargestellten Strahlungsheizquellen weisen einen oberen Heizaufbau auf, der aus länglichen, rohrförmigen Strahlungsheizelementen 13 besteht. Die oberen Heizelemente 13 sind vorzugsweise voneinander beabstandet und parallel zueinander und auch im Wesentlichen parallel zu dem Strömungspfad des Reaktionsmittelgases durch die darunterliegende Reaktionskammer 12 angeordnet. Ein unterer Heizaufbau weist ähnliche längliche, rohrförmige Strahlungsheizelemente 14 unterhalb der Reaktionskammer 12 auf, die vorzugsweise quer zu den oberen Heizelementen 13 angeordnet sind. Es ist wünschenswert, dass ein Teil der Strahlungswärme durch rauhe Spiegelreflektorplatten oberhalb und unterhalb der oberen und unteren Lampen 13 bzw. 14 diffus reflektiert wird. Zusätzlich führt eine Mehrzahl von Punktlampen 15 konzentrierte Wärme auf die Unterseite der Waferhalterungsstruktur zu, um einem Wärmesenkeneffekt entgegen zu wirken, der durch kalte Halterungsstrukturen erzeugt wird, welche sich durch den Boden der Reaktionskammer 12 erstrecken.
  • Jedes der länglichen, rohrförmigen Heizelemente 13, 14 ist vorzugsweise eine Wolframglühfadenlampe hoher Intensität, welche eine transparente Quarzhülle aufweist, die ein Halogengas, wie z. B. Jod, enthält. Derartige Lampen erzeugen ein volles Spektrum von Heizstrahlungs energie, welche durch die Wände der Reaktionskammer 12 ohne nennenswerte Absorption übertragen wird. Wie es im Stand der Technik der Ausrüstung für die Verarbeitung von Halbleitern bekannt ist, kann die Leistung der verschiedenen Lampen 13, 14, 15 unabhängig oder in gruppierten Zonen unter Reaktion auf Temperatursensoren gesteuert werden.
  • Ein Werkstück oder Substrat, welches vorzugsweise einen Siliziumwafer 16 aufweist, ist in der Reaktionskammer 12 auf einem Substrat- oder Wafer-Halterungsaufbau 18 gehaltert dargestellt. Man beachte, dass es sich versteht, während das Substrat der dargestellten Ausführungsform ein kristalliner Siliziumwafer ist, dass der Begriff „Substrat" sich allgemein auf irgendeine Struktur bezieht, auf welcher eine Schicht abgeschieden werden soll. Der Halterungsaufbau 18 weist einen Aufnehmer 20, eine Quarzunterstützungsspinne 22, die sich von einem Schacht 24 durch ein herabhängendes Rohr 26 erstreckt, und zahlreiche Umgebungselemente auf, die einen laminaren Gasstrom und gleichmäßige Temperaturen über den Wafer 16 hinweg ermöglichen.
  • Die dargestellte Reaktionskammer 12 weist einen Einlassanschluss 40 für das Injizieren von Reaktionsmitteln und Trägergasen auf, und der Wafer 16 kann ebenfalls durch diesen aufgenommen werden. Ein Auslassanschluss 42 befindet sich auf der entgegengesetzten Seite der Kammer 12, wobei der Waferhalterungsaufbau 18 zwischen dem Einlass 40 und dem Auslass 42 angeordnet ist.
  • Ein Einlassbauteil 44 ist an der Reaktionskammer montiert und so ausgelegt, dass es den Einlassanschluss 40 umgibt, und es weist einen in horizontaler Richtung länglichen Schlitz 45 auf, durch welchen der Wafer 16 eingeführt werden kann. Der Schlitz 45 wird während des Betriebs wahlweise durch ein Gateventil (nicht dargestellt) abgedichtet. Ein in etwa vertikaler Einlass 46 nimmt Gase von entfernten Quellen auf und stellt für den Schlitz 45 und den Einlassanschluss 40 die Verbindung zu diesen Gasen her.
  • Der Reaktor weist auch entfernte Quellen (nicht dargestellt) von Prozessgasen auf, die über Gasleitungen mit zugehörigen Sicherheits- und Steuerventilen, ebenso wie mit Massenstromregelungen („MFCs"), die an einer Gas-Tafel koordiniert werden, über Gasleitungen mit dem Einlass 46 in Kommunikationsverbindung stehen, wie es ein Fachmann auf diesem Gebiet leicht versteht.
  • Für die erste dargestellte Ausführungsform weisen Gasquellen Tanks auf, welche ein Silizium enthaltendes Gas umfassen, vorzugsweise ein Silan, wie z. B. Monisilan (SiH4), Siliziumtetrachlorid (SiCl4), Dichlorosilan (DCS oder SiH2Cl2), Trichlorosilane (TCS oder SiHCl3) oder Quel len von anderem Silan- oder Halosilan-Silizium; ein Quellgas als Oxidationsmittel, wie z. B. O2, O3, O-Radikale, H2O, NO oder N2O, und ein Stickstoffquellengas, wie z. B. NH3. Metallquellengase können für die Abscheidung von Metalloxiden mit hohem K-Wert ebenfalls verwendet werden. Für die zweite Ausführungsform umfassen die Quellgase ein oder mehrere metallische Quellgase (z. B. WF6, TiCl4, CuCl etc.), ein Stickstoffquellengas (z. B. NH3) und ein Reduziermittel (beispielsweise Triethylbor oder TEB).
  • Die Siliziumquellen können einen Blasenbildner und eine Gasleitung für Entgasen des H2 durch eine flüssige Lösung, wie z. B. TCS, umfassen, um in effizienterer Weise Silizium enthaltende Gasmoleküle in gasförmiger Form zu der Reaktionskammer zu transportieren. Viele Metallquellen können in ähnlicher Weise flüssige Lösungen und Blasenbildner enthalten. Der Reaktor 10 kann auch andere Gasquellen umfassen, wie z. B. Dotiermittelgase, einschließlich Phosphin (PH3), Arsin (AsH3) und/oder Diboran (B2H6), Ätzmittel zum Reinigen der Reaktorwände (z. B. HCl), eine Germaniumquelle zum Dotieren oder zur Ausbildung auf SiGe-Filmen, etc.
  • In der dargestellten Ausführungsform wird eine optische Erzeugungseinrichtung für eine angeregte Substanz, der üblicherweise als Plasmagenerator 60 bezeichnet wird, fernab oder stromaufwärts von dem Reaktionsbereich und vorzugsweise auch stromaufwärts von der Kammer 12 bereitgestellt. Ein beispielhafter, entfernt gelegener Generator für angeregte Substanzen ist kommerziell verfügbar unter dem Handelsnamen TR-850 von Rapid Reactive Radicals Technology GmbH in München, Deutschland. Wie im Stand der Technik bekannt, koppelt der Generator 60 Energie in ein Gas ein, um eine angeregte Substanz zu erzeugen. In der dargestellten Ausführungsform koppelt der Generator 60 Mikrowellenenergie von einem Magnetron in ein strömendes Gas in einer Zufuhreinrichtung entlang einer Gasleitung 62 ein. Eine Quelle für Vorläufergase 63 wird zum Einführen in den Generator 60 für angeregte Substanzen in die Gasleitung 62 eingekoppelt. Eine Quelle für Trägergas 64 ist ebenfalls mit der Gasleitung 62 verbunden. Eine oder mehrere weitere Verzweigungsleitungen 65 können für zusätzliche Reaktionsmittel ebenfalls bereitgestellt werden. Wie es im Stand der Technik bekannt ist, können die Gasquellen 63, 64 Gasbomben (Druckflaschen), Rührwerke etc. enthalten, je nach der Form und Volatilität (Flüchtigkeit) der Substanz des Reaktionsmittels. Jede Gasleitung kann mit getrennten Massenstromsteuerungen bzw. -regelungen (MFC) und Ventilen ausgestattet sein, wie dargestellt, um die Auswahl relativer Mengen und Trägersubstanzen und Reaktionsmittelsubstanzen zu ermöglichen, die in den Generator 60 und von dort in die Reaktionskammer 12 eingeführt werden.
  • Eine Auslasskomponente 48 ist so an der Prozesskammer 12 montiert, dass eine Abgasöffnung 49 mit dem Auslassanschluss 42 ausgerichtet ist und ihn zu Abgasleitungen 50 führt. Die Lei tungen 50 stehen ihrerseits in Verbindung mit geeigneten Vakuumeinrichtungen (nicht dargestellt), um Prozessgase durch die Kammer 12 abzuziehen und um den Druck zu reduzieren, falls erwünscht.
  • Abgestufte Gatedielektrika
  • Wie oben erwähnt, geht der Trend bei der Herstellung integrierter Schaltkreise in Richtung einer weiteren Miniaturisierung der Einrichtungen. Wenn die Einrichtungen kleiner werden, so wird es zunehmend schwieriger, mit konventionellen Mitteln dünne Schichten, wie z. B. Gateoxidschichten, abzuscheiden. Darüber hinaus muss die Natur von Siliziumoxidschichten sich ändern, um die gewünschten elektrischen Eigenschaften von Gatedielektrika zu erfüllen.
  • Gatedielektrika in integrierten Transistoren sollten nicht nur geringe Fehlerdichten haben, sondern sollten auch der Diffusion von Verunreinigungen aus der darüberliegenden Gateelektrode in das Gatedielektrikum widerstehen. Siliziumoxid ist nunmehr seit Dekaden erfolgreich als dielektrisches Gatematerial verwendet worden, jedoch verlangen die heutigen Schaltkreismodelle und -auslegungen die Verwendung immer dünnerer Schichten. Als eine Folge der dünnen Schichten wird die Diffusion von Dotiermitteln (beispielsweise Bor) ein größeres Problem.
  • Der Einbau von Stickstoff in den dielektrischen Film des Gates kann in effizienter Weise die Bordiffusion vermindern. Wie es ansonsten im Stand der Technik schon erkannt wurde, führt jedoch Nitrid an der Kanalgrenzfläche zu schlechten Grenzflächeneigenschaften und dementsprechend zu einer schlechten elektrischen Leistungsfähigkeit. Dementsprechend hat die resultierende dielektrische Struktur reines Siliziumoxid an der Grenzfläche zum Kanal und Siliziumnitrid auf höheren Ebenen.
  • In konventioneller Weise werden die dielektrischen Gatefilme aus Siliziumoxid durch thermische Oxidierung des darunterliegenden Siliziumsubstrats hergestellt. Um Stickstoff einzubauen, können Stickstoff enthaltende Gase dem Hauptstrom aus Sauerstoff hinzugefügt werden und/oder es kann eine Behandlung nach der Abscheidung mit Stickstoff enthaltenden Gasen oder durch Stickstoffimplantierung durchgeführt werden. Derartige Methoden können entweder Stickstoff in das Oxidmaterial einbauen, um Siliziumoxinitrid (SiOxNy) oder eine Si3N4-Schicht über dem Oxid auszubilden. In jedem Fall ist es schwierig, den Stickstoffgehalt in den Filmen unter Kontrolle zu halten (kontrolliert einzustellen), insbesondere für die aktuell integrierten Schaltkreiseinrichtungen und diejenigen der künftigen Generation, bei welchen das dielektrische Gatematerial sehr dünn ist (beispielsweise weniger als 7 nm). Für derartige ultradünne Dielektrika können konventionelle Methoden des Einbaus von Stickstoff in ein Gatedielektrikum nicht so gesteuert oder kontrolliert werden, dass sie gleichförmige elektrische Eigenschaften über das Substrat hinweg erzeugen und dennoch den Stickstoffgehalt an der Grenzfläche zu dem Substrat minimal machen.
  • Die erste Ausführungsform umfasst die abwechselnde Absorption von nicht mehr als etwa einer Monoschicht aus Silizium mit Oxidation der zuvor absorbierten Monoschicht in einem Prozess mit abwechselnder Schichtung von Siliziumoxid. Während der Oxidierungsstufe kann außerdem gezielt Stickstoff eingebaut werden. Im Wesentlichen kann man durch Mischen dieser beiden Gase Oxinitrid-Filme mit irgendeinem gewünschten Verhältnis von Sauerstoff zu Stickstoff aufwachsen lassen. In der bevorzugten Ausführungsform kann durch Variation der Reaktionsmittelverhältnisse während des zyklischen Prozesses die Zusammensetzung, die durch jeden Zyklus hergestellt wird, maßgeschneidert werden. Am meisten bevorzugt ist es, wenn die Abscheidung mit reinem Siliziumoxid beginnt und mit reinem Siliziumnitrid endet, mit irgendeiner gewünschten Abstufung bzw. irgendeinem gewünschten Gradienten über die Dicke hinweg.
  • Das Substrat, auf welchem die Abscheidung erfolgen soll, wird anfänglich für den sich selbst begrenzenden Abscheidungsprozess vorbereitet. In der dargestellten Ausführungsform ist das Substrat ein Halbleitermaterial, in welchem ein Transistorkanal ausgebildet ist. Das Halbleitersubstrat kann aus irgendeiner epitaktischen Schicht gebildet sein oder kann aus den oberen Abschnitten eines intrinsisch dotierten Siliziumwafers hergestellt sein. In anderen Anordnungen kann das Substrat alternative Materialien, wie z. B. III/V-Halbleiter, aufweisen.
  • Die Oberflächenbearbeitung hinterlässt in wünschenswerter Weise einen Oberflächenabschluss, der einfach bzw. unmittelbar mit dem ersten Reaktionsmittel in dem bevorzugten ALD-Prozess reagiert. In der dargestellten Ausführungsform, bei welcher eine dielektrische Schicht über einer einkristallinen Siliziumschicht oder einem Wafer ausgebildet werden soll, wird die reine Siliziumoberfläche vorzugsweise mit Hydroxil (OH)-Enden abgeschlossen. Wie es sich für einen Fachmann auf diesem Gebiet versteht, kann man einen solchen Oberflächenabschluss in einfacher Weise erhalten, indem man den Wafer nach einer Reinigung einer Reinraumatmosphäre aussetzt.
  • Gemäß der bevorzugten Ausführungsform wird zumindest ein Werkstück oder Wafer in die Prozesskammer geladen und für die Verarbeitung vorbereitet. Spülgas lässt man vorzugsweise durch die Kammer strömen, um irgendwelche atmosphärischen Verunreinigungen zu entfernen.
  • Die Prozessparameter von Druck und Temperatur können so modifiziert werden, dass sie die gewünschten Filmeigenschaften bewirken. Falls erforderlich, wird der Wafer in einer Rampe auf die gewünschte Prozesstemperatur angehoben, indem die Leistungsabgabe der Lampen 13, 14 und 15 erhöht wird. In vorteilhafter Weise kann jedoch die dargestellte, sich selbst begrenzende Reaktion bei niedrigen Temperaturen durchgeführt werden, so dass der Reaktor konstant auf der Reaktionstemperatur gehalten werden kann, ohne bei einem Wechsel des Werkstücks die Temperaturen herauf- und herunterzufahren. Das gewünschte Druckniveau kann, wenn es ein anderes als Atmosphärendruck ist, durch Verwendung einer konventionellen Vakuumpumpe erreicht werden, wie es Fachleuten bekannt ist. Für den vorliegenden Siliziumoxinitrid-Prozess ist es beispielsweise vorzuziehen, eine Prozesstemperatur zwischen etwa 100°C und 500°C, besser zwischen etwa 200°C und 400°C und am meisten bevorzugt zwischen etwa 300°C und 400°C aufrechtzuerhalten. Wünschenswerterweise ist der Prozess auch relativ unempfindlich gegenüber dem Druck, auch wenn der bevorzugte Druckbereich zwischen etwa 1 Torr und 100 Torr und besser noch zwischen etwa 5 Torr und 15 Torr liegt.
  • In einer alternativen Ausführungsform der vorliegenden Erfindung kann die sich selbst begrenzende Reaktion bei noch niedrigeren Temperaturen stattfinden. Bei Verwendung von Sauerstoff- und/oder Stickstoffquellen mit entfernter Plasmaanregung ist selbst eine Verarbeitung bei Zimmertemperatur denkbar und möglich. Konsequenterweise kann eine wechselseitige Diffusion zwischen diskreten Schichten vermieden werden und solange die Nachbehandlungen bei hohen Temperaturen nicht in einer Umgebung von Sauerstoff oder Stickstoff enthaltenden Gasen stattfinden, bleibt das abgeschiedene Zusammensetzungsprofil intakt. Wie oben erwähnt kann der Plasmagenerator 60 nach 1 Mikrowellenenergie in strömende Gase einkoppeln, um ein Plasma zu zünden. Dabei ist es wünschenswert, wenn die ionisierten Substanzen vor dem Eintritt in die Prozesskammer rekombinieren und damit eine Beschädigung des Werkstücks in der Kammer selbst minimal machen, während Radikale, wie z. B. N und O, überleben, um eine verstärkte Reaktionsfähigkeit mit den Sauerstoff- und/oder Stickstoff-Phasen des Prozesses bereitzustellen.
  • Wenn das Werkstück sich auf der gewünschten Reaktionstemperatur und die Kammer sich auf dem gewünschten Druckniveau befindet, werden Prozess- und Trägergase mit der Prozesskammer in Verbindung gebracht. Prozess- und Trägergas, welches nicht reagiert hat, und irgendwelche gasförmigen Reaktionsnebenprodukte werden demnach ausgestoßen. Das Trägergas kann irgendeines aus einer Anzahl bekannter, nicht reaktiver Gase sein, wie z. B: H2, N2, Ar, He, etc. In der dargestellten Ausführungsform wird N2 als das Trägergas verwendet.
  • Eine erste chemische Substanz wird dann auf dem vorbereiteten Abscheidungssubstrat absorbiert. In der dargestellten Ausführungsform weist die erste Substanz eine Silizium enthaltende Substanz auf und enthält zumindest einen weiteren Liganden, der zu einer sich selbst abschlie ßenden Monoschicht der Silizium enthaltenden Substanzen führt. Beispielsweise kann das Siliziumquellgas für die Abscheidung von Siliziumoxid enthalten: Silane der Formel SimL2m+2, wobei m eine ganze Zahl zwischen 1 und 3 ist; Siloxane der Formel SiyOy–1L2y+2, wobei y eine ganze Zahl zwischen 2 und 4 ist; und Silazane der Formel Siy(NH)y–1L2y–2, wobei y eine ganze Zahl zwischen 2 und 4 ist (die Grenzwerte jeweils eingeschlossen). Bei diesen Formeln kann L jeweils unabhängig H, F, Cl, Br, I, Alkyl, Aryl, Alkoxy, Vinyl (-CH=CH2), Cyano (-CN), Isocyanato (-NCO), Amino, Silyl (H3Si-), Alkylsilyl, Alkoxysilyl, Silylen oder Alkylsiloxan sein, wobei die Alkyl- und Alkoxygruppen linear oder verzeigt sein können und zumindest einen Substituenten enthalten können. Flüchtige Silanole und zyklische Siliziumverbindungen sind Beispiele anderer geeigneter Verbindungen einer Siliziumquelle.
  • Von diesen Siliziumverbindungen werden vorzugsweise Silane und Silazane für die Abscheidung von reinem Siliziumnitrid verwendet, da Silazane eine relativ starke Si-O-Bindung haben. Die Siliziumverbindungen kann man beispielsweise von Gelest, Inc., 612 William Leigh Drive, Tullytown, PA 19007-6308, USA, kaufen.
  • Am meisten bevorzugt ist es, wenn das Siliziumquellgas Dichlorosilan (DCS) oder Trichlorosilan (TCS) aufweist, welches in den Trägerstrom injiziert wird. In dem bevorzugten Reaktor lässt man das Siliziumquellgas mit einer Rate von etwa 10 sccm und 500 sccm, besser noch zwischen etwa 100 sccm und 300 sccm strömen. Das Siliziumquellgas hält man für etwa 0,1 bis 1 Sekunde unter den bevorzugten Temperatur- und Druckbedingungen und am meisten bevorzugt zwischen etwa 0,3 und 0,7 Sekunden. Eine Monoschicht (monoatomarische Sicht) aus Silizium wird chemisch auf der Silizumsubstratoberfläche absorbiert, die mit Chlorid-Enden oder -Liganden abgeschlossen ist. Der Oberflächenabschluss verringert in wünschenswerter Weise eine weitere Reaktion mit dem Siliziumquellgas und dem Trägergas.
  • Nach dem Impuls mit der ersten Substanz wird eine zweite Substanz für das Substrat bereitgestellt. In der dargestellten Ausführungsform weist die zweite Substanz ein Oxidationsmittel auf, welches vorzugsweise reinen H2O-Dampf enthält. Das H2O wird vorzugsweise mit einer Rate zwischen etwa 10 sccm und 500 sccm, besser noch zwischen etwa 100 sccm und 300 sccm in den Trägergasstrom injiziert. Unter den bevorzugten Temperatur- und Druckbedingungen hält man den H2O-Impuls für etwa 0,1 bis 1 Sekunde unter den bevorzugten Temperatur- und Druckbedingungen und besser noch für etwa 0,3 bis 0,7 Sekunden. Nachdem der Oxidationsmittelimpuls abgeschaltet ist, lässt man vorzugsweise Trägergas für eine ausreichende Zeit strömen, um das Oxidationsmittel vor dem nächsten Reaktionsmittelimpuls aus der Kammer auszuspülen. Es versteht sich, dass in anderen Anordnungen die Kammer evakuiert bzw. ausgepumpt werden kann, um die zweite Reaktionsmittelsubstanz zu entfernen.
  • Während des Impulses mit dem zweiten Reaktionsmittel reagiert das Oxidationsmittel mit dem Chloridabschluss des vorherigen Impulses und hinterlässt anstelle der Liganden Sauerstoffatome. In wünschenswerter Weise verbleibt dann stöchiometrisches oder nahezu stöchiometrisches SiO2.
  • Gemäß den Prinzipien der Abscheidung atomarer Schichten wird dann ein zweiter Impuls des Siliziumquellgases in den Trägergasstrom injiziert, der Impuls wird gestoppt und das Siliziumquellgas wird aus der Kammer entfernt, gefolgt von einem zweiten Impuls mit einem Oxidationsmittel als Quellgas, der dann wiederum gestoppt und aus der Kammer entfernt wird. Diese Impulse wechseln dann andauernd ab, bis die dielektrische Schicht ihre gewünschte Dicke erreicht hat.
  • Ein Quellgas mit Verunreinigungen wird ebenfalls für zumindest einen der Zyklen in dem abwechselnden Prozess bereitgestellt. In der dargestellten dielektrischen Komponente weist die Verunreinigung vorzugsweise Stickstoff auf und das Quellgas mit der Verunreinigung weist vorzugsweise Ammoniak (NH3) oder Hydrazin (N2H4) auf, welches in dem abwechselnden Prozess hinzugefügt wird. Sowohl Ammoniak als auch Hydrazin sind ziemlich reaktive Gase, was sie geeignet macht für eine ALD-Verarbeitung bei niedriger Temperatur. Es versteht sich in Anbetracht der offenbarten Ausführungsform der 9-13, dass in einer Ausführungsform das Ammoniak in getrennten Ammoniakphasen hinzugefügt wird (die jeweils einen Ammoniakimpuls und einen Spülimpuls umfassen), die auf Siliziumphasen folgen. Die Ammoniakphasen können allmählich die Phasen des Oxidationsmittels als Gasquelle ersetzen, wie z. B. mit einem von zehn Zyklen, was allmählich auf jeden zweiten Zyklus erhöht wird und vorzugsweise mit einer vollständigen Ersetzung der Oxidationsmittelphasen endet. Der wechselnde Prozess beginnt also mit der Abscheidung von Siliziumoxid (durch abwechselnde Silizium- und Oxidationsmittelsphasen), Abscheidung von Siliziumoxinitrid in abgestufter Form mit zunehmenden Anteilen an Stickstoff in den Zwischenabschnitt des Prozesses (indem allmählich bzw. schrittweise ein zunehmender Anteil der Oxidationsmittelphasen durch Stickstoff- und insbesondere Ammoniakphasen ersetzt wird), und sobald die gewünschte Dicke des Dielektrikums erreicht ist, scheidet der abwechselnde Prozess Siliziumnitrid ab (durch abwechselnde Silizium- und Ammoniakphasen).
  • In der dargestellten Ausführungsform wird jedoch Ammoniak der Sauerstoffphase hinzugefügt. Unterschiedliche Mengen an NH3 werden während des gesamten Prozesses unterschiedlichen Impulsen mit einem Oxidationsmittel als Quellgas hinzugefügt. Demnach kann eine gewünschte Menge an Stickstoff gezielt in jede Monoschicht (monoatomare bzw. monomolekulare) Schicht aus Siliziumdioxid eingebaut werden und eine Siliziumoxinitridschicht ergibt sich mit einem maßgeschneiderten Profil an Stickstoffgehalt.
  • Fachleute erkennen in Anbetracht der vorliegenden Offenbarung, dass die Reaktion zwischen Ammoniak und dem Siliziumkomplex thermodynamisch unterschiedlich begünstigt ist im Vergleich zu der Reaktion zwischen dem Oxidationsmittel und dem Siliziumkomplex. Dementsprechend müssen die Anteile von Ammoniak in dem Oxidationsmittel nicht notwendiger Weise dem Verhältnis von Stickstoff zu Sauerstoff in dem sich ergebenden Siliziumoxinitrid entsprechen. Fachleute können die thermische Konkurrenz mit Hilfe routinemäßiger Versuche in einfacher Weise berücksichtigen, um die geeigneten Parameter für die gewünschten Niveaus an Stickstoffeinbau berücksichtigen. Das Bereitstellen aktiver Stickstoffsubstanzen über einen entfernten Plasmagenerator, insbesondere in Verbindung mit aktiven Sauerstoffsubstanzen, kann den Effekt der Variation des Verhältnisses von Sauerstoff- zu Stickstoffquellen maximal machen.
  • 7 ist ein Gasströmungsdiagramm gemäß einer Ausführungsform, welches die ersten vier Zyklen 301a-301d in einer beispielhaften, sich selbst begrenzenden Abscheidungssequenz veranschaulicht. Die dargestellte Sequenz umfasst einen konstanten Strom eines Trägergases 300. Wie dargestellt, wird ein erster Impuls auf eine erste Spitze 302a des Siliziumquellgases bereitgestellt, um die erste, selbst abgeschlossene Siliziummonoschicht zu bilden. Nach einem ersten Spülschritt 303, währenddessen Trägergas weiterhin strömt, bis das Siliziumquellgas aus der Kammer entfernt worden ist, wird ein erster Impuls oder eine erste Spitze 304a eines Oxidationsmittelquellgases bereitgestellt. Nach einem zweiten Spülen 303 wird der zweite Impuls einer Siliziumgasquelle 302b bereitgestellt, gefolgt von einem zweiten Oxidationsmittelquellgas 304b, einem dritten Siliziumquellgaspuls 302c, einem dritten Quellgaspuls 304c mit einem Oxidationsmittel, etc., in abwechselnden Impulsen getrennt durch Spülschritte 303.
  • Wie dargestellt, wird an einem gewissen Punkt, nach dem ersten Zyklus 301a (welcher die erste Monoschicht aus Siliziumoxid bildet) ein erster Gasimpuls 306b mit einer Verunreinigungsquelle bereitgestellt, vorzugsweise während eines Gasimpulses 304b mit einer Oxidationsmittelquelle. Es ist wünschenswert, wenn ein relativ niedriger Prozentsatz des Verunreinigungsquellgases (welches vorzugsweise NH3 aufweist) während dieses ersten Impulses 306b bereitgestellt wird. Während nachfolgender Gasimpulse 304c, 304d, etc. werden zunehmend größere Ströme des Verunreinigungsquellgases in Impulsen 306c, 306d, etc. bereitgestellt. Wenn zunehmend größere Strome des Verunreinigungsquellgases verwendet werden, kann es vorteilhaft sein, Reaktionsmittel senkrecht zu der Substratoberfläche zuzuführen, wie z. B. mit Hilfe eines darüber angeordneten „Duschkopfes". Im Falle der miteinander konkurrierenden Adsorption von zwei chemischen Substanzen der Quelle wird vorzugsweise die gesamte Substratoberfläche der Gasmischung gleichzeitig ausgesetzt. Damit können Konzentrationsgradienten von der Einlassseite zur Auslass- bzw. Abgasseite des Substrates vermieden werden.
  • Man beachte, dass 7 nur eine schematische Darstellung und keine maßstabsgetreue Wiedergabe ist. Außerdem führen die bevorzugten Prozessbedingungen zu einer vollen Monoschicht (erst) nach einer Mehrzahl von Zyklen. Während die Reaktionsmittel theoretisch eine Chemisorption an jedem verfügbaren Platz der freigelegten Schicht des Werkstücks erfahren, begrenzt die physikalische Größe der absorbierten Substanzen (und insbesondere mit abschließenden Liganden) bei jedem Zyklus die Abdeckung generell auf einen Bruchteil einer Monoschicht. In der dargestellten Ausführungsform wird grob gesprochen ein Durchschnitt von 1 Å SiO2 ausgebildet, während eine volle Monoschicht aus SiO2 etwa 3 Å in der Dicke ausmacht, so dass die vollständige Monoschicht effektiv mit jeweils etwa drei Zyklen gebildet wird, wobei jeder Zyklus durch ein Paar von Impulsen mit Siliziumquellgas und Oxidationsmittelquellgas repräsentiert wird.
  • Dementsprechend wird der erste Impuls 306b mit dem Verunreinigungsquellgas vorzugsweise durchgeführt, nachdem drei Siliziumquellgas-Impulse mit drei Oxidationsmittelquellgas-Impulsen abgewechselt haben. Auf diese Weise wird zumindest eine vollständige Monoschicht aus Siliziumdioxid bereitgestellt, bevor die Stickstoffdotierung eingeführt wird. Noch bevorzugter ist es, wenn der erste Ammoniakimpuls 306b erst nach sechs Zyklen bereitgestellt wird, was eine zusätzliche Sicherheit gegen Stickstoffdiffusion durch die Grenzfläche zwischen Substrat und Dielektrikum bietet. In der dargestellten Ausführungsform lässt man zwischen etwa 0 sccm und 10 sccm Ammoniak strömen, vorzugsweise zwischen etwa 0 sccm und 5 sccm. Danach erhöht man die Ammoniakimpulse mit jedem Zyklus um etwa 50 sccm.
  • Auch wenn es nicht dargestellt ist, können die Impulse 304a, 304b mit Oxidationsmittelquellgas im Verlaufe des Steigerns des Gasstromes der Verunreinigungsquelle reduziert werden. Dementsprechend kann der Stickstoffgehalt in der sich ergebenden Schicht eines Dielektrikums aus Siliziumoxinitrid von 0 % an der unteren Fläche bis zu stöchiometrischem Si3N4 an der Oberfläche erhöht werden.
  • 2 zeigt eine schematische Schnittansicht einer Transistorstruktur 70 in einem teilweise hergestellten integrierten Schaltkreis, welcher gemäß einer bevorzugten Ausführungsform aufgebaut ist und für welchen die hier offenbarten Verfahren besonders zweckmäßig sind. Eine Dielektrikumschicht 72 eines Gate liegt sandwichartig zwischen einer Gateelektrode 74 und einem Halbleitersubstrat 76. Das Gatedielektrikum 72 erstreckt sich demnach zwischen einer Substratgrenzfläche 78 und einer Elektrodengrenzfläche 80. In der dargestellten Ausführungs form weist die Gateelektrode 74 eine Polysiliziumschicht auf. Das Substrat 76 weist irgendein geeignetes Halbleitermaterial auf und weist in der dargestellten Ausführungsform eine Schicht aus intrinsisch dotiertem, einkristallinen Silizium auf. Gemäß einer Ausführungsform weist das Gatedielektrikum 72 Siliziumoxid auf, welches einen durch die Dicke hindurch variierenden und vorzugsweise abgestuften Stickstoffgehalt hat. In anderen Ausführungsformen kann Al2O3 als reine Grenzfläche zu dem Silizium dienen, welches abgestuft in ein Material mit einer höheren dielektrischen Konstante, wie z. B. ZrO2 übergeht, um insgesamt eine höhere dielektrische Konstante bereitzustellen. Die Gateelektrode 74 ist zusätzlich durch Seitenwandabstandhalter 82 und eine dielektrische Abdeckschicht 84 geschützt, die jeweils konventionelle Isolationsmaterialien wie z. B. Siliziumoxid oder Siliziumnitrid aufweisen können. Die Gateelektrode 74 kann auch Umrandungsschichten hoher Leitfähigkeit, wie z. B. Metallnitride, Metallsilizide und reine Metalle enthalten, und zwar zum Zwecke einer schnelleren seitlichen Signalübertragung.
  • Die 3 bis 5 veranschaulichen eine Sequenz der Ausbildung des bevorzugten Gatedielektrikums 72 jeweils Monoschicht für Monoschicht. Man beachte, dass die Figuren nur schematische Darstellungen sind. Im Allgemeinen kann die Konzentration an Verunreinigungen in jeder Monoschicht nach Wunsch variieren. In der dargestellten Ausführungsform ist ein lineares Profil der Verunreinigungskonzentration bevorzugt. In anderen Ausführungsformen kann die Verunreinigungskonzentration exponentiell, durch eine Stufenfunktion, etc. über die Dicke des dünnen Films hinweg variieren.
  • 3 zeigt eine erste Monoschicht 102 aus Siliziumoxid, die direkt auf der Oberfläche des Halbleitersubstrates 76 ausgebildet ist. Gemäß den bevorzugten Verarbeitungsbedingungen, die im Folgenden unter Bezug auf 7 noch erläutert werden, kann eine solche Monoschicht nach im Durchschnitt in etwa drei Zyklen abwechselnder ALD-Silizium- und Oxidationsmittelquellgas-Impulsen ausgebildet werden. Es ist wünschenswert, dass die erste Monoschicht 102 bzw. die Substratgrenzflächenschicht 102 keine oder nur eine geringe Verunreinigungskonzentration hat, vorzugsweise weniger als etwa 0,1 % Verunreinigungen und noch besser wird die Monoschicht 102 aus reinem Siliziumdioxid gebildet.
  • 4 veranschaulicht eine zweite Monoschicht 104 aus Siliziumoxid, die direkt auf der Oberfläche der ersten Monoschicht 102 von Siliziumoxid gebildet wird. Die zweite Monoschicht 104 hat vorzugsweise eine niedrige Verunreinigungskonzentration (in der bevorzugten Ausführungsform Stickstoff), die jedoch größer ist als die Konzentration der vorherigen Monoschicht 102.
  • Gemäß 5 wird eine dritte Monoschicht 106 direkt auf der Oberfläche der zweiten Monoschicht 104 abgeschieden. In der dargestellten Ausführungsform hat die dritte Monoschicht 106 eine noch höhere Verunreinigungs(Stickstoff)-Konzentration als die zweite Monoschicht 104. In ähnlicher Weise wird eine Mehrzahl weiterer Monoschichten jeweils nacheinander abgeschieden, bis die gewünschte endgültige Dicke erreicht worden ist. Jede Monoschicht kann eine andere Verunreinigungskonzentration haben und das Verunreinigungsprofil durch die Dicke des Films hindurch kann dementsprechend maßgeschneidert werden.
  • Gemäß 6 wird zumindest eine Monoschicht 114 abgeschieden, um die Ausbildung der dielektrischen Gateschicht abzuschließen. Die letzte Monoschicht 114 definiert damit die Grenzfläche 80 der Gateelektrode mit dem leitfähigen Material, welches darüber abgeschieden werden muss. Es versteht sich, dass 6 lediglich eine schematische Darstellung ist und dass viel mehr als die sieben dargestellten Monoschichten verwendet werden können, um die gewünschte endgültige Dicke zu bewirken. Darüber hinaus wären individuelle Monoschichten 102 bis 114 in der endgültigen Struktur nicht schart definierbar bzw. abzugrenzen, im Gegensatz zu der schematischen Darstellung.
  • In den dargestellten Ausführungsformen wird die Verunreinigungskonzentration so gesteuert, dass sie von einer niedrigsten Konzentration an der Grenzfläche 78 zum Substrat zu einer höchsten Konzentration an der Grenzfläche 18 zu der Gateelektrode variiert. Vorzugsweise hat das Gatedielektrikum 72 an der Grenzfläche 78 zum Substrat eine Stickstoffkonzentration von weniger als etwa 0,1 % und noch besser etwa 0 %. Der Stickstoffgehalt an der Schnittstelle 80 zur Gateelektrode andererseits ist vorzugsweise größer als etwa 5 %, besser noch größer als 8 % und am meisten bevorzugt größer als 10 %. Der Stickstoffgehalt zwischen diesen beiden Grenzflächen 78, 80 ist grob linear abgestuft bzw. hat einen gleichförmigen Gradienten. Es versteht sich jedoch, dass irgendein anderes Abstufungsprofil, beispielsweise parabolisch, exponentiell, elliptisch, etc. durch Maßschneidern des Prozentsatzes an Stickstoffquellgas während jeder Oxidationsphase erreicht werden kann.
  • Der resultierende dünne Film hat tatsächlich eine Dicke von weniger als etwa 5 nm. Vorzugsweise hat das Gatedielektrikum eine tatsächliche Dicke von weniger als etwa 6 nm und noch bevorzugter weniger als etwa 5 nm, und in der dargestellten Ausführungsform hat es eine Dicke von etwa 2 nm, was ungefähr 7 Monoschichten umfasst. Da das dargestellte Gatedielektrikum 72 einen beträchtlichen Stickstoffgehalt hat, zeigt es vorzugsweise eine äquivalente Oxiddicke von weniger als 2 nm, noch bevorzugter weniger als 1,7 nm und besonders bevorzugt weniger als etwa 1,6 nm. Das dargestellte linear abgestufte Oxinitrid hat eine äquivalente Oxiddicke von etwa 1,7 nm.
  • 8 ist ein theoretisches umgekehrtes Auger-Profil einer dielektrischen Schicht, welche gemäß einer bevorzugten Ausführungsform aufgebaut ist und den Prozentsatz des Verunreinigungsgehaltes in einer dielektrischen Schicht als Funktion des Abstands von der Grenzfläche des Halbleitersubstrates zeigt. Wie dargestellt, hat an oder in der Nähe der Grenzfläche zum Halbleitersubstrat der Verunreinigungsgehalt 350 (d. h. Stickstoff) einen minimalen Wert, während der Sauerstoffgehalt 340 einen maximalen Wert hat. Mit zunehmendem Abstand von der Grenzfläche zum Halbleitersubstrat steigt die Verunreinigungskonzentration 350 grob linear bis auf einen Maximalwert, während der Sauerstoffgehalt 340 auf ein Minimum abnimmt.
  • An der Grenzfläche zum Substrat weist also das Gatedielektrikum vorzugsweise nahezu reines Siliziumdioxid (SiO2) auf, während in der Nähe der oberen Fläche der Schicht (Grenzfläche zur Gateelektrode) das Gatedielektrikum nahezu reines Siliziumnitrid (Si3N4) aufweist. Es versteht sich, dass eine solche Struktur ähnlich wie in 7 durch einen ALD-Prozess erzeugt werden kann, wobei jedoch die Amplituden des Oxidationsmittelimpulses mit jedem Zyklus oder nach jeweils einigen Zyklen abnehmen.
  • Dementsprechend kann man trotz der extrem geringen Dicke der bevorzugten Gatedielektrika einen exakt gesteuerten Verunreinigungsgehalt über die Dicke hinweg erhalten. In der dargestellten Ausführungsform werden also die Grenzflächeneigenschaften von Siliziumdioxid an der Substratoberfläche erhalten, während im übrigen Teil des Gatedielektrikums Stickstoff eingebaut ist, um die Bordurchdringung zu reduzieren und um die effektive Gesamtdielektrizitätskonstante des Gatedielektrikums zu erhöhen. Die Anwendung von ALD ermöglicht eine genaue Steuerung auf dem Niveau atomarer Schichten. Darüber hinaus erlauben die niedrigen Temperaturen, die mit der Abscheidung verbunden sind, die Beibehaltung irgendeiner gewünschten Verunreinigungskonzentration an verschiedenen Punkten in der Dicke ohne Diffusion dazwischen. Im Gegensatz hierzu können konventionelle Techniken (Prozesse) nicht so exakt gesteuert werden und haben die Tendenz, zu einer gleichmäßigen Verteilung irgendeiner Verunreinigung in einer solch dünnen Schicht zu führen, und zwar aufgrund der Diffusion während der Verarbeitung und/oder wegen eines inhärenten Mangels an Steuerung während der Ausbildung einer solchen dünnen dielektrischen Gateschicht.
  • Darüber hinaus ermöglicht die Abstufung über die Dicke der Schicht hinweg in vorteilhafter Weise eine bessere Steuerung der späteren Verarbeitung. Beispielsweise wird das Gatedielektrikum typischerweise über aktiven Bereichen geätzt (beispielsweise Source- und Drainbereichen des Transistors), um einen elektrischen Kontakt mit diesen Bereichen des Substrates zu bilden. Eine graduelle Veränderung im Stickstoffgehalt von der oberen Fläche des Gatedielektrikums herunter auf die Grenzfläche zum Substrat ermöglicht eine genauere Kontrolle über derartige Ätzvorgänge, wie Fachleute auf diesem Gebiet verstehen. Dementsprechend wird eine Beschädigung des Substrates minimal gemacht. Fachleute erkennen weitere Vorteile abgestufter Profile in dünnen Filmen, die in integrierten Schaltkreisen verwendet werden.
  • Während das dargestellte Beispiel das Abstufen einer Stickstoffkonzentration in einer Siliziumoxidschicht aufweist, liegt es für Fachleute angesichts dieser Offenbarung auf der Hand, dass dieselben Prinzipien auf das Ausbilden abgestufter Profile in anderen dielektrischen Gatematerialien mittels ALD angewendet werden können. Beispielsweise haben die Erfinder herausgefunden, dass Aluminiumoxid in vorteilhafter Weise eine hohe dielektrische Konstante (k) zeigt und auch gute Schnittstellen- bzw. Grenzflächeneigenschaften mit Siliziumoxid und/oder Siliziumsubstraten hat. Dementsprechend kann zunächst eine reine Aluminiumoxid-(Al2O3)-Schicht durch ALD unter Verwendung von abwechselnden Impulsen aus einem Aluminiumquellgas und einem Oxidationsmittel gebildet werden.
  • Beispielhafte Aluminiumquellgase umfassen Alkylaluminiumverbindungen, wie z. B. Trimethylaluminium (CH3)3Al, Triethylaluminium (CH3CH2)3Al, Tri-N-Butylaluminium (n-C4H9)3Al, Diisobutylaluminiumhydrid (i-C4H9)2AlH, Diethylaluminiumethoxid (C2H5)2AlOC2H5, Ethylaluminiumdichlorid (C2H5)2AlCl2, Ethylaluminumsesquichlorid (C2H5)3Al2Cl3, Diisobutylaliminiumchlorid (i-C4H9)2AlCl und Diethylaluminiumiodid (C2H5)2AlI. Diese Verbindungen sind kommerziell erhältlich von beispielsweise Albemarle Corporation, USA. Andere Aluminiumquellgase umfassen Aluminiumalkoxide, welche Al-O-C-Bindungen enthalten, wie z. B. Ethoxid (Al(OC2H5)), Aluminiumisopropoxid (Al(OH(CH3)2)3), und Aluminium S-Butoxid (Al(OC4H9)3). Diese Verbindungen sind kommerziell erhältlich beispielsweise von Strem Chemicals, Inc., USA. Die Aluminiumquelle kann auch Aluminiumbeta-Diketonate aufweisen, wie z. B. Aluminiumacetylacetonat (Al(CH3COCHCOCH3)3), was oft auch als Al(acac)3 abgekürzt wird, und Tris-(2,2,6,6-Tetramethyl-3,5-Heptanedionato)Aluminium, üblicherweise abgekürzt als Al(thd)3, Al(TMHD) 3 oder Al(DPM)3. Flüchtige halogenierte Aluminiumbeta-Diketonate sind ebenfalls kommerziell erhältlich, wie z. B. Aluminiumhexafluoroacetylacetonat Al(CF3COCHCOCF3) 3 ), oft abgekürzt als Al(hfac)3. Diese Verbindungen sind kommerziell erhältlich beispielsweise von Strem Chemicals, Inc., USA. Flüchtige, rein anorganische Aluminiumhalide, wie z. B. Aluminiumchlorid AlCl3 oder Al2Cl6, Aluminiumbromid, AlBr3, und Aluminiumjodid AlI3 können ebenfalls als Vorläufer verwendet werden. Bei niedrigen Substrattemperaturen kann für ALD ein hydriertes Aluminiumhydrat als eine chemische Aluminiumquelle verwendet werden. Die Synthese von anhydriertem Al(NO3)2 ist von G. N. Shirokova, S. Ya. Zhuk und V. Ya. Rosolovskii in Russian Journal of Inorganic Chemistry, vol. 21, 1976, pp. 799-802 beschrieben worden. Das Aluminiumnitratmolekül bricht zu Aluminiumoxid auf, wenn es mit organischen Verbindungen, wie z. B. Äthern, in Kontakt gebracht wird.
  • Beispielhafte Sauerstoffquellgase umfassen Sauerstoff, Wasser, Wasserstoffperoxid, Ozon, Alkohole (z. B. Methanol, Ethanol, Isopropanol), etc.
  • Ein beispielhafter Prozess weist das Abwechseln von Trimethylaluminium oder TMA mit Wasser auf, mit Spülimpulsen oder Evakuierschritten dazwischen. Jeder Impuls kann eine Dauer von etwa 0,5 Sekunden haben und das Substrat kann bei etwa 300°C gehalten werden. Dieser Prozess scheidet eine Al2O3-Schicht ab, worauf der ALD-Prozess mit Abstufung folgt, indem graduell ein Quellgas hinzugefügt wird, welches zu erwünschenswerten Massivmaterialeigenschaften (z. B. einer höheren dielektrischen Konstante) führt. Beispielsweise kann der TMA-Impuls nach jeweils einigen wenigen Zyklen durch einen Impuls mit einem Zirkonquellgas ersetzt werden, mit einer zunehmenden Frequenz, bis reines Zirkondioxid (ZrO2) gebildet wird. In einem beispielhaften Vorgang dient ZrCl4 als ein Zirkonquellgas und kann bei derselben Temperatur (z. B. 300°C) abgeschieden werden, wie bei dem Aluminium-ALD-Prozess. Alternativ kann Zirkonquellgas gleichzeitig und mit einem zunehmenden Anteil des Aluminiumquellgases während eines Metallimpulses eingeführt werden, welcher kontinuierlich mit einem Oxidationsmittelimpuls abwechselt. In diesem Fall kann der Fachmann aufgrund routinemäßiger Experimente bestimmen, welche Anteile des Aluminiumquellgases im Verhältnis zu dem Zirkonquellgas verwendet werden sollten, um die gewünschten Materialverhältnisse in der Schicht zu erhalten. In ähnlicher Weise erkennt ein Fachmann ohne weiteres, dass auch andere dielektrische Gatematerialien auf diese Art und Weise erzeugt werden können.
  • In dem obigen Beispiel von Aluminiumoxid und Zirkonoxid dient Aluminiumoxid als eine gute Diffusionsbarriere mit guten elektrischen Grenzflächeneigenschaften, während Zirkondioxid einen höheren Gesamtwert der dielektrischen Konstanten für das Dielektrikum bereitstellt. Das Gatedielektrikum kann wiederum von ZrO2 abgestuft werden, bis sich Aluminiumoxid für die obere Grenzfläche ausbildet, was eine gute Diffusionsbarriere gegen die Abwärtsdiffusion von Bor (B) von der Gateelektrode in das Gatedielektrikum bildet.
  • Ein weiteres Beispiel eines abgestuften Materials für das Gatedielektrikum ist Siliziumoxid an der unteren Grenzfläche, welches für die Massiveigenschaften und für die obere Fläche des Gatedielektrikums bis hin zu reinem Aluminiumoxid abgestuft wird.
  • Abgestufte Grenzfläche zwischen Barriere und Metallschichten
  • Die 9 bis 13 veranschaulichen eine zweite Ausführungsform der vorliegenden Erfindung. Anstelle einer dielektrischen Schicht weist die zweite Ausführungsform einen abgestuften leitfähigen Film auf, insbesondere einen abgestuften Übergang zwischen einer Barriereschicht (z. B. Metallnitrid) und einer besser leitfähigen Füllschicht (z. B. einem elementaren Metall).
  • Zunächst ist gemäß den 9 und 10 eine Zweifach-Damaszener-Struktur 400 dargestellt, die gemäß einer bevorzugten Ausführungsform aufgebaut ist. Insbesondere werden eine obere Isolierschicht 402 und eine untere Isolierschicht 404 über einem leitfähigen Schaltkreiselement 406 ausgebildet. Die Isolierschichten 402, 404 können konventionelle Oxide aufweisen, wie z. B. ein Oxid aus Tetraethylorthosilikat (TEOS) oder Borophosphosilikatglas (BPSG), oder sie können Dielektrika mit „niedrigem k" gemäß der fortgeschrittenen Prozesstechnologie aufweisen. Das untere Schaltkreiselement 406 weist typischerweise eine untere Metallschicht oder ein Anschlusskontaktfeld auf, kann jedoch in einigen Fällen auch eine Halbleiterschicht aufweisen.
  • Die Struktur 400 ist auch mit einer Ätz-Stoppschicht 408 zwischen den Isolierschichten 402, 404 dargestellt, die als eine harte Maske bei der Ausbildung der Zweifach-Damaszener-Struktur dienen kann, wie Fachleute erkennen. Eine untere, isolierende Barriereschicht 410 ist ebenfalls zwischen der unteren isolierenden Schicht 404 und der unteren leitfähigen Schicht 406 dargestellt. Eine solche Schicht ist besonders ratsam, wenn das untere leitfähige Element 406 oder die darüberliegenden Metallschichten Kupfer aufweisen, welches einfach durch typische, zwischen den Ebenen liegende Dielektrika diffundieren und Kurzschlüsse verursachen kann. Sowohl die harte Maske 408 als auch die Barriere 410 können Siliziumnitrid oder Siliziumoxinitrid aufweisen.
  • Die Zweifach-Damaszener-Struktur 400 wird durch Vorsehen von Gruben 420 in der oberen Isolierungsschicht 402 ausgebildet. Die Gruben 420 werden typischerweise in einem gewünschten Muster über das Werkstück hinweg ausgebildet. Eine Mehrzahl von Kontaktdurchgängen 422 (von denen einer dargestellt ist) erstreckt sich nach unten von den Gruben 420 an diskreten bzw. voneinander getrennten Positionen entlang der Gruben 420, um darunterliegende Schaltkreisknoten freizulegen. Gemeinsam sind die Gruben 420 und die Kontaktdurchgänge 422 in bzw. entlang von Pfaden angeordnet, um darunterliegende oder darüberliegende Schaltkreiselemente entsprechend einer Auslegung des integrierten Schalterkreises miteinander zu verbinden. Die Gruben und die Kontakte werden mit leitfähigem Material gefüllt, um diese Zwischenverbindungen auszubilden. Die mit leitfähigem Material zu füllenden Gruben 420 werden als Metalllaufbahnen bezeichnet, während die Bereiche, welche die Kontaktdurchgänge 422 ausfüllen, als Kontakte bezeichnet werden. In Zweifach-Damaszener-Schemata werden, wie dargestellt, sowohl die Gruben 420 als auch die Durchgänge 422 gleichzeitig gefüllt, wohingegen in anderen Schemata die Kontakte und Laufbahnen getrennt gebildet werden können.
  • Typischerweise werden die Zweifach-Damaszener-Gruben und -Durchgänge zuerst mit Auskleidungsschichten 422 ausgekleidet und dann mit einem hochleitfähigen Material 426 ausgefüllt. In der dargestellten Ausführungsform, in welcher die Auskleidungen 424 auf allen Oberflächen der Gruben 420 und der Durchgänge 422 ausgebildet werden, sind die Auskleidungen 424 leitfähig. In anderen Anordnungen müssen die Auskleidungen, wenn sie gezielt nur auf den Isolierflächen ausgebildet werden, nicht unbedingt leitfähig sein. Auskleidungsschichten können Haftschichten, Barriereschichten und/oder Keimschichten bzw. Keimungsschichten umfassen. Vorzugsweise umfassen die Auskleidungsschichten 424 zumindest zwei der folgenden Schichten, nämlich einer Haft-, einer Barriere- und einer Keimschicht, wobei zumindest ein Grenzflächenbereich zwischen den Schichten einen abgestuften Bereich aufweist, der gemäß der abwechselnden Schichtabscheidung (ALD) erzeugt wurde.
  • Gemäß 10 weisen die Auskleidungsschichten 424 der dargestellten Ausführungsform eine optionale Haftschicht 430 auf, welche durch gute Haftung an den Isolierflächen 402, 404, 408, 410 (siehe 9) der dualen Damaszener-Struktur gekennzeichnet ist. Die Haftschicht kann durch einen ALD-Prozess gebildet werden, wie es in dem US-Patent Nummer 6,727,160 offenbart wird.
  • Die dargestellten Auskleidungsschichten 424 weisen weiterhin einen Barrierebereich 432, einen Übergangsbereich 434 und einen Bereich 436 einer Keimschicht auf. Vorzugsweise weist der Barrierebereich 432 ein leitfähiges Nitrid auf und insbesondere ein Metallnitrid (z. B. WN, TiN, TaN, etc.). Der Übergangsbereich 434 weist ebenfalls ein leitfähiges Nitrid auf, jedoch mit über seine Dicke hinweg variierenden Niveaus bzw. Anteilen an Stickstoff und/oder mit unterschiedlichem Metallgehalt. Der Keimbereich 436 weist vorzugsweise ein hochleitfähiges „elementares" Metall auf, welches eine Leitfähigkeit hat, die für die Elektroplattierung des Füllmetalls 426 darauf geeignet ist.
  • In den dargestellten Ausführungsformen weist die Haftschicht 430 Wolfram (W) auf, der Barrierebereich 432 weist Wolframnitrid (WN3) auf, der Übergangsbereich 434 weist eine abgestufte Schicht aus Wolframkupfernitrid [(WNz)yCu1–y] auf, wobei y und z über die Dicke des Übergangsbereiches 434 hinweg variieren, und der Keimbereich 436 weist Kupfer (Cu) auf. Am meisten ist es bevorzugt, wenn die Barrierebereiche 432, der Übergangsbereich 434 und der Keimbereich 436 in einem kontinuierlichen Vorgang gebildet werden, ohne das Werkstück aus der Reaktionskammer zu entfernen und so, dass diese Bereiche von dem Prozessstandpunkt her als Bereiche innerhalb einer einzigen abgeschiedenen Schicht 438 angesehen werden können, welche über ihre Dicke hinweg eine variierende Zusammensetzung hat.
  • Die Bereiche 432, 434 und 436 können irgendeine gewünschte Dicke haben, wie sie für die spezielle Anwendung geeignet ist. Für den bevorzugten Zusammenhang der doppelten Damaszenertechnik sind die Auskleidungen vorzugsweise so dünn wie möglich, so lange sie ihren jeweiligen Zweck erfüllen. Insbesondere dient der Barrierebereich 432 als eine Diffusionsbarriere, besetzt jedoch vorzugsweise so wenig wie möglich von der Grube und den Durchgängen. Dementsprechend hat der Barrierebereich 432 vorzugsweise eine Dicke zwischen etwa 20 Å und 200 Å, noch bevorzugter zwischen etwa 40 Å und 80 Å, wobei eine beispielhafte Dicke für WN etwa 50 Å beträgt. Der Übergangsbereich 434 weist eine Übergang von einem Metallnitrid in reines Metall auf, während in wünschenswerter Weise im Betrieb des Schaltkreises eine Elektromigration und andere Fehler von scharten Metall/Metallnitrid-Grenzen vermieden werden und die Gesamtdicke minimal gemacht wird. Dementsprechend hat der Übergangsbereich 434 vorzugsweise eine Dicke zwischen etwa 7 Å und 50 Å, bevorzugter zwischen 10 Å und 50 Å. In einer Ausführungsform hat der Übergangsbereich eine Dicke von etwa 10 Å und einen Kupfergehalt von etwa 0 % an der Grenzfläche zu dem Barrierebereich 432 und einen Kupfergehalt von etwa 50 % an der Grenzfläche zu dem Keimbereich 436 (oder zu dem Kupferfüllmaterial, falls keine Keimschicht vorhanden ist). Der Keimbereich 436 sollte eine ausreichende Leitfähigkeit für eine gleichförmige Elektroplattierung über das Werkstück hinweg bereitstellen. Während ein zu dicker Keimbereich 436 funktionell kein Nachteil ist, kann jedoch der Durchsatz gesteigert werden, indem nur ein minimaler Betrag an Kupfer durch ALD abgeschieden wird, während das Auffüllen durch Elektroplattieren abgeschlossen wird. Dementsprechend hat der Keimbereich 436 vorzugsweise einen Dicke von mehr als 100 Å, mit einer beispielhaften Dicke für Kupfer von etwa 150 Å. Jede der Schichten hat eine extrem gute Stufenabdeckung der Doppel-Damaszener-Gruben und -durchgänge, die vorzugsweise größer ist als etwa 90 % (Verhältnis von Seitenwandabdeckung zu Feldabdeckung), noch bevorzugter größer als etwa 93 % und am meisten bevorzugt größer als etwa 97 % ist.
  • Gemäß 11 ist ein theoretisches Auger-Profil für einen beispielhaften Übergangsbereich 434 nach 10 dargestellt. Die rechte Seite der Kurve gibt die untere Fläche des Übergangsbereiches 434 wieder, wie sie sich mit dem darunterliegenden WN-Barrierebereich 432 vermischt bzw. in diesen übergeht. Die linke Seite der Kurve repräsentiert die obere Fläche des Übergangsbereiches 434, wie sie in den darüberliegenden Cu-Keimbereich 436 übergeht. Wie dargestellt, hat der Übergangsbereich einen allmählich reduzierten W- und N-Gehalt, wenn man von rechts nach links geht, mit einer gleichzeitig zunehmenden Cu-Konzentration. Es versteht sich, dass die Form der Kurven irgendeinen gewünschten Verlauf haben kann und dass die dargestellten Raten bzw. Gradienten der Inhaltsabstufung nur beispielhaft sind.
  • In vorteilhafter Weise verwendet der Prozess eine zwischenzeitliche Reduktionsphase, um zwischen den Metall- und Stickstoffquellenphasen Halidanhänge zu entfernen. Diese zwischenzeitliche Reduktionsphase vermindert das Aufbauen von Wasserstoffhalogeniden, die für die auszubildende Metallschicht, wie z. B. Kupfer, schädlich sein könnten. Es versteht sich jedoch, dass in anderen Ausgestaltungen die zwischenzeitliche Reduktionsphase auch fortgelassen werden kann.
  • Tabelle
    Figure 00280001
  • Gemäß der obigen Tabelle wird nun ein beispielhaftes Rezept eines Prozesses zum Ausbilden der gewünschten abgestuften Schicht, einschließlich Barriere-, Übergangs- und Keimbereichen beschrieben. Fünf Phasen (wobei in der dargestellten Ausführungsform jede Phase so definiert ist, dass sie ein Spülen im Anschluss an Reaktionsmittelimpulse umfasst) werden beschrieben:
    • (1) eine erste Metallphase (beispielsweise ein WF6-Impuls und Spülen),
    • (2) eine erste Reduktionsphase (z. B. TEB-Impuls + Spülimpuls),
    • (3) eine Stickstoffphase (z. B. NH3-Impuls und Spülimpuls),
    • (4) eine zweite Metallphase (z. B. CuCl-Impuls und Spülimpuls) und
    • (5) eine zweite Reduktionsphase (z. B. TEB-Impuls und Spülimpuls).
  • Verschiedene Anteile dieser Phasen werden während des kontinuierlichen Abscheidungsprozesses verwendet, je nach der Stufe des Abscheidungsvorgangs. In der dargestellten Ausführungsform werden während einer Barrierestufe beispielsweise nur die Phasen (1)-(3) verwen det, welche gemeinsam einen Zyklus repräsentieren, der nicht mehr als eine Monoschicht von WN hinterlässt. Während einer Übergangsstufe werden unterschiedliche Anteile der Phasen (1)-(3) und (4)-(5) verwendet. Während einer Keimungsstufe werden nur die Phasen (4)-(5) verwendet, die gemeinsam einen Zyklus repräsentieren, der nicht mehr als etwa eine Monoschicht aus Kupfer hinterlässt.
  • Diese Stufen werden nun im Folgenden genauer beschrieben.
  • Stufe der Barriereabscheidung
  • Während einer anfänglichen Stufe der Barriereabscheidung wird nur ein Barrierematerial, vorzugsweise ein Metallnitrid, abgeschieden. In der dargestellten Ausführungsform wechseln nur die Phasen (1)-(3) in der obigen Tabelle ab. In etwa 120-180 Zyklen werden etwa 50 Å an WN erzeugt. Jeder Zyklus kann derselbe bzw. identisch sein.
  • In der ersten Phase (1) des ersten Zyklus erfolgt eine Chemisorption von WF6 auf dem darunterliegenden Substrat, das in der dargestellten Ausführungsform ein zuvor ausgebildetes Metallnitrid aufweist. Das Metallnitrid wurde in der bevorzugtesten Variante durch einen ähnlichen ALD-Prozess gebildet. Das erste Quellgas für Metall weist vorzugsweise einen ausreichenden Prozentsatz des Trägerstromes auf und wird unter Berücksichtigung der übrigen Prozessparameter für eine hinreichend lange Zeit bereitgestellt, um die darunterliegende Barriereschicht zu sättigen. Es verbleibt nicht mehr als etwa eine Monoschicht des Wolframkomplexes auf der Barriereschicht und diese Monoschicht schließt sich selbst mit Fluoridanhängen bzw. -resten ab. Wie oben erläutert wird dieser Komplex, auch wenn er typischerweise weniger als eine Monoschicht bzw. Monoebene umfasst, aus Gründen der Einfachheit als „Monoschicht" bezeichnet.
  • Nachdem der WF6-Strom gestoppt und durch einen fortgesetzten Strom an Trägergas gespült worden ist, wird eine zweite Phase (2), welche einen Impuls aus reduzierendem Gas (TEB) aufweist, dem Werkstück zugeführt. In vorteilhafter Weise entfernt das reduzierende Gas die Fluoridanhänge bzw. -reste von dem Wolframkomplex, was die Ausbildung von Wasserstoffhalogeniden vermeidet, die das Kupfer ätzen könnten. Es versteht sich jedoch, dass in anderen Ausgestaltungen diese Reduzierphase möglicherweise nicht erforderlich ist.
  • Nachdem der TEB-Strom gestoppt und gespült worden ist, wird eine dritte Phase (3), die einen Impuls aus einer Gasquelle für Stickstoff (NH3) aufweist, dem Werkstück zugeführt. In dieser dritten Phase weist das Ammoniak vorzugsweise einen ausreichenden Prozentsatz an Trägergasstrom auf und wird unter Berücksichtigung der übrigen Prozessparameter für eine ausrei chende Zeit bereitgestellt, um die Oberfläche der Metall enthaltenden Monoschicht zu sättigen. Das NH3 reagiert bereitwillig mit dem durch die Reduzierphase freigelegten Wolfram und bildet eine Monoschicht aus Wolframnitrid (WN). Die Reaktion ist selbstbegrenzend. Weder Ammoniak noch das Trägergas reagieren weiter mit der sich ergebenden Wolframnitridmonoschicht und die Monoschicht verbleibt mit einem Stickstoff- und NH2-Brückenabschluss. Darüber hinaus verhindern die bevorzugten Temperatur- und Druckparameter eine Diffusion von Ammoniak durch die metallische Monoschicht.
  • Im Anschluss an die Stickstoffphasen (3), das heißt nachdem die Gasquelle für Stickstoff von der Kammer entfernt worden ist, vorzugsweise durch Spülen mit einem fortgesetzten Trägergasstrom, beginnt ein neuer Zyklus mit der ersten Phase (1), das heißt mit einem Impuls der ersten Gasquelle für Metall (WF6).
  • Es ist wünschenswert, dass dieser Dreiphasenzyklus (1)-(3) wiederholt wird, bis ausreichend Barrierematerial gebildet worden ist, vorzugsweise zwischen etwa 20 Å und 200 Å, bevorzugter zwischen etwa 40 Å und 80 Å, und mit einer beispielhaften Dicke von etwa 50 Å. In vorteilhafter Weise wird diese dünne Schicht mit einer ausgezeichneten Stufenabdeckung bereitgestellt.
  • In der dargestellten Ausführungsform strömt das Trägergas während einer Phase jedes Zyklus kontinuierlich weiter. Es versteht sich jedoch, dass Reaktionsmittel auch durch Evakuierung der Kammer zwischen den abwechselnden Gasimpulsen entfernt werden können. In einer Ausgestaltung beinhaltet der bevorzugte Reaktor Hardware und Software, um während der gepulsten Abscheidung einen konstanten Druck aufrechtzuerhalten. Auf die Offenbarungen der US-Patente Nr. 4,747,367, ausgegeben am 31. Mai 1988 für Posa und Nr. US 4,761,269 , ausgegeben am 02. August 1988 für Conger et al., wird hiermit verwiesen.
  • Stufe der Übergangsabscheidung
  • Im Anschluss an die Ausbildung des Barrierebereiches werden die Zyklen in einem kontinuierlichen Prozess gewechselt, so dass sie während der Ausbildung des Übergangsbereiches neue Phasen umfassen. Insbesondere werden die dargestellten vierten und fünften Phasen (4), (5) in die Zyklen eingeführt bzw. eingebaut und führen damit Kupfer in den Übergangsbereich ein. Zumindest zwei und vorzugsweise mehr als zehn Zyklen enthalten die Phasen (4) und (5).
  • Die Einführung kann allmählich erfolgen. Beispielsweise können zwei Zyklen nur die oben beschriebenen Phasen (1)-(3) enthalten, welche WN erzeugen, gefolgt von einem dritten Zyklus, der alle fünf Phasen (1)-(5) enthält, welcher eine Mischung aus WN und Cu erzeugt, woraufhin wiederum zwei Zyklen folgen, die nur die Phasen (1)-(3) enthalten. Allmählich wird die Häufigkeit der Kupfer-Zufuhr gesteigert. An einem gewissen Punkt enthalten mehrere aufeinander folgende Zyklen jeweils alle fünf Phasen (1)-(5).
  • Derartige Fünf-Phasen-Zyklen sind in 12 dargestellt und die obige Tabelle gibt die Parameter für einen Zyklus eines ALD-Prozesses zum Abscheiden einer abgestuften Schicht aus Wolframnitrid (WN) und Kupfer (Cu) wieder. Vorzugsweise dient die Schicht als eine Schnittstelle bzw. Grenzfläche zwischen einer Wolframnitrid-Barriereschicht und einer Kupferkeimschicht in Gruben und Kontaktdurchgängen einer Doppel-Damaszener-Struktur. In dem beispielhaften Rezept eines Prozesses weist eine erste Gasquelle für Metall Wolframhexafluorid (WF6) auf, ein Trägergas weist Stickstoff (N2) auf, ein erstes Reduziermittel weist Triethylbor (TEB) auf, eine Gasquelle für Stickstoff weist Ammoniak (NH3) auf, ein zweites Quellgas für Metall weist Kupferchlorid (CuCl) auf und ein zweites Reduziermittel weist Triethylbor (TEB) auf.
  • Ein erster Fünf-Phasen-Zyklus 450 ist in 12 dargestellt. Zu Beginn werden die ersten drei Zyklen (1)-(3) wie oben unter Bezug auf die Ausbildung der Barriereschicht beschrieben, ausgeführt. Im Anschluss an die Stickstoffphase (3), das heißt nachdem die Gasquelle für Stickstoff von der Kammer abgenommen bzw. abgeschlossen wurde, vorzugsweise unter Spülen mit einem fortgesetzten Gasstrom, weist eine vierte Phase (4) das Zuströmen des zweiten Quellgases mit Metall auf. Kupferchlorid weist vorzugsweise einen ausreichenden Anteil des Trägerstromes auf und wird für eine ausreichende Zeit bereitgestellt, um die durch die vorherige Phase hinterlassene Oberfläche zu sättigen. Es wird nicht mehr als etwa eine Monoschicht eines sich selbst abschließenden Metallkomplexes, insbesondere von mit Chlorid abgeschlossenem Kupfer, auf dem durch die vorherigen beiden Phasen gebildeten Metallnitrid hinterlassen. Das Gas der zweiten Quelle für Metall wird dann von der Kammer entfernt bzw. abgeschlossen und wird vorzugsweise durch einen fortgesetzten Trägergasstrom ausgespült.
  • In einer fünften Phase (5) wird dann die mit Chlorid abgeschlossene Oberfläche durch Überströmen mit einem Reduziermittel reduziert. Vorzugsweise wird ein TEB-Strom verwendet, um die Chloridanhänge zu entfernen, die durch die vorherige Phase hinterlassen wurden.
  • In dem nächsten Zyklus 455 führt die erste Phase (1) wiederum das Gas der ersten Metallquelle zu, welches mit der Oberfläche der Kupfermonoschicht leicht reagiert und wiederum eine mit Fluorid abgeschlossene Wolframschicht hinterlässt. Die zweiten bis fünften Phasen des zweiten Zyklus können dann anhand des Zyklus 450 beschrieben werden. Diese Zyklen können so oft wiederholt werden, wie es gewünscht ist, um eine ausreichende Vermischung von Kupfer und Metallnitrid sicherzustellen, um eine Elektromigration zu vermeiden. Über dem Grenzflächenma terial kann auf irgendeine geeignete Art und Weise eine hochleitfähige Schicht abgeschieden werden.
  • Noch bevorzugter ist es, wenn einige Zyklen eingeführt werden, die die WN-Ausbildung vermeiden, so dass nur noch die Phasen (4) und (5) enthalten sind. In 12 wird dies wiedergegeben durch einen gekappten Zyklus 460, der die WN-Phasen fortlässt und stattdessen nur noch aus den Phasen (4) (5) besteht, die nicht mehr als eine Monoschicht an elementarem Cu erzeugen. Der Prozess wird dann fortgesetzt mit einem weiteren Fünf-Phasen-Zyklus 470, welcher WN mit Cu mischt.
  • Die Häufigkeit der WN-Phasen (1)-(3) kann während der weiteren Zyklen allmählich reduziert werden, wodurch der Kupferanteil bzw. -Prozentsatz der aufwachsenden Schicht erhöht wird. Schließlich ergibt sich eine ausschließliche Cu-Abscheidung. Es versteht sich, dass das relative Verhältnis von WN zu Cu in dem Übergangsbereich und sein Profil durch Steuerung der relativen Häufigkeit der WN-Phasen (1)-(3) im Vergleich zu der Häufigkeit der Cu-Phasen (4)-(5) fein eingestellt werden kann. Dementsprechend kann irgendein erwünschtes Gehaltsprofil durch die hier offenbarten Methoden erzielt werden.
  • In vorteilhafter Weise kann dieser Übergangsbereich eine Variation in der Zusammensetzung über eine sehr geringe Dicke an Material hinweg haben. Vorzugsweise umfasst der Übergangsbereich der dargstellten Ausführungsform zwischen einem Metallnitridbarrierebereich und einem metallischen Keimbereich weniger als 50 Å, vorzugsweise zwischen etwa 7 Å und 50 Å, noch bevorzugter zwischen etwa 10 Å und 50 Å. Eine beispielhafte Dicke für einen Metall-/Metallnitrid-Übergangsbereich beträgt etwa 10 Å. In vorteilhafter Weise wird diese dünne Schicht mit einer ausgezeichneten Stufenabdeckung bereitgestellt.
  • Stufe der Keimabscheidung
  • Im Anschluss an die Ausbildung des Übergangsbereiches kann in einem kontinuierlichen Prozess eine Keimschicht in-situ über dem Übergangsbereich abgeschieden werden. In der dargestellten Ausführungsform, in welcher in den Doppel-Damaszener-Gruben und -Kontaktdurchgängen eine Kupferfüllung erwünscht ist, ist vor der Elektroplattierung eine Keimschicht wünschenswert. Dementsprechend werden die vierten und fünften Phasen des dargestellten ALD-Prozesses wiederholt, nachdem die Grenzfläche ausgebildet worden ist. Kupfer kann demnach durch ALD über der Grenzfläche der gemischten oder Verbindungsschicht (d. h. über dem Übergangsbereich) abgeschieden werden, um eine gleichförmig dicke Keimschicht für das Elektroplattieren bereitzustellen.
  • Vorzugsweise werden die beiden Zyklen dann ohne die Phasen mit dem ersten Metall und dem Stickstoff fortgesetzt, bis eine Kupferschicht ausgebildet worden ist, die ausreichend dick ist, um als eine Keimschicht für das Elektroplattieren zu dienen. Diese Keimschicht ist vorzugsweise dicker als etwa 50 Å, vorzugsweise dicker als etwa 100 Å und sie hat in der beispielhaften Ausführungsform eine Dicke von etwa 150 Å.
  • Der Wafer kann dann aus der Kammer entfernt werden und die Gruben und Kontaktdurchgänge können mit einem hochleitfähigen Material gefüllt werden. Vorzugsweise wird Kupfer durch Elektroplattierung über der Kupferkeimschicht aufgebracht.
  • Die Metallnitrid-Barriereschicht, die abgestufte, Grenz- oder Übergangsschicht und der Kupferkeimbereich können also alle in-situ in einem kontinuierlichen Prozess unter denselben Temperatur- und Druckbedingungen abgeschieden werden. In vorteilhafter Weise vermeidet der gemischte und noch bevorzugter abgestufte Grenz- oder Übergangsbereich Probleme der Elektromigration, die bei scharten Metall-/Metallnitrid-Grenzflächen während des elektrischen Betriebes des integrierten Schaltkreises auftreten können.
  • Ein Fachmann erkennt, dass in einigen Ausgestaltungen der relative Gehalt an Reaktionsmitteln durch Variation der Bestandteile einer einzelnen Reaktionsphase gesteuert bzw. eingestellt werden kann, wie es unter Bezug auf 7 offenbart wird. Aufgrund der Komplikationen der thermodynamischen Konkurrenz zwischen gleichzeitig freigesetzten Reaktionsmitteln in einem ALD-Prozess ist es jedoch eher bevorzugt, die Variation der Bestandteile in der aufwachsenden Schicht durch Variation der Anzahl und/oder der Art der Phasen in jedem Zyklus des kontinuierlichen Prozesses einzuführen, wie dies in 12 dargestellt ist.
  • Abstufung unter Verwendung von Austauschreaktionen
  • In der ersten der oben beschriebenen Ausführungsformen wird eine Verunreinigung in der Weise beschrieben, dass sie in der Gasphase als eines der primären Reaktionsmittel zugeführt wird (z. B. durch Erhöhen der Anteile an Stickstoff, welcher gleichzeitig mit dem Oxidationsmittel in dem Prozess nach 7 bereitgestellt wird). In der zweiten Ausführungsform wird die Verunreinigung durch getrennte Pulse bzw. Impulse in gezielten Zyklen des ALD-Prozesses zugeführt. Verunreinigungsimpulse können Impulse (bzw. Pulse) in dem anfänglichen Prozess ersetzen oder sie können zusätzlich zu den primären Reaktionsmitteln vorgesehen werden und diese gepulsten Zuführungen können mit während des Prozesses zunehmender Frequenz bzw. Häufigkeit bereitgestellt werden (beispielsweise können die Quellgaspulse für Kupfer in dem Prozess nach 12 den Quellgaspulsen für Wolfram und Stickstoff hinzugefügt werden oder sie können diese ersetzen).
  • Zusätzlich haben die Erfinder herausgefunden, dass die Verunreinigung durch die thermodynamisch bevorzugte Ersetzung einer bereits absorbierten Substanz in dem aufwachsenden Film eingeführt werden kann. Beispielsweise kann in dem Prozess des Aufwachsenlassens einer TiO2-Schicht mittels ALD das Einführen eines Gasimpulses mit Aluminiumchlorid (AlCl3) die Ti-O-Bindungen durch Al-O-Bindungen ersetzen, da sie thermodynamisch begünstigt sind, und in dem Prozess flüchtiges TiCl4-Gas freisetzen. In ähnlicher Weise kann ein Impuls (Puls) mit AlCl3 oberflächliches SiO2 in Al2O3 umwandeln und damit SiCl4-Gas freisetzen. In einem anderen Beispiel kann ZrO2 an der Oberfläche der aufwachsenden Schicht dem AlCl3 ausgesetzt werden, um Al2O3 zu bilden. Diese Beispiele sind besonders vorteilhaft bei der Ausbildung einer oberen Grenzfläche zwischen einem massiven Dielektrikum aus TiO2, SiO2 oder ZrO2 und der darüber auszubildenden Gateelektrode.
  • Da die Austauschreaktion thermodynamisch begünstigt ist, kann eine fortgesetzte Exposition eine oder zwei molekulare Schichten des weniger begünstigten Oxids durch Al2O3 ersetzen. Wenn ein solcher Austausch an einer vollständigen Schicht in dem Abstufungsprozess erwünscht ist, können solche Expositionen zu einem frühen Zeitpunkt in der Abscheidung gelegentlich in den ALD-Prozess eingeschoben werden, mit einer größeren Häufigkeit bzw. Frequenz in Richtung des Endes des Prozesses, um eine weitgehend reine oder vollständig reine obere Al2O-3-Oberfläche zu erzeugen. Umgekehrt können solche Expositionen in dem ALD-Prozess zu einem früheren Zeitpunkt häufig eingeführt werden und mit einer geringeren Häufigkeit später in den Prozess, um eine weitgehend reine oder ganz reine untere Al2O3-Fläche zu erzeugen, die allmählich in das massive Dielektrikum übergeht.
  • Alternativ kann eine weniger als vollständige Ersetzung von TiO2, SiO2 oder ZrO2 durch Al2O3r in jedem AlCl3-Puls erreicht werden, indem eine verkürzte Zeitdauer für den AlCl3-Puls gewählt wird. Die Expositionsdauer für die Substitutionsreaktion kann mit jedem Zyklus oder alle paar Zyklen während des Prozesses zunehmend gesteigert werden und damit kann man einen größeren Anteil von Al2O3 an der oberen Oberfläche der aufwachsenden dielektrischen Schicht erzielen. Wenn die Abstufung durch variierende Expositionszeiten erzielt wird, so ist es vorteilhaft, Reaktionsmittelgase senkrecht auf das Substrat zuzuführen, wie z. B. mit Hilfe eines Einlasses nach Art eines Duschkopfes von oben. Konzentrationsgradienten von der Einlassseite zu der Abgasseite des Substrates können auf diese Weise vermieden werden.
  • Es hat sich gezeigt, dass selbst bei einem positiven freien Gibb'schen-Energiewert für eine Substitutionsreaktion, eine genügend lange Exposition mit dem Substitutionsquellgas schließlich zu einem Ersatz der oberen molekularen Schicht des aufwachsenden Dielektrikums führen kann. Siehe Jarkko Ihanus, Mikko Ritala, Markku Leskelä, und Earn Rauhala, ALE growth of ZnS1–xSe1, thin films by substitution surface sulfur with elemental selenium, „Applied Surface Science, Vol. 112, pp. 154-158 (1997). In diesem Fall ist gezeigt worden, dass die Exposition eines Sulfids oder eines SH-Oberflächenabschlusses mit reinem Selen zu einem Ersetzen der Schwefelatome durch Selen führt.
  • Es versteht sich, dass ähnliche Substitutionsreaktionen auch für das graduelle Abstufen leitfähiger Materialien, wie z. B. Metallnitride mit unterschiedlichen Metallen in der abgestuften Barriereschicht, wie sie oben beschrieben wurde, verwendet werden können.
  • Auch wenn die vorstehende Erfindung anhand gewisser bevorzugter Ausführungsformen beschrieben worden ist, liegen andere Ausführungsformen für Fachleute auf diesem Gebiet in Anbetracht der hier gegebenen Offenbarung auf der Hand. Insbesondere kann die Anzahl von Phasen für jeden Zyklus variiert werden. Zwischenzeitliche Reduktionsphasen sind beispielsweise in einigen Ausgestaltungen womöglich nicht erforderlich. Zusätzlich kann der Fachmann, auch wenn hier eine Ausführungsform im Kontext leitfähiger dünner Filme, die eine Doppel-Damaszener-Struktur auskleiden, und eine andere Ausführungsform im Kontext ultradünner dielektrischer Gatefilme offenbart wurden, in einfacher Weise eine Anwendung der hier offenbarten Prinzipien in einer Anzahl unterschiedlicher Zusammenhänge finden.
  • Dementsprechend soll die vorliegende Erfindung nicht auf die Wiederholung der bevorzugten Ausführungsformen beschränkt werden, sondern soll allein durch die anhängenden Ansprüche definiert werden.

Claims (92)

  1. Verfahren zum Herstellen eines nicht epitaktischen dünnen Films mit zwischen einer Oberseite und einer Unterseite variierender Zusammensetzung in einem integrierten Schaltkreis, welches aufweist: Anordnen eines Substrats in einer Reaktionskammer, Einführen erster und zweiter Reaktionsmittel in der Dampfphase in abwechselnden und zeitlich voneinander getrennten Impulsen zu dem Substrat in einer Mehrzahl von Abscheidungszyklen, und Einführen variierender Mengen eines dritten Reaktionsmittels in der Dampfphase zu dem Substrat während der Mehrzahl von Abscheidungszyklen, so daß die Oberfläche des dünnen Films eine abgestufte Konzentration von mehr als 5% einer Verunreinigung umfaßt, welche eine Komponente des dritten in der Dampfphase zugeführten Reaktionsmittels aufweist, und wobei die Dicke des dünnen Films weniger als 50 Å (5 × 109m) beträgt.
  2. Verfahren nach Anspruch 1, wobei das Reaktionsmittel in der ersten Dampfphase ein Gas aus einer Siliziumquelle aufweist.
  3. Verfahren nach Anspruch 2, wobei das Gas aus einer Siliziumquelle ausgewählt wird aus der Gruppe, die aus Silanen der Formel SimL2m+2, Siloxanen der Formel SiyOy–1L2y+2 und Silazanen der Formel Siy(NH)y–1L2y+2 ausgewählt wird, wobei m und y ganze Zahlen sind und L ein Ligand ist,
  4. Verfahren nach Anspruch 3, wobei die Liganden in dem Gas aus der Siliziumquelle ausgewählt sind aus der Gruppe, die besteht aus H, F, Cl, Br, I, Alkyl-, Aryl-, Alkoxy-, Vinyl-, Cyano-, Isocyanat-, Amino-, Silyl-, Alkylsilyl-, Alkoxysilyl-, Sylilen- und Alkylsiloxan-Gruppen besteht.
  5. Verfahren nach Anspruch 3, wobei das Reaktionsmittel in der zweiten Dampfphase ein Gas aus einer Oxidanzquelle aufweist und wobei das dritte Reaktionsmittel in der Gasphase ein Gas aus einer Stickstoffquelle aufweist.
  6. Verfahren nach Anspruch 5, wobei das Gas der Oxidanzquelle Wasserdampf aufweist und das Gas der Stickstoffquelle Ammoniak aufweist.
  7. Verfahren nach Anspruch 1, wobei das erste Reaktionsmittel in der Dampfphase ein erstes Gas einer Metallquelle aufweist.
  8. Verfahren nach Anspruch 7, wobei das Reaktionsmittel in der zweiten Dampfphase ein Oxidanz ist und das Reaktionsmittel in der dritten Gasphase ein zweites Gas einer Metallquelle aufweist, welches von dem ersten Gas einer Metallquelle verschieden ist.
  9. Verfahren nach Anspruch 8, wobei die ersten und zweiten Reaktionsmittel in der Dampfphase eine Übergangsschicht für ein Gate-Dielektrikum erzeugen und das dritte Reaktionsmittel in der Dampfphase ein Material mit einer höheren Dielektrizitätskonstante erzeugt.
  10. Verfahren nach Anspruch 9, wobei die Übergangsschicht Aluminiumoxid aufweist.
  11. Verfahren nach Anspruch 10, wobei das Material mit einer höheren Dielektrizitätskonstante Zirkonoxid aufweist.
  12. Verfahren nach Anspruch 1, wobei das erste Reaktionsmittel in der Dampfphase ein erstes Gas aus einer Metallquelle ist, das zweite Reaktionsmittel in der Dampfphase ein Gas einer Stickstoffquelle ist und das dritte Reaktionsmittel in der Dampf- bzw. Gasphase ein zweites Gas einer Metallquelle ist.
  13. Verfahren nach Anspruch 12, wobei der dünne Film eine abgestufte Übergangsschicht zwischen einer Metallnitrid-Barrierenschicht und einem besser leitfähigen Material aufweist.
  14. Verfahren nach Anspruch 12, wobei das dritte Reaktionsmittel in der Dampfphase ein Gas einer Kupferquelle ist.
  15. Verfahren nach Anspruch 14, wobei der dünne Film eine abgestufte Übergangsschicht zwischen einer Metallnitrid-Barrierenschicht und einer Kupferauffüllung in einem Damaszener-Graben bildet.
  16. Verfahren nach Anspruch 15, wobei das Hinzufügen von zunehmend mehr Gas aus einer Kupferquelle in den Abscheidungszyklen aufweist.
  17. Verfahren nach Anspruch 16, wobei das Einführen variierender Mengen des dritten Reaktionsmittels in der Gasphase das Bereitstellen von Impulsen von Gas einer Kupferquelle zu den Abscheidungszyklen mit einer im Verlauf des Fortschreitens der Abscheidung höheren Frequenz aufweist.
  18. Verfahren nach Anspruch 14, wobei das Einführen variierender Mengen des dritten Reaktionsmittels in der Dampfphase das Variieren einer Kupferkonzentration von einer Unterseite der Übergangsschicht zu einer Oberseite der Übergangsschicht aufweist.
  19. Verfahren nach Anspruch 18, wobei die Kupferkonzentration an der Unterseite am geringsten und an der Oberseite am höchsten ist.
  20. Verfahren nach Anspruch 19, wobei das Einführen variierender Mengen des dritten Reaktionsmittels in der Dampfphase eine lineare Abstufung bzw. Variation der Kupferkonzentration von der Unterseite zu der Oberseite aufweist.
  21. Verfahren nach Anspruch 19, wobei das Einführen variierender Mengen des dritten Reaktionsmittels in der Dampfphase das Bereitstellen von 0% Kupfer an der Unterseite und 50% Kupfer an der Oberseite aufweist.
  22. Verfahren nach Anspruch 19, wobei das Einführen variierender Mengen des dritten Reaktionsmittels in der Dampfphase das Ausbilden eines reinen Metallnitrids an der Unterseite und einer reinen Kupferschicht an der Oberseite aufweist.
  23. Verfahren nach Anspruch 19, wobei die abgestufte Übergangsschicht mit einer Dicke von weniger als 50 Å (5 × 10–9m) ausgebildet wird.
  24. Verfahren nach Anspruch 19, wobei die abgestufte Übergangsschicht mit einer Dicke von 10 Å (1 × 10–9m) hergestellt wird.
  25. Verfahren nach Anspruch 1, wobei das Einführen variierender Mengen des dritten Reaktionsmittels in der Dampfphase das Bereitstellen von Impulsen des Reaktionsmittels in der dritten Dampfphase zu den Abscheidungszyklen mit einer im Verlauf der Abscheidung variierenden Frequenz aufweist.
  26. Verfahren nach Anspruch 25, wobei das Einführen variierender Mengen des dritten Reaktionsmittels in der Dampfphase das Vorsehen von Impulsen des Reaktionsmittels in der dritten Dampfphase zu den Abscheidungszyklen mit einer im Verlauf der Abscheidung größer werdenden Frequenz vorsieht.
  27. Verfahren nach Anspruch 25, wobei das Einführen variierender Mengen des dritten Reaktionsmittels in der Dampfphase das Bereitstellen von Impulsen des Reaktionsmittels in der dritten Dampfphase zu den Abscheidungszyklen mit einer im Verlauf der Abscheidung geringeren Frequenz vorsieht.
  28. Verfahren nach Anspruch 1, wobei das Einführen variierender Mengen des dritten Reaktionsmittels in der Dampfphase das Bereitstellen variierender Niveaus des dritten Reaktionsmittels in der Dampfphase im Verlauf der Abscheidung bei jedem der Abscheidungszyklen vorsieht.
  29. Verfahren nach Anspruch 28, wobei die variierenden Niveaus des dritten Reaktionsmittels in der Dampfphase in jedem Zyklus gleichzeitig mit dem Reaktionsmittel der zweiten Dampfphase zugeführt werden.
  30. Verfahren nach Anspruch 28, wobei die variierenden Niveaus des dritten Reaktionsmittels in der Dampfphase in getrennten Impusen variierender Dauer bereitgestellt werden.
  31. Verfahren nach Anspruch 28, wobei die variierenden Niveaus des dritten Reaktionsmittels in der Dampfphase Atome auf der Oberfläche des dünnen Films von einem vorangehenden Impuls ersetzen.
  32. Verfahren nach Anspruch 31, wobei das Einführen variierender Mengen des dritten Reaktionsmittels in der Dampfphase das lineare Variieren einer Menge einer Verunreinigung aufweist, die während der Abscheidung in die Schicht eingebaut wird.
  33. Verfahren nach Anspruch 1, wobei der dünne Film eine graduell zunehmende Konzentration der Verunreinigung von der unteren Fläche zu der oberen Fläche hat.
  34. Verfahren nach Anspruch 33, wobei die abgestufte (sich graduell ändernde) Konzentration der Verunreinigung von null an der Unterseite auf einen Maximalwert an der Oberseite ansteigt.
  35. Verfahren nach Anspruch 1, wobei der dünne Film ein Metallnitrid und Kupfer enthaltender dünner Film mit unterschiedlichen Kupferkonzentrationen an seinen oberen und unteren Seiten in eines zweifachen Damaszener-Grabens ist und wobei das Einführen erster und zweiter Reaktionsmittel in der Dampfphase das Einführen variierender Mengen eines Gases einer Metallquelle und eines Gases einer Stickstoffquelle zu dem Substrat in abwechselnden und zeitlich getrennten Impulsen aufweist, und daß das Einführen variierender Mengen des dritten Reaktionsmittels in der Gasphase das Einführen eines Gases aus einer Kupferquelle zu dem Substrat in abwechselnden und zeitlich getrennten Impulsen aufweist.
  36. Verfahren nach Anspruch 35, wobei der dünne Film eine dielektrische Verbindung aufweist.
  37. Verfahren nach Anspruch 36, wobei die Verunreinigung Stickstoff aufweist.
  38. Verfahren nach Anspruch 35, wobei der dünne Film eine metallische Legierung aufweist.
  39. Verfahren nach Anspruch 38, wobei die Verunreinigung Kupfer aufweist.
  40. Verfahren nach Anspruch 1, wobei der dünne Film eine Abdeckschicht in einer Damaszener-Struktur aufweist und wobei die ersten und dritten Reaktionsmittel in der Dampfphase metallische Reaktionsmittel in der Dampfphase sind und das zweite Reaktionsmittel in der Dampfphase ein Stickstoffreaktionsmittel in der Dampfphase ist.
  41. Verfahren nach Anspruch 40, wobei das Einführen bzw. Hinzufügen variierender Mengen des dritten Reaktionsmittels in der Dampfphase das lineare Abstufen einer Kupferkonzentration von einer Unterseite der Abdeckschicht zu einer Oberseite der Abdeckschicht aufweist.
  42. Verfahren nach Anspruch 41, wobei das Hinzufügen variierender Mengen des dritten Reaktionsmittels in der Dampfphase 0% Kupfer an der Unterseite und 50% Kupfer an der Oberseite aufweist.
  43. Verfahren nach Anspruch 40, wobei das zweite Reaktionsmittel in der Dampfphase Ammoniak aufweist.
  44. Verfahren nach Anspruch 43, wobei das dritte Reaktionsmittel in der Dampfphase Kupfer aufweist.
  45. Verfahren nach Anspruch 44, wobei das erste Reaktionsmittel in der Dampfphase ein Element aufweist, welches aus der Gruppe ausgewählt wird, das aus Wolfram, Tantal und Titan besteht.
  46. Verfahren nach Anspruch 44, wobei das Hinzufügen variierender Mengen des dritten Reaktionsmittels in der Dampfphase das Ausbilden eines reinen Metallnitrids an einer Unterseite der Abdeckschicht und einer reinen Kupferschicht auf der Oberseite der Abdeckschicht aufweist.
  47. Verfahren nach Anspruch 46, wobei die Kupferschicht eine Keimschicht aufweist.
  48. Verfahren nach Anspruch 46, welches weiterhin die Elektroplattierung mit Kupfer aufweist, um den Damaszener-Graben aufzufüllen.
  49. Verfahren nach Anspruch 48, wobei der Damaszener-Graben ein doppelter Damaszener-Graben ist.
  50. Verfahren nach Anspruch 49, wobei der doppelte Damaszener-Graben eine Zwischenverbindung aus Kupfer aufweist.
  51. Verfahren nach Anspruch 40, wobei das Hinzufügen variierender Mengen des dritten Reaktionsmittels in der Dampfphase das Bereitstellen von Impulsen des dritten Reaktionsmittels in der Dampfphase zu den Abscheidungszyklen mit im Verlauf der Abscheidung variierender Frequenz aufweist.
  52. Verfahren nach Anspruch 51, wobei das Hinzufügen variierender Mengen des dritten Reaktionsmittels in der Dampfphase das Bereitstellen von Impulsen des dritten Reaktionsmittels in der Dampfphase zu den Abscheidungszyklen mit einer im Verlauf der Abscheidung geringeren Frequenz aufweist.
  53. Verfahren nach Anspruch 51, wobei das Hinzufügen variierender Mengen des dritten Reaktionsmittels in der Dampfphase das Bereitstellen von Impulsen des dritten Reaktionsmittels in der Dampfphase zu den Abscheidungszyklen mit einer im Verlauf der Abscheidung größer werdenden Frequenz aufweist.
  54. Verfahren nach Anspruch 40, wobei das Hinzufügen variierender Mengen des dritten Reaktionsmittels in der Dampfphase das Vorsehen von im Verlauf der Abscheidung variierenden Niveaus des dritten Reaktionsmittels in der Dampfphase bei jedem der Abscheidungszyklen vorsieht.
  55. Verfahren nach Anspruch 54, wobei die variierenden Niveaus des dritten Reaktionsmittels in der Dampfphase bereitgestellt werden durch Variieren des Freigebens einer adsorbierten Substanz für eine thermodynamisch begünstigte Substitutionsreaktion.
  56. Verfahren nach Anspruch 54, wobei die variierenden Niveaus des dritten Reaktionsmittels in der Dampfphase in getrennten Impulsen variierender Dauer bereitgestellt werden.
  57. Verfahren nach Anspruch 54, wobei die variierenden Niveaus des dritten Reaktionsmittels in der Dampfphase Atome an der Oberfläche des dünnen Films von einem vorhergehenden Impuls ersetzen.
  58. Verfahren nach Anspruch 35, wobei der metallische Vorläufer ein Metall aufweist, das aus der Gruppe ausgewählt wird, welche aus Wolfram, Tantal und Titan besteht.
  59. Verfahren nach Anspruch 58, wobei der Stickstoffvorläufer Ammoniak aufweist.
  60. Verfahren nach Anspruch 35, wobei das Hinzufügen variierender Mengen eines dritten Reaktionsmittels in der Dampfphase das Hinzufügen von im Verlauf der Abscheidung zunehmenden Mengen des Gases der Kupferquelle aufweist.
  61. Verfahren nach Anspruch 60, wobei das Hinzufügen variierender Mengen eines dritten Reaktionsmittels in der Gasphase das Hinzufügen von im Verlauf der Abscheidung zunehmenden Mengen des Gases aus der Kupferquelle aufweist.
  62. Verfahren nach Anspruch 60, wobei das Einführen variierender Mengen eines dritten Reaktionsmittels in der Gasphase das Bereitstellen von im Verlauf der Abscheidung variierenden Mengen des Kupfervorläufers in jedem der Abscheidungszyklen aufweist.
  63. Verfahren nach Anspruch 60, wobei das Einführen variierender Mengen eines Gases einer Metallquelle den Übergang vom Hinzufügen konstanter Niveaus der Gase der Metall- und Stickstoff quellen in jedem der Abscheidungszyklen zu Beginn der Abscheidung zu der Hinzufügung von weniger Gasen der Metall- und Stickstoffquelle in nachfolgenden Abscheidungszyklen aufweist, während die Abscheidung fortschreitet.
  64. Verfahren nach Anspruch 63, wobei das weniger Hinzufügen das Vorsehen der Metall- und Stickstoffvorläufer mit im Verlauf der Abscheidung zunehmend geringerer Frequenz aufweist.
  65. Verfahren nach Anspruch 63, wobei das weniger Hinzufügen das Bereitstellen keiner Metall- und Stickstoffvorläufer aufweist.
  66. Verfahren nach Anspruch 65, wobei die Kupferkonzentration an der Unterseite am geringsten und an der Oberseite am höchsten ist.
  67. Verfahren nach Anspruch 66, wobei der dünne Film an der Unterseite eine reine Metallnitridschicht aufweist.
  68. Verfahren nach Anspruch 67, wobei die Metallnitridschicht eine Grenz- bzw. Barrierenschicht aufweist.
  69. Verfahren nach Anspruch 68, wobei die Barrierenschicht zwischen etwa 20 Å und 200 Å dick ist (2 – 20 × 10–9 m).
  70. Verfahren nach Anspruch 35, welches weiterhin das Auffüllen des doppelten Damaszener-Grabens mit Kupfer durch Elektroplattierung aufweist.
  71. Verfahren nach Anspruch 70, welches weiterhin einen Polierschritt aufweist, um überschüssiges Metall außerhalb des doppelten Damaszener-Grabens zu entfernen.
  72. Dünner Film einer integrierten Schaltung, der eine Dicke von weniger als 50 Å (5 × 10–9 m) hat, welche zwischen der Oberseite und der Unterseite definiert ist, wobei der Film eine kontrollierte und variierende Zusammensetzung mit einer Verunreinigung zwischen der oberen Seite und der unteren Seite hat, wobei die Konzentration der Verunreinigung an der Oberseite mehr als 5% beträgt und wobei die variierende Zusammensetzung eine abgestufte Konzentration der Verunreinigung aufweist.
  73. Dünner Film nach Anspruch 72, wobei die Dicke zwischen 7 Å und 50 Å (7 – 50 × 10–10m) beträgt.
  74. Dünner Film nach Anspruch 72, wobei die Konzentration der Verunreinigung an der Unterseite geringer als 0,1 % ist.
  75. Dünner Film nach Anspruch 74, wobei die Konzentration der Verunreinigung an der Unterseite 0% beträgt.
  76. Dünner Film nach Anspruch 72, wobei die Konzentration der Verunreinigung an der Oberseite mehr als 10% beträgt.
  77. Dünner Film nach Anspruch 72, welcher ein Dielektrikum eines Transistorgates bildet.
  78. Dünner Film nach Anspruch 77, welcher eine äquivalente Oxiddicke von weniger als 2,0 nm zeigt.
  79. Dünner Film nach Anspruch 78, welcher eine äquivalente Oxiddicke von weniger als 1,7 nm zeigt.
  80. Dünner Film nach Anspruch 79, welcher zumindest eine molekulare Monoschicht (1 Molekül dicke Schicht) aus Siliziumoxid an der Unterseite aufweist.
  81. Dünner Film nach Anspruch 80, welcher zumindest eine molekulare Monoschicht aus Siliziumnitrid an der Oberseite aufweist.
  82. Dünner Film nach Anspruch 77, welcher Aluminiumoxid an einer der oberen und unteren Flächen und ein Material mit einer höheren Dielektrizitätskonstante daneben aufweist.
  83. Dünner Film nach Anspruch 82, wobei das Aluminiumoxid an der Unterseite direkt auf bzw. neben einem Halbleitersubstrat ausgebildet wird, wobei das Aluminiumoxid mit dem Material einer höheren Dielektrizitätskonstante abgestuft ist.
  84. Dünner Film nach Anspruch 83, wobei das Material mit einer höheren Dielektrizitätskonstante Zirkonoxid aufweist.
  85. Dünner Film nach Anspruch 72, wobei die sich ändernde Zusammensetzung eine von der Unterseite zur Oberseite variierende Stickstoffkonzentration aufweist.
  86. Dünner Film nach Anspruch 85, wobei die Stickstoffkonzentration sich von einer niedrigsten Konzentration an der Unterseite progressiv zu einer höchsten Konzentration an der Oberseite ändert.
  87. Dünner Film nach Anspruch 86, welcher eine linear abgestufte Stickstoffkonzentration von der Unterseite zur Oberseite aufweist.
  88. Dünner Film nach Anspruch 87, wobei die Stickstoffkonzentration an der Unterseite weniger als 0,1% und an der Oberseite mehr als 5% beträgt.
  89. Dünner Film nach Anspruch 88, welcher im wesentlichen reines Siliziumdioxid an der Unterseite und im wesentlichen reines Siliziumnitrid an der Oberseite aufweist.
  90. Dünner Film nach Anspruch 72, wobei die variierende Zusammensetzung eine von der Unterseite zur Oberseite hin zunehmende Kupferkonzentration aufweist.
  91. Dünner Film nach Anspruch 90, wobei die variierende Zusammensetzung eine von der Unterseite zur Oberseite abnehmende Metallnitridkonzentration aufweist.
  92. Dünner Film nach Anspruch 91, welcher eine Dicke zwischen 7 Å und 50 Å (7 – 50 × 1010 m) hat.
DE60125338T 2000-03-07 2001-03-02 Gradierte dünne schichten Expired - Fee Related DE60125338T2 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US18742300P 2000-03-07 2000-03-07
US187423P 2000-03-07
PCT/US2001/006746 WO2001066832A2 (en) 2000-03-07 2001-03-02 Graded thin films

Publications (2)

Publication Number Publication Date
DE60125338D1 DE60125338D1 (de) 2007-02-01
DE60125338T2 true DE60125338T2 (de) 2007-07-05

Family

ID=22688920

Family Applications (1)

Application Number Title Priority Date Filing Date
DE60125338T Expired - Fee Related DE60125338T2 (de) 2000-03-07 2001-03-02 Gradierte dünne schichten

Country Status (8)

Country Link
US (3) US6534395B2 (de)
EP (1) EP1266054B1 (de)
JP (1) JP5016767B2 (de)
KR (1) KR100803770B1 (de)
AU (1) AU2001245388A1 (de)
DE (1) DE60125338T2 (de)
TW (1) TW490739B (de)
WO (1) WO2001066832A2 (de)

Families Citing this family (882)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6482262B1 (en) 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
US7157385B2 (en) * 2003-09-05 2007-01-02 Micron Technology, Inc. Method of depositing a silicon dioxide-comprising layer in the fabrication of integrated circuitry
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6300219B1 (en) 1999-08-30 2001-10-09 Micron Technology, Inc. Method of forming trench isolation regions
US6727169B1 (en) 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
JP2001177101A (ja) * 1999-12-20 2001-06-29 Semiconductor Energy Lab Co Ltd 半導体装置およびその作製方法
KR100321178B1 (ko) * 1999-12-30 2002-03-18 박종섭 TaON박막을 갖는 커패시터 제조방법
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
US6492283B2 (en) * 2000-02-22 2002-12-10 Asm Microchemistry Oy Method of forming ultrathin oxide layer
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
EP1266054B1 (de) * 2000-03-07 2006-12-20 Asm International N.V. Gradierte dünne schichten
US7419903B2 (en) * 2000-03-07 2008-09-02 Asm International N.V. Thin films
US6373137B1 (en) * 2000-03-21 2002-04-16 Micron Technology, Inc. Copper interconnect for an integrated circuit and methods for its fabrication
JP4556282B2 (ja) * 2000-03-31 2010-10-06 株式会社デンソー 有機el素子およびその製造方法
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US6617206B1 (en) * 2000-06-07 2003-09-09 Micron Technology, Inc. Method of forming a capacitor structure
WO2001099166A1 (en) * 2000-06-08 2001-12-27 Genitech Inc. Thin film forming method
KR100351056B1 (ko) * 2000-06-27 2002-09-05 삼성전자 주식회사 선택적 금속산화막 형성단계를 포함하는 반도체 소자의 제조방법
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US6551929B1 (en) * 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
TW531803B (en) 2000-08-31 2003-05-11 Agere Syst Guardian Corp Electronic circuit structure with improved dielectric properties
US20020036780A1 (en) * 2000-09-27 2002-03-28 Hiroaki Nakamura Image processing apparatus
US6969539B2 (en) 2000-09-28 2005-11-29 President And Fellows Of Harvard College Vapor deposition of metal oxides, silicates and phosphates, and silicon dioxide
CN100366792C (zh) * 2000-12-12 2008-02-06 东京毅力科创株式会社 薄膜形成方法及薄膜形成装置
US6794705B2 (en) * 2000-12-28 2004-09-21 Infineon Technologies Ag Multi-layer Pt electrode for DRAM and FRAM with high K dielectric materials
US6825447B2 (en) 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US20020086111A1 (en) * 2001-01-03 2002-07-04 Byun Jeong Soo Method of forming refractory metal nitride layers using chemisorption techniques
US7112543B2 (en) * 2001-01-04 2006-09-26 Micron Technology, Inc. Methods of forming assemblies comprising silicon-doped aluminum oxide
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6613656B2 (en) * 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
CN100351288C (zh) * 2001-02-23 2007-11-28 盖茨公司 直接将橡胶粘结至至少一种第二基材的方法及所得的制品
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US9139906B2 (en) 2001-03-06 2015-09-22 Asm America, Inc. Doping with ALD technology
US7563715B2 (en) 2005-12-05 2009-07-21 Asm International N.V. Method of producing thin films
US6734020B2 (en) * 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
US6696700B2 (en) * 2001-03-09 2004-02-24 National University Of Singapore P-type transparent copper-aluminum-oxide semiconductor
FI109770B (fi) 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
US7015138B2 (en) * 2001-03-27 2006-03-21 Sharp Laboratories Of America, Inc. Multi-layered barrier metal thin films for Cu interconnect by ALCVD
US6908639B2 (en) * 2001-04-02 2005-06-21 Micron Technology, Inc. Mixed composition interface layer and method of forming
US6596643B2 (en) 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
US6849545B2 (en) * 2001-06-20 2005-02-01 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques
US6861334B2 (en) * 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US20070009658A1 (en) * 2001-07-13 2007-01-11 Yoo Jong H Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
JP2005518088A (ja) 2001-07-16 2005-06-16 アプライド マテリアルズ インコーポレイテッド タングステン複合膜の形成
US20030198754A1 (en) * 2001-07-16 2003-10-23 Ming Xi Aluminum oxide chamber and process
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US20080268635A1 (en) * 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US7368014B2 (en) * 2001-08-09 2008-05-06 Micron Technology, Inc. Variable temperature deposition methods
US7135734B2 (en) * 2001-08-30 2006-11-14 Micron Technology, Inc. Graded composition metal oxide tunnel barrier interpoly insulators
US6963103B2 (en) 2001-08-30 2005-11-08 Micron Technology, Inc. SRAM cells with repressed floating gate memory, low tunnel barrier interpoly insulators
US6754108B2 (en) * 2001-08-30 2004-06-22 Micron Technology, Inc. DRAM cells with repressed floating gate memory, low tunnel barrier interpoly insulators
US7476925B2 (en) * 2001-08-30 2009-01-13 Micron Technology, Inc. Atomic layer deposition of metal oxide and/or low asymmetrical tunnel barrier interploy insulators
US7132711B2 (en) * 2001-08-30 2006-11-07 Micron Technology, Inc. Programmable array logic or memory with p-channel devices and asymmetrical tunnel barriers
US9708707B2 (en) * 2001-09-10 2017-07-18 Asm International N.V. Nanolayer deposition using bias power treatment
US6756318B2 (en) * 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
US6893983B2 (en) * 2001-09-13 2005-05-17 Tech Semiconductor Singapore Pte Ltd. Method for depositing a very high phosphorus doped silicon oxide film
KR100415538B1 (ko) * 2001-09-14 2004-01-24 주식회사 하이닉스반도체 이중 유전막을 구비한 캐패시터 및 그 제조 방법
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
JP4938962B2 (ja) 2001-09-14 2012-05-23 エーエスエム インターナショナル エヌ.ヴェー. ゲッタリング反応物を用いるaldによる金属窒化物堆積
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US20030059538A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US6548422B1 (en) * 2001-09-27 2003-04-15 Agere Systems, Inc. Method and structure for oxide/silicon nitride interface substructure improvements
JP2003109956A (ja) * 2001-09-28 2003-04-11 Toshiba Corp 半導体装置およびその製造方法
US6960537B2 (en) * 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
KR100760291B1 (ko) * 2001-11-08 2007-09-19 에이에스엠지니텍코리아 주식회사 박막 형성 방법
WO2003044242A2 (en) 2001-11-16 2003-05-30 Applied Materials, Inc. Atomic layer deposition of copper using a reducing gas and non-fluorinated copper precursors
US6773507B2 (en) 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US20030111678A1 (en) * 2001-12-14 2003-06-19 Luigi Colombo CVD deposition of M-SION gate dielectrics
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US6939801B2 (en) 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US6670071B2 (en) * 2002-01-15 2003-12-30 Quallion Llc Electric storage battery construction and method of manufacture
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
AU2003238853A1 (en) 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
TW518712B (en) * 2002-01-25 2003-01-21 Taiwan Semiconductor Mfg Manufacture method of low resistance barrier layer of copper metallization process
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6759344B2 (en) * 2002-01-29 2004-07-06 Asm Japan K.K. Method for forming low dielectric constant interlayer insulation film
US6781126B2 (en) * 2002-02-04 2004-08-24 Applied Materials, Inc. Auger-based thin film metrology
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6787185B2 (en) * 2002-02-25 2004-09-07 Micron Technology, Inc. Deposition methods for improved delivery of metastable species
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6764966B1 (en) * 2002-02-27 2004-07-20 Advanced Micro Devices, Inc. Spacers with a graded dielectric constant for semiconductor devices having a high-K dielectric
US6787481B2 (en) * 2002-02-28 2004-09-07 Hitachi Kokusai Electric Inc. Method for manufacturing semiconductor device
US20030159653A1 (en) * 2002-02-28 2003-08-28 Dando Ross S. Manifold assembly for feeding reactive precursors to substrate processing chambers
US6972267B2 (en) * 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6800134B2 (en) * 2002-03-26 2004-10-05 Micron Technology, Inc. Chemical vapor deposition methods and atomic layer deposition methods
US6825134B2 (en) * 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
JP4340040B2 (ja) 2002-03-28 2009-10-07 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法
WO2003083167A1 (en) * 2002-03-28 2003-10-09 President And Fellows Of Harvard College Vapor deposition of silicon dioxide nanolaminates
KR100425478B1 (ko) * 2002-04-04 2004-03-30 삼성전자주식회사 금속 도전층을 포함한 반도체소자의 제조방법
US7439191B2 (en) * 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6750066B1 (en) * 2002-04-08 2004-06-15 Advanced Micro Devices, Inc. Precision high-K intergate dielectric layer
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6743736B2 (en) * 2002-04-11 2004-06-01 Micron Technology, Inc. Reactive gaseous deposition precursor feed apparatus
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US6932871B2 (en) 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US20030235961A1 (en) * 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
KR100468729B1 (ko) * 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
US6861094B2 (en) * 2002-04-25 2005-03-01 Micron Technology, Inc. Methods for forming thin layers of materials on micro-device workpieces
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US20030215570A1 (en) * 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
US6838114B2 (en) * 2002-05-24 2005-01-04 Micron Technology, Inc. Methods for controlling gas pulsing in processes for depositing materials onto micro-device workpieces
US20040009665A1 (en) * 2002-06-04 2004-01-15 Applied Materials, Inc. Deposition of copper films
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US6896730B2 (en) * 2002-06-05 2005-05-24 Micron Technology, Inc. Atomic layer deposition apparatus and methods
US20030232501A1 (en) * 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US6858547B2 (en) 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US7118783B2 (en) * 2002-06-26 2006-10-10 Micron Technology, Inc. Methods and apparatus for vapor processing of micro-device workpieces
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
KR100505668B1 (ko) * 2002-07-08 2005-08-03 삼성전자주식회사 원자층 증착 방법에 의한 실리콘 산화막 형성 방법
US6838125B2 (en) 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20040009336A1 (en) * 2002-07-11 2004-01-15 Applied Materials, Inc. Titanium silicon nitride (TISIN) barrier layer for copper diffusion
JP2005533178A (ja) 2002-07-12 2005-11-04 ザ プレジデント アンド フェロウズ オブ ハーバード カレッジ 窒化タングステンの蒸着
US20040013803A1 (en) * 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US6955211B2 (en) 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7066194B2 (en) 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
CN1643673A (zh) * 2002-07-19 2005-07-20 阿维扎技术公司 金属有机化学气相沉积和原子层沉积
US6772072B2 (en) 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US7150789B2 (en) * 2002-07-29 2006-12-19 Micron Technology, Inc. Atomic layer deposition methods
KR100480756B1 (ko) * 2002-08-02 2005-04-06 한국화학연구원 산화알루미늄 박막 제조 방법
GB0218417D0 (en) 2002-08-08 2002-09-18 Seagate Technology Llc Combined atomic layer deposition and damascene processing for definition of narrow trenches
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US6753271B2 (en) 2002-08-15 2004-06-22 Micron Technology, Inc. Atomic layer deposition methods
US6887521B2 (en) * 2002-08-15 2005-05-03 Micron Technology, Inc. Gas delivery system for pulsed-type deposition processes used in the manufacturing of micro-devices
US6955725B2 (en) * 2002-08-15 2005-10-18 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US6890596B2 (en) 2002-08-15 2005-05-10 Micron Technology, Inc. Deposition methods
US20040036129A1 (en) * 2002-08-22 2004-02-26 Micron Technology, Inc. Atomic layer deposition of CMOS gates with variable work functions
US6967154B2 (en) 2002-08-26 2005-11-22 Micron Technology, Inc. Enhanced atomic layer deposition
US6673701B1 (en) 2002-08-27 2004-01-06 Micron Technology, Inc. Atomic layer deposition methods
US7030042B2 (en) * 2002-08-28 2006-04-18 Micron Technology, Inc. Systems and methods for forming tantalum oxide layers and tantalum precursor compounds
US6958300B2 (en) * 2002-08-28 2005-10-25 Micron Technology, Inc. Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
US7041609B2 (en) * 2002-08-28 2006-05-09 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
US7112485B2 (en) * 2002-08-28 2006-09-26 Micron Technology, Inc. Systems and methods for forming zirconium and/or hafnium-containing layers
US6995081B2 (en) * 2002-08-28 2006-02-07 Micron Technology, Inc. Systems and methods for forming tantalum silicide layers
US6967159B2 (en) * 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US6784049B2 (en) * 2002-08-28 2004-08-31 Micron Technology, Inc. Method for forming refractory metal oxide layers with tetramethyldisiloxane
US6794284B2 (en) * 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US6861355B2 (en) * 2002-08-29 2005-03-01 Micron Technology, Inc. Metal plating using seed film
JP2004091850A (ja) * 2002-08-30 2004-03-25 Tokyo Electron Ltd 処理装置及び処理方法
US6607973B1 (en) 2002-09-16 2003-08-19 Advanced Micro Devices, Inc. Preparation of high-k nitride silicate layers by cyclic molecular layer deposition
JP3594947B2 (ja) * 2002-09-19 2004-12-02 東京エレクトロン株式会社 絶縁膜の形成方法、半導体装置の製造方法、基板処理装置
US6821563B2 (en) * 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040065255A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US7252773B2 (en) 2002-10-11 2007-08-07 Texas Instruments Incorporated Clean for high density capacitors
US6905737B2 (en) 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US6858899B2 (en) * 2002-10-15 2005-02-22 Matrix Semiconductor, Inc. Thin film transistor with metal oxide layer and method of making same
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US6713407B1 (en) * 2002-10-29 2004-03-30 Taiwan Semiconductor Manufacturing Co., Ltd Method of forming a metal nitride layer over exposed copper
US6869876B2 (en) * 2002-11-05 2005-03-22 Air Products And Chemicals, Inc. Process for atomic layer deposition of metal films
ITUD20020238A1 (it) * 2002-11-11 2004-05-12 New System Srl Metodo per la stesura controllata a getto d'inchiostro di polimeri per isolamento e/o protezione di circuiti stampati
US7446217B2 (en) * 2002-11-14 2008-11-04 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films
US7531679B2 (en) * 2002-11-14 2009-05-12 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films such as films including silicon nitride, silicon dioxide and/or silicon-oxynitride
US6858524B2 (en) * 2002-12-03 2005-02-22 Asm International, Nv Method of depositing barrier layer for metal gates
US7122414B2 (en) * 2002-12-03 2006-10-17 Asm International, Inc. Method to fabricate dual metal CMOS devices
US7045406B2 (en) 2002-12-03 2006-05-16 Asm International, N.V. Method of forming an electrode with adjusted work function
US7097886B2 (en) 2002-12-13 2006-08-29 Applied Materials, Inc. Deposition process for high aspect ratio trenches
US7192866B2 (en) * 2002-12-19 2007-03-20 Sharp Laboratories Of America, Inc. Source alternating MOCVD processes to deposit tungsten nitride thin films as barrier layers for MOCVD copper interconnects
US7262133B2 (en) * 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
US7244683B2 (en) 2003-01-07 2007-07-17 Applied Materials, Inc. Integration of ALD/CVD barriers with porous low k materials
US6753248B1 (en) 2003-01-27 2004-06-22 Applied Materials, Inc. Post metal barrier/adhesion film
US9121098B2 (en) 2003-02-04 2015-09-01 Asm International N.V. NanoLayer Deposition process for composite films
US7713592B2 (en) * 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
JP4402044B2 (ja) * 2003-02-06 2010-01-20 東京エレクトロン株式会社 プラズマ処理方法
KR100564609B1 (ko) * 2003-02-27 2006-03-29 삼성전자주식회사 실록산 화합물을 이용한 이산화실리콘막 형성 방법
US7084076B2 (en) * 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
US20040175926A1 (en) * 2003-03-07 2004-09-09 Advanced Micro Devices, Inc. Method for manufacturing a semiconductor component having a barrier-lined opening
JP4748927B2 (ja) * 2003-03-25 2011-08-17 ローム株式会社 半導体装置
JP4009550B2 (ja) * 2003-03-27 2007-11-14 エルピーダメモリ株式会社 金属酸化膜の形成方法
US6955986B2 (en) 2003-03-27 2005-10-18 Asm International N.V. Atomic layer deposition methods for forming a multi-layer adhesion-barrier layer for integrated circuits
US20060225642A1 (en) * 2003-03-31 2006-10-12 Yoshihiko Kanzawa Method of forming semiconductor crystal
JP4536333B2 (ja) * 2003-04-03 2010-09-01 忠弘 大見 半導体装置及び、その製造方法
US20040198069A1 (en) * 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US6951813B2 (en) * 2003-04-04 2005-10-04 Micron Technology, Inc. Methods of forming metal-containing layers including a metal bonded to halogens and trialkylaluminum
US7183186B2 (en) 2003-04-22 2007-02-27 Micro Technology, Inc. Atomic layer deposited ZrTiO4 films
US7335396B2 (en) * 2003-04-24 2008-02-26 Micron Technology, Inc. Methods for controlling mass flow rates and pressures in passageways coupled to reaction chambers and systems for depositing material onto microfeature workpieces in reaction chambers
US7192849B2 (en) * 2003-05-07 2007-03-20 Sensor Electronic Technology, Inc. Methods of growing nitride-based film using varying pulses
WO2004112114A1 (ja) * 2003-06-16 2004-12-23 Tokyo Electron Limited 成膜方法、半導体装置の製造方法、半導体装置および成膜装置
KR20060079144A (ko) * 2003-06-18 2006-07-05 어플라이드 머티어리얼스, 인코포레이티드 배리어 물질의 원자층 증착
US7125815B2 (en) * 2003-07-07 2006-10-24 Micron Technology, Inc. Methods of forming a phosphorous doped silicon dioxide comprising layer
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
WO2005015621A1 (en) * 2003-07-30 2005-02-17 Infineon Technologies Ag High-k dielectric film, method of forming the same and related semiconductor device
WO2005017963A2 (en) 2003-08-04 2005-02-24 Asm America, Inc. Surface preparation prior to deposition on germanium
JP3954998B2 (ja) * 2003-08-11 2007-08-08 ローム株式会社 半導体装置およびその製造方法
US7344755B2 (en) * 2003-08-21 2008-03-18 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers
US7235138B2 (en) * 2003-08-21 2007-06-26 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US7422635B2 (en) * 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
DE102004021578A1 (de) 2003-09-17 2005-04-21 Aixtron Ag Verfahren und Vorrichtung zur Abscheidung von ein-oder mehrkomponentigen Schichten und Schichtfolgen unter Verwendung von nicht-kontinuierlicher Injektion von flüssigen und gelösten Ausgangssubstanzen über eine Mehrkanalinjektionseinheit
KR20060079201A (ko) * 2003-09-17 2006-07-05 아익스트론 아게 불연속 주입을 이용한 층의 증착 방법 및 증착 장치
US7056806B2 (en) * 2003-09-17 2006-06-06 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
US7282239B2 (en) * 2003-09-18 2007-10-16 Micron Technology, Inc. Systems and methods for depositing material onto microfeature workpieces in reaction chambers
US7018469B2 (en) * 2003-09-23 2006-03-28 Micron Technology, Inc. Atomic layer deposition methods of forming silicon dioxide comprising layers
US20050067103A1 (en) 2003-09-26 2005-03-31 Applied Materials, Inc. Interferometer endpoint monitoring device
JP4059183B2 (ja) * 2003-10-07 2008-03-12 ソニー株式会社 絶縁体薄膜の製造方法
US7323231B2 (en) * 2003-10-09 2008-01-29 Micron Technology, Inc. Apparatus and methods for plasma vapor deposition processes
US7579496B2 (en) 2003-10-10 2009-08-25 Advanced Technology Materials, Inc. Monosilane or disilane derivatives and method for low temperature deposition of silicon-containing films using the same
US7601860B2 (en) 2003-10-10 2009-10-13 Advanced Technology Materials, Inc. Composition and method for low temperature chemical vapor deposition of silicon-containing films including silicon carbonitride and silicon oxycarbonitride films
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US7647886B2 (en) * 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US7018917B2 (en) * 2003-11-20 2006-03-28 Asm International N.V. Multilayer metallization
US7098537B2 (en) * 2003-11-21 2006-08-29 International Business Machines Corporation Interconnect structure diffusion barrier with high nitrogen content
US20050109276A1 (en) * 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
JP3808866B2 (ja) * 2003-12-05 2006-08-16 株式会社東芝 半導体装置
US7431966B2 (en) * 2003-12-09 2008-10-07 Micron Technology, Inc. Atomic layer deposition method of depositing an oxide on a substrate
US7258892B2 (en) * 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US20050130438A1 (en) * 2003-12-15 2005-06-16 Texas Instruments Incorporated Method of fabricating a dielectric layer for a semiconductor structure
US6849541B1 (en) * 2003-12-19 2005-02-01 United Microelectronics Corp. Method of fabricating a dual damascene copper wire
KR100515370B1 (ko) * 2003-12-31 2005-09-14 동부아남반도체 주식회사 반도체 소자의 플러그 제조 방법
US7154779B2 (en) * 2004-01-21 2006-12-26 Sandisk Corporation Non-volatile memory cell using high-k material inter-gate programming
US7906393B2 (en) 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US7087497B2 (en) 2004-03-04 2006-08-08 Applied Materials Low-thermal-budget gapfill process
US6921691B1 (en) 2004-03-18 2005-07-26 Infineon Technologies Ag Transistor with dopant-bearing metal in source and drain
US7053010B2 (en) * 2004-03-22 2006-05-30 Micron Technology, Inc. Methods of depositing silicon dioxide comprising layers in the fabrication of integrated circuitry, methods of forming trench isolation, and methods of forming arrays of memory cells
US7405143B2 (en) 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
US7160758B2 (en) * 2004-03-31 2007-01-09 Intel Corporation Electronic packaging apparatus and method
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US7119600B2 (en) * 2004-04-20 2006-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Wide common mode high-speed differential receiver using thin and thick gate oxide MOSFETS in deep-submicron technology
US20050249873A1 (en) * 2004-05-05 2005-11-10 Demetrius Sarigiannis Apparatuses and methods for producing chemically reactive vapors used in manufacturing microelectronic devices
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US20060062917A1 (en) * 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US20060153995A1 (en) * 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US7699932B2 (en) * 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
JP2005353832A (ja) * 2004-06-10 2005-12-22 Toshiba Corp 半導体装置及びその製造方法
US7592678B2 (en) 2004-06-17 2009-09-22 Infineon Technologies Ag CMOS transistors with dual high-k gate dielectric and methods of manufacture thereof
US8178902B2 (en) 2004-06-17 2012-05-15 Infineon Technologies Ag CMOS transistor with dual high-k gate dielectric and method of manufacture thereof
US8399934B2 (en) 2004-12-20 2013-03-19 Infineon Technologies Ag Transistor device
JP4370206B2 (ja) * 2004-06-21 2009-11-25 パナソニック株式会社 半導体装置及びその製造方法
US7129189B1 (en) 2004-06-22 2006-10-31 Novellus Systems, Inc. Aluminum phosphate incorporation in silica thin films produced by rapid surface catalyzed vapor deposition (RVD)
US7297608B1 (en) 2004-06-22 2007-11-20 Novellus Systems, Inc. Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition
US7097878B1 (en) 2004-06-22 2006-08-29 Novellus Systems, Inc. Mixed alkoxy precursors and methods of their use for rapid vapor deposition of SiO2 films
US7115959B2 (en) * 2004-06-22 2006-10-03 International Business Machines Corporation Method of forming metal/high-k gate stacks with high mobility
US7202185B1 (en) 2004-06-22 2007-04-10 Novellus Systems, Inc. Silica thin films produced by rapid surface catalyzed vapor deposition (RVD) using a nucleation layer
US7863179B2 (en) * 2006-10-31 2011-01-04 Lam Research Corporation Methods of fabricating a barrier layer with varying composition for copper metallization
EP1774056B1 (de) * 2004-07-15 2011-05-18 Aixtron SE Verfahren zur abscheidung von silizium und germanium enthaltenden schichten
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US20060019032A1 (en) * 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
US7601649B2 (en) 2004-08-02 2009-10-13 Micron Technology, Inc. Zirconium-doped tantalum oxide films
US7955646B2 (en) * 2004-08-09 2011-06-07 Applied Materials, Inc. Elimination of flow and pressure gradients in low utilization processes
KR100615093B1 (ko) * 2004-08-24 2006-08-22 삼성전자주식회사 나노크리스탈을 갖는 비휘발성 메모리 소자의 제조방법
US7081421B2 (en) 2004-08-26 2006-07-25 Micron Technology, Inc. Lanthanide oxide dielectric layer
US7588988B2 (en) 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
US7235459B2 (en) * 2004-08-31 2007-06-26 Micron Technology, Inc. Methods of forming trench isolation in the fabrication of integrated circuitry, methods of fabricating memory circuitry, integrated circuitry and memory integrated circuitry
US8158488B2 (en) * 2004-08-31 2012-04-17 Micron Technology, Inc. Method of increasing deposition rate of silicon dioxide on a catalyst
US7300869B2 (en) * 2004-09-20 2007-11-27 Lsi Corporation Integrated barrier and seed layer for copper interconnect technology
US20060068099A1 (en) * 2004-09-30 2006-03-30 Sharp Laboratories Of America, Inc. Grading PrxCa1-xMnO3 thin films by metalorganic chemical vapor deposition
KR100580771B1 (ko) * 2004-10-01 2006-05-15 주식회사 하이닉스반도체 플래쉬 메모리소자의 형성방법
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US7148155B1 (en) * 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US20060113675A1 (en) * 2004-12-01 2006-06-01 Chung-Liang Chang Barrier material and process for Cu interconnect
US7560352B2 (en) 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7312128B2 (en) 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7344934B2 (en) 2004-12-06 2008-03-18 Infineon Technologies Ag CMOS transistor and method of manufacture thereof
US7429402B2 (en) * 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US7564108B2 (en) * 2004-12-20 2009-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Nitrogen treatment to improve high-k gate dielectrics
US7253050B2 (en) 2004-12-20 2007-08-07 Infineon Technologies Ag Transistor device and method of manufacture thereof
US7294583B1 (en) 2004-12-23 2007-11-13 Novellus Systems, Inc. Methods for the use of alkoxysilanol precursors for vapor deposition of SiO2 films
US7223707B1 (en) 2004-12-30 2007-05-29 Novellus Systems, Inc. Dynamic rapid vapor deposition process for conformal silica laminates
US7271112B1 (en) 2004-12-30 2007-09-18 Novellus Systems, Inc. Methods for forming high density, conformal, silica nanolaminate films via pulsed deposition layer in structures of confined geometry
US7560395B2 (en) 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
US7345370B2 (en) * 2005-01-12 2008-03-18 International Business Machines Corporation Wiring patterns formed by selective metal plating
US20060165873A1 (en) * 2005-01-25 2006-07-27 Micron Technology, Inc. Plasma detection and associated systems and methods for controlling microfeature workpiece deposition processes
US7510982B1 (en) 2005-01-31 2009-03-31 Novellus Systems, Inc. Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7438760B2 (en) 2005-02-04 2008-10-21 Asm America, Inc. Methods of making substitutionally carbon-doped crystalline Si-containing materials by chemical vapor deposition
EP1691383A1 (de) * 2005-02-14 2006-08-16 TDK Corporation Kondensator, Verfahren zu seiner Herstellung, diesen Kondensator verwendendes Filter, und dielektrischer Film für diesen Kondensator
EP1693889B1 (de) * 2005-02-16 2017-05-24 Imec Verfahren zur Erhöhung der Initierung vom Wachstum einer Schicht
US7927933B2 (en) * 2005-02-16 2011-04-19 Imec Method to enhance the initiation of film growth
EP1693888A1 (de) * 2005-02-16 2006-08-23 Interuniversitair Microelektronica Centrum ( Imec) Verfahren zur Erhöhung der Initierung vom Wachstum einer Schicht
US7217634B2 (en) * 2005-02-17 2007-05-15 Micron Technology, Inc. Methods of forming integrated circuitry
TW200634982A (en) 2005-02-22 2006-10-01 Asm Inc Plasma pre-treating surfaces for atomic layer deposition
US7510966B2 (en) * 2005-03-07 2009-03-31 Micron Technology, Inc. Electrically conductive line, method of forming an electrically conductive line, and method of reducing titanium silicide agglomeration in fabrication of titanium silicide over polysilicon transistor gate lines
US7135418B1 (en) * 2005-03-09 2006-11-14 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
US7109129B1 (en) 2005-03-09 2006-09-19 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US8025922B2 (en) * 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
JP2006261434A (ja) * 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7160781B2 (en) 2005-03-21 2007-01-09 Infineon Technologies Ag Transistor device and methods of manufacture thereof
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US8012847B2 (en) 2005-04-01 2011-09-06 Micron Technology, Inc. Methods of forming trench isolation in the fabrication of integrated circuitry and methods of fabricating integrated circuitry
US20060226500A1 (en) * 2005-04-06 2006-10-12 Po-Lun Cheng Gate dielectric layer and method of forming the same
WO2006110750A2 (en) * 2005-04-07 2006-10-19 Aviza Technology, Inc. Multilayer, multicomponent high-k films and methods for depositing the same
US7361538B2 (en) 2005-04-14 2008-04-22 Infineon Technologies Ag Transistors and methods of manufacture thereof
US20060237138A1 (en) * 2005-04-26 2006-10-26 Micron Technology, Inc. Apparatuses and methods for supporting microelectronic devices during plasma-based fabrication processes
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US20080296644A1 (en) * 2005-05-02 2008-12-04 Samsung Electronics Co., Ltd. Cmos image sensors and methods of fabricating same
EP1724822A3 (de) * 2005-05-17 2007-01-24 Sumco Corporation Halbleitersubstrat und Verfahren zu dessen Herstellung
US8138104B2 (en) * 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US7566655B2 (en) * 2005-05-26 2009-07-28 Applied Materials, Inc. Integration process for fabricating stressed transistor structure
US8129290B2 (en) * 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
US7572695B2 (en) 2005-05-27 2009-08-11 Micron Technology, Inc. Hafnium titanium oxide films
US20060286800A1 (en) * 2005-06-15 2006-12-21 Dominguez Juan E Method for adhesion and deposition of metal films which provide a barrier and permit direct plating
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20070014919A1 (en) * 2005-07-15 2007-01-18 Jani Hamalainen Atomic layer deposition of noble metal oxides
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US7473637B2 (en) 2005-07-20 2009-01-06 Micron Technology, Inc. ALD formed titanium nitride films
US7989290B2 (en) 2005-08-04 2011-08-02 Micron Technology, Inc. Methods for forming rhodium-based charge traps and apparatus including rhodium-based charge traps
US7575978B2 (en) 2005-08-04 2009-08-18 Micron Technology, Inc. Method for making conductive nanoparticle charge storage element
JP2007053220A (ja) * 2005-08-18 2007-03-01 Oki Electric Ind Co Ltd 半導体装置の製造方法
US8110469B2 (en) 2005-08-30 2012-02-07 Micron Technology, Inc. Graded dielectric layers
US8308053B2 (en) * 2005-08-31 2012-11-13 Micron Technology, Inc. Microfeature workpieces having alloyed conductive structures, and associated methods
US7521356B2 (en) * 2005-09-01 2009-04-21 Micron Technology, Inc. Atomic layer deposition systems and methods including silicon-containing tantalum precursor compounds
US8188551B2 (en) 2005-09-30 2012-05-29 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
US20070059945A1 (en) * 2005-09-12 2007-03-15 Nima Mohklesi Atomic layer deposition with nitridation and oxidation
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US7550385B2 (en) * 2005-09-30 2009-06-23 Intel Corporation Amine-free deposition of metal-nitride films
US20070082507A1 (en) * 2005-10-06 2007-04-12 Applied Materials, Inc. Method and apparatus for the low temperature deposition of doped silicon nitride films
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US20070099422A1 (en) * 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
US20070128862A1 (en) 2005-11-04 2007-06-07 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
US7462538B2 (en) 2005-11-15 2008-12-09 Infineon Technologies Ag Methods of manufacturing multiple gate CMOS transistors having different gate dielectric materials
US7589028B1 (en) 2005-11-15 2009-09-15 Novellus Systems, Inc. Hydroxyl bond removal and film densification method for oxide films using microwave post treatment
US7658802B2 (en) * 2005-11-22 2010-02-09 Applied Materials, Inc. Apparatus and a method for cleaning a dielectric film
US7495290B2 (en) 2005-12-14 2009-02-24 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
US7510943B2 (en) 2005-12-16 2009-03-31 Infineon Technologies Ag Semiconductor devices and methods of manufacture thereof
US8501632B2 (en) * 2005-12-20 2013-08-06 Infineon Technologies Ag Methods of fabricating isolation regions of semiconductor devices and structures thereof
WO2007078802A2 (en) 2005-12-22 2007-07-12 Asm America, Inc. Epitaxial deposition of doped semiconductor materials
US7491653B1 (en) 2005-12-23 2009-02-17 Novellus Systems, Inc. Metal-free catalysts for pulsed deposition layer process for conformal silica laminates
US7635603B2 (en) * 2005-12-30 2009-12-22 Atomic Energy Council - Institute Of Nuclear Energy Research Method for making red-light emitting diode having silicon quantum dots
US7303983B2 (en) * 2006-01-13 2007-12-04 Freescale Semiconductor, Inc. ALD gate electrode
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US8936995B2 (en) 2006-03-01 2015-01-20 Infineon Technologies Ag Methods of fabricating isolation regions of semiconductor devices and structures thereof
US7964514B2 (en) * 2006-03-02 2011-06-21 Applied Materials, Inc. Multiple nitrogen plasma treatments for thin SiON dielectrics
JP2007250982A (ja) 2006-03-17 2007-09-27 Canon Inc 酸化物半導体を用いた薄膜トランジスタ及び表示装置
JP5184345B2 (ja) * 2006-03-20 2013-04-17 古河電気工業株式会社 連続薄膜の形成方法及び薄膜付き線状ガラス基板
US7737035B1 (en) 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
US7674337B2 (en) 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US8372697B2 (en) * 2006-05-08 2013-02-12 University Of South Carolina Digital oxide deposition of SiO2 layers on wafers
US20070264816A1 (en) * 2006-05-12 2007-11-15 Lavoie Adrien R Copper alloy layer for integrated circuit interconnects
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US7552280B1 (en) 2006-06-28 2009-06-23 Emc Corporation Asymmetrically interleaving access to redundant storage devices
KR100884339B1 (ko) * 2006-06-29 2009-02-18 주식회사 하이닉스반도체 반도체 소자의 텅스텐막 형성방법 및 이를 이용한 텅스텐배선층 형성방법
US20080001237A1 (en) * 2006-06-29 2008-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having nitrided high-k gate dielectric and metal gate electrode and methods of forming same
US7501355B2 (en) * 2006-06-29 2009-03-10 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
TWI395335B (zh) * 2006-06-30 2013-05-01 Applied Materials Inc 奈米結晶的形成
US20080032064A1 (en) * 2006-07-10 2008-02-07 President And Fellows Of Harvard College Selective sealing of porous dielectric materials
DE112007001814T5 (de) 2006-07-31 2009-06-04 Applied Materials, Inc., Santa Clara Verfahren zum Bilden kohlenstoffhaltiger Siliziumepitaxieschichten
US7588980B2 (en) 2006-07-31 2009-09-15 Applied Materials, Inc. Methods of controlling morphology during epitaxial layer formation
US7435484B2 (en) * 2006-09-01 2008-10-14 Asm Japan K.K. Ruthenium thin film-formed structure
US20080081114A1 (en) * 2006-10-03 2008-04-03 Novellus Systems, Inc. Apparatus and method for delivering uniform fluid flow in a chemical deposition system
JP2010506408A (ja) 2006-10-05 2010-02-25 エーエスエム アメリカ インコーポレイテッド 金属シリケート膜のald
US7521379B2 (en) 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US8268409B2 (en) * 2006-10-25 2012-09-18 Asm America, Inc. Plasma-enhanced deposition of metal carbide films
US20080176149A1 (en) 2006-10-30 2008-07-24 Applied Materials, Inc. Endpoint detection for photomask etching
US10037905B2 (en) * 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US7851232B2 (en) * 2006-10-30 2010-12-14 Novellus Systems, Inc. UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
US20100267231A1 (en) * 2006-10-30 2010-10-21 Van Schravendijk Bart Apparatus for uv damage repair of low k films prior to copper barrier deposition
US8465991B2 (en) 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US7775508B2 (en) 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US20080124484A1 (en) * 2006-11-08 2008-05-29 Asm Japan K.K. Method of forming ru film and metal wiring structure
US20080145536A1 (en) * 2006-12-13 2008-06-19 Applied Materials, Inc. METHOD AND APPARATUS FOR LOW TEMPERATURE AND LOW K SiBN DEPOSITION
US7993457B1 (en) 2007-01-23 2011-08-09 Novellus Systems, Inc. Deposition sub-chamber with variable flow
US20080206987A1 (en) * 2007-01-29 2008-08-28 Gelatos Avgerinos V Process for tungsten nitride deposition by a temperature controlled lid assembly
KR100846393B1 (ko) * 2007-03-30 2008-07-15 주식회사 하이닉스반도체 반도체 소자의 트랜지스터 및 그 제조 방법
US8242028B1 (en) 2007-04-03 2012-08-14 Novellus Systems, Inc. UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement
DE102007018013A1 (de) * 2007-04-17 2008-10-23 Qimonda Ag Dielektrische Schicht sowie Verfahren zur Herstellung einer dielektrischen Schicht
US7713874B2 (en) * 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process
CN101680086B (zh) * 2007-05-21 2012-05-23 乔治洛德方法研究和开发液化空气有限公司 用于半导体领域的新型金属前体
KR20100017171A (ko) * 2007-05-21 2010-02-16 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 반도체 적용을 위한 신규 코발트 전구체
US7939932B2 (en) * 2007-06-20 2011-05-10 Analog Devices, Inc. Packaged chip devices with atomic layer deposition protective films
US7638170B2 (en) 2007-06-21 2009-12-29 Asm International N.V. Low resistivity metal carbonitride thin film deposition by atomic layer deposition
US8017182B2 (en) * 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
US7718525B2 (en) 2007-06-29 2010-05-18 International Business Machines Corporation Metal interconnect forming methods and IC chip including metal interconnect
JP4476313B2 (ja) * 2007-07-25 2010-06-09 東京エレクトロン株式会社 成膜方法、成膜装置、および記憶媒体
US20090035946A1 (en) * 2007-07-31 2009-02-05 Asm International N.V. In situ deposition of different metal-containing films using cyclopentadienyl metal precursors
US7998820B2 (en) * 2007-08-07 2011-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. High-k gate dielectric and method of manufacture
US8110490B2 (en) * 2007-08-15 2012-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Gate oxide leakage reduction
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
US7759199B2 (en) 2007-09-19 2010-07-20 Asm America, Inc. Stressor for engineered strain on channel
US7678298B2 (en) 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US20090087339A1 (en) * 2007-09-28 2009-04-02 Asm Japan K.K. METHOD FOR FORMING RUTHENIUM COMPLEX FILM USING Beta-DIKETONE-COORDINATED RUTHENIUM PRECURSOR
US7824743B2 (en) 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
KR101544198B1 (ko) * 2007-10-17 2015-08-12 한국에이에스엠지니텍 주식회사 루테늄 막 형성 방법
US7655564B2 (en) 2007-12-12 2010-02-02 Asm Japan, K.K. Method for forming Ta-Ru liner layer for Cu wiring
KR20090067505A (ko) * 2007-12-21 2009-06-25 에이에스엠지니텍코리아 주식회사 루테늄막 증착 방법
US7799674B2 (en) 2008-02-19 2010-09-21 Asm Japan K.K. Ruthenium alloy film for copper interconnects
US7767572B2 (en) * 2008-02-21 2010-08-03 Applied Materials, Inc. Methods of forming a barrier layer in an interconnect structure
US7741183B2 (en) * 2008-02-28 2010-06-22 Freescale Semiconductor, Inc. Method of forming a gate dielectric
US7618893B2 (en) * 2008-03-04 2009-11-17 Applied Materials, Inc. Methods of forming a layer for barrier applications in an interconnect structure
US7993462B2 (en) 2008-03-19 2011-08-09 Asm Japan K.K. Substrate-supporting device having continuous concavity
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
JP5306691B2 (ja) * 2008-04-01 2013-10-02 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP2009253195A (ja) * 2008-04-10 2009-10-29 Toshiba Corp 半導体装置の製造方法、及び半導体装置
WO2009129332A2 (en) * 2008-04-16 2009-10-22 Asm America, Inc. Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
EP2860279A1 (de) * 2008-04-25 2015-04-15 ASM International N.V. Synthese von Vorläufern für Atomlagenabscheidung von Tellur- und Selendünnschichten
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
US7666474B2 (en) 2008-05-07 2010-02-23 Asm America, Inc. Plasma-enhanced pulsed deposition of metal carbide films
US8945675B2 (en) 2008-05-29 2015-02-03 Asm International N.V. Methods for forming conductive titanium oxide thin films
US8298628B2 (en) * 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
US7833891B2 (en) * 2008-07-23 2010-11-16 International Business Machines Corporation Semiconductor device manufacturing method using oxygen diffusion barrier layer between buried oxide layer and high K dielectric layer
KR101451716B1 (ko) * 2008-08-11 2014-10-16 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 장치
US8084104B2 (en) 2008-08-29 2011-12-27 Asm Japan K.K. Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
KR102246123B1 (ko) 2008-09-19 2021-04-30 가부시키가이샤 한도오따이 에네루기 켄큐쇼 표시 장치
JP5233562B2 (ja) * 2008-10-04 2013-07-10 東京エレクトロン株式会社 成膜方法及び成膜装置
US8133555B2 (en) 2008-10-14 2012-03-13 Asm Japan K.K. Method for forming metal film by ALD using beta-diketone metal complex
JP5665289B2 (ja) * 2008-10-29 2015-02-04 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100136313A1 (en) * 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
US20100151676A1 (en) * 2008-12-16 2010-06-17 Applied Materials, Inc. Densification process for titanium nitride layer for submicron applications
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US8557702B2 (en) 2009-02-02 2013-10-15 Asm America, Inc. Plasma-enhanced atomic layers deposition of conductive material over dielectric layers
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8227340B2 (en) * 2009-04-30 2012-07-24 Infineon Technologies Ag Method for producing a copper connection between two sides of a substrate
US20110020546A1 (en) * 2009-05-15 2011-01-27 Asm International N.V. Low Temperature ALD of Noble Metals
TWI385270B (zh) * 2009-06-02 2013-02-11 Air Prod & Chem 含矽膜的低溫沉積方法
US8329569B2 (en) 2009-07-31 2012-12-11 Asm America, Inc. Deposition of ruthenium or ruthenium dioxide
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10513772B2 (en) 2009-10-20 2019-12-24 Asm International N.V. Process for passivating dielectric films
US8105956B2 (en) 2009-10-20 2012-01-31 Micron Technology, Inc. Methods of forming silicon oxides and methods of forming interlevel dielectrics
WO2011056519A2 (en) 2009-10-26 2011-05-12 Asm International N.V. Synthesis and use of precursors for ald of group va element containing thin films
JP5346904B2 (ja) 2009-11-27 2013-11-20 東京エレクトロン株式会社 縦型成膜装置およびその使用方法
JP5250600B2 (ja) * 2009-11-27 2013-07-31 東京エレクトロン株式会社 成膜方法および成膜装置
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US8778204B2 (en) 2010-10-29 2014-07-15 Applied Materials, Inc. Methods for reducing photoresist interference when monitoring a target layer in a plasma process
JP5722008B2 (ja) 2010-11-24 2015-05-20 株式会社日立国際電気 半導体デバイスの製造方法、半導体デバイス及び基板処理装置
US8642473B2 (en) 2011-03-04 2014-02-04 Applied Materials, Inc. Methods for contact clean
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US8912096B2 (en) 2011-04-28 2014-12-16 Applied Materials, Inc. Methods for precleaning a substrate prior to metal silicide fabrication process
US8809170B2 (en) 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9218961B2 (en) 2011-09-19 2015-12-22 Applied Materials, Inc. Methods of forming a metal containing layer on a substrate with high uniformity and good profile control
US8961804B2 (en) 2011-10-25 2015-02-24 Applied Materials, Inc. Etch rate detection for photomask etching
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8808559B2 (en) 2011-11-22 2014-08-19 Applied Materials, Inc. Etch rate detection for reflective multi-material layers etching
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US8927423B2 (en) 2011-12-16 2015-01-06 Applied Materials, Inc. Methods for annealing a contact metal layer to form a metal silicidation layer
US8900469B2 (en) 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8586479B2 (en) 2012-01-23 2013-11-19 Applied Materials, Inc. Methods for forming a contact metal layer in semiconductor devices
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US9330939B2 (en) 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US20130277765A1 (en) * 2012-04-23 2013-10-24 Globalfoundries Inc. Semiconductor device including graded gate stack, related method and design structure
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
WO2013177326A1 (en) 2012-05-25 2013-11-28 Advanced Technology Materials, Inc. Silicon precursors for low temperature ald of silicon-based thin-films
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
KR20150029000A (ko) * 2012-06-29 2015-03-17 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9805939B2 (en) 2012-10-12 2017-10-31 Applied Materials, Inc. Dual endpoint detection for advanced phase shift and binary photomasks
US8729702B1 (en) * 2012-11-20 2014-05-20 Stmicroelectronics, Inc. Copper seed layer for an interconnect structure having a doping concentration level gradient
WO2014083240A1 (en) * 2012-11-29 2014-06-05 Beneq Oy A method for fabricating a structure comprising a passivation layer on a surface of a substrate
US8778574B2 (en) 2012-11-30 2014-07-15 Applied Materials, Inc. Method for etching EUV material layers utilized to form a photomask
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9171960B2 (en) 2013-01-25 2015-10-27 Qualcomm Mems Technologies, Inc. Metal oxide layer composition control by atomic layer deposition for thin film transistor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
EP2770526B1 (de) 2013-02-22 2018-10-03 IMEC vzw Sauerstoff-Monoschicht auf einem Halbleiter
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US20140252619A1 (en) * 2013-03-08 2014-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure that avoids insulating layer damage and methods of making the same
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9412602B2 (en) 2013-03-13 2016-08-09 Asm Ip Holding B.V. Deposition of smooth metal nitride films
US8841182B1 (en) 2013-03-14 2014-09-23 Asm Ip Holding B.V. Silane and borane treatments for titanium carbide films
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US9353439B2 (en) 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
JP2015002195A (ja) * 2013-06-13 2015-01-05 株式会社東芝 半導体記憶装置
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9543163B2 (en) 2013-08-20 2017-01-10 Applied Materials, Inc. Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
CN105556676B (zh) * 2013-09-27 2019-03-19 英特尔公司 具有ⅲ-ⅴ族材料有源区和渐变栅极电介质的半导体器件
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
KR102263554B1 (ko) 2013-09-27 2021-06-09 어플라이드 머티어리얼스, 인코포레이티드 심리스 코발트 갭-충전을 가능하게 하는 방법
US9012278B2 (en) 2013-10-03 2015-04-21 Asm Ip Holding B.V. Method of making a wire-based semiconductor device
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US20150125628A1 (en) * 2013-11-06 2015-05-07 Asm Ip Holding B.V. Method of depositing thin film
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
JP6577695B2 (ja) * 2013-12-18 2019-09-18 大陽日酸株式会社 シリコン窒化膜の形成方法
US20150176122A1 (en) * 2013-12-20 2015-06-25 Intermolecular Inc. Low-temperature growth of complex compound films
US9394609B2 (en) 2014-02-13 2016-07-19 Asm Ip Holding B.V. Atomic layer deposition of aluminum fluoride thin films
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9508561B2 (en) 2014-03-11 2016-11-29 Applied Materials, Inc. Methods for forming interconnection structures in an integrated cluster system for semicondcutor applications
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
JP6225837B2 (ja) 2014-06-04 2017-11-08 東京エレクトロン株式会社 成膜装置、成膜方法、記憶媒体
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9528185B2 (en) 2014-08-22 2016-12-27 Applied Materials, Inc. Plasma uniformity control by arrays of unit cell plasmas
US9978607B2 (en) * 2014-09-05 2018-05-22 Taiwan Semiconductor Manufacturing Company, Ltd. Through via structure and method
US9214333B1 (en) * 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102216575B1 (ko) 2014-10-23 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 티타늄 알루미늄 및 탄탈륨 알루미늄 박막들
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
JP6354539B2 (ja) * 2014-11-25 2018-07-11 東京エレクトロン株式会社 基板処理装置、基板処理方法、記憶媒体
KR20160071947A (ko) * 2014-12-12 2016-06-22 에스케이하이닉스 주식회사 반도체 장치 및 그 제조방법
US10100407B2 (en) * 2014-12-19 2018-10-16 Lam Research Corporation Hardware and process for film uniformity improvement
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
KR102323248B1 (ko) * 2015-03-25 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9540729B1 (en) 2015-08-25 2017-01-10 Asm Ip Holding B.V. Deposition of titanium nanolaminates for use in integrated circuit fabrication
US9523148B1 (en) 2015-08-25 2016-12-20 Asm Ip Holdings B.V. Process for deposition of titanium oxynitride for use in integrated circuit fabrication
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9607842B1 (en) 2015-10-02 2017-03-28 Asm Ip Holding B.V. Methods of forming metal silicides
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US9941425B2 (en) 2015-10-16 2018-04-10 Asm Ip Holdings B.V. Photoactive devices and materials
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
WO2017150212A1 (ja) * 2016-03-01 2017-09-08 宇部興産株式会社 酸化アルミニウム膜の製造方法及び酸化アルミニウム膜の製造原料
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
JP6548086B2 (ja) * 2016-05-17 2019-07-24 株式会社フィルテック 膜形成方法
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
WO2018063815A1 (en) * 2016-10-02 2018-04-05 Applied Materials, Inc. Doped selective metal caps to improve copper electromigration with ruthenium liner
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
WO2018118082A1 (en) * 2016-12-23 2018-06-28 Intel Corporation Graphitic liners for integrated circuit devices
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
JP6814057B2 (ja) * 2017-01-27 2021-01-13 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
JP7249952B2 (ja) 2017-05-05 2023-03-31 エーエスエム アイピー ホールディング ビー.ブイ. 酸素含有薄膜の制御された形成のためのプラズマ増強堆積プロセス
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10199267B2 (en) * 2017-06-30 2019-02-05 Lam Research Corporation Tungsten nitride barrier layer deposition
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
CN111095513B (zh) 2017-08-18 2023-10-31 应用材料公司 高压高温退火腔室
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10294564B2 (en) * 2017-08-28 2019-05-21 Uchicago Argonne, Llc Method of creating boron comprising layer
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
TWI773839B (zh) * 2017-10-14 2022-08-11 美商應用材料股份有限公司 用於beol 互連的ald 銅與高溫pvd 銅沉積的集成
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
EP4321649A2 (de) 2017-11-11 2024-02-14 Micromaterials LLC Gaszufuhrsystem für hochdruckverarbeitungskammer
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
JP2021503714A (ja) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧処理システムのためのコンデンサシステム
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
TWI761636B (zh) 2017-12-04 2022-04-21 荷蘭商Asm Ip控股公司 電漿增強型原子層沉積製程及沉積碳氧化矽薄膜的方法
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11758716B2 (en) 2018-09-05 2023-09-12 Micron Technology, Inc. Electronic devices including vertical memory cells and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11251261B2 (en) * 2019-05-17 2022-02-15 Micron Technology, Inc. Forming a barrier material on an electrode
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
US11094598B2 (en) * 2019-07-11 2021-08-17 Globalfoundries U.S. Inc. Multiple threshold voltage devices
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11946139B2 (en) 2020-09-30 2024-04-02 Uchicago Argonne, Llc Atomic layer deposition of lithium boron comprising nanocomposite solid electrolytes
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
KR20220085674A (ko) * 2020-12-15 2022-06-22 주식회사 원익아이피에스 박막 형성 방법 및 장치
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114411119B (zh) * 2022-01-07 2022-10-14 大连理工大学 一种渐变彩虹色碳纤维材料及其制备方法
US20230387190A1 (en) * 2022-05-30 2023-11-30 Taiwan Semiconductor Manufacturing Company Ltd. Multilayer structure, capacitor structure and electronic device

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
FI64878C (fi) 1982-05-10 1984-01-10 Lohja Ab Oy Kombinationsfilm foer isynnerhet tunnfilmelektroluminensstrukturer
US5294286A (en) * 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
US5769950A (en) 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
US4747367A (en) 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
US4761269A (en) 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US5158653A (en) 1988-09-26 1992-10-27 Lashmore David S Method for production of predetermined concentration graded alloys
US5071670A (en) 1990-06-11 1991-12-10 Kelly Michael A Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means
JPH05313193A (ja) * 1992-05-12 1993-11-26 Fujitsu Ltd 薄膜トランジスタマトリックス装置とその製造方法
US5306666A (en) 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
JPH06232128A (ja) * 1993-02-08 1994-08-19 Hitachi Ltd 半導体装置およびその製造方法
US5449314A (en) * 1994-04-25 1995-09-12 Micron Technology, Inc. Method of chimical mechanical polishing for dielectric layers
US5795495A (en) * 1994-04-25 1998-08-18 Micron Technology, Inc. Method of chemical mechanical polishing for dielectric layers
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
JP3277193B2 (ja) * 1997-03-13 2002-04-22 三菱電機株式会社 半導体装置及び半導体装置の製造方法
US6723621B1 (en) * 1997-06-30 2004-04-20 International Business Machines Corporation Abrupt delta-like doping in Si and SiGe films by UHV-CVD
KR100269328B1 (ko) 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
KR100319571B1 (ko) * 1998-03-12 2002-01-09 루센트 테크놀러지스 인크 도프된 금속 산화물 유전물질들을 가진 전자 소자들과 도프된 금속 산화물 유전물질들을 가진 전자 소자들을 만드는 과정
US6399522B1 (en) * 1998-05-11 2002-06-04 Taiwan Semiconductor Manufacturing Company PE-silane oxide particle performance improvement
US6461675B2 (en) 1998-07-10 2002-10-08 Cvc Products, Inc. Method for forming a copper film on a substrate
KR100275738B1 (ko) * 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6362526B1 (en) 1998-10-08 2002-03-26 Advanced Micro Devices, Inc. Alloy barrier layers for semiconductors
US6294836B1 (en) 1998-12-22 2001-09-25 Cvc Products Inc. Semiconductor chip interconnect barrier material and fabrication method
US6174799B1 (en) 1999-01-05 2001-01-16 Advanced Micro Devices, Inc. Graded compound seed layers for semiconductors
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6331484B1 (en) 1999-03-29 2001-12-18 Lucent Technologies, Inc. Titanium-tantalum barrier layer film and method for forming the same
KR100363084B1 (ko) * 1999-10-19 2002-11-30 삼성전자 주식회사 박막 구조를 위한 다중막을 포함하는 커패시터 및 그 제조 방법
US6329704B1 (en) * 1999-12-09 2001-12-11 International Business Machines Corporation Ultra-shallow junction dopant layer having a peak concentration within a dielectric layer
EP1266054B1 (de) 2000-03-07 2006-12-20 Asm International N.V. Gradierte dünne schichten
WO2001099166A1 (en) 2000-06-08 2001-12-27 Genitech Inc. Thin film forming method
US6368954B1 (en) 2000-07-28 2002-04-09 Advanced Micro Devices, Inc. Method of copper interconnect formation using atomic layer copper deposition
KR100768175B1 (ko) 2001-02-07 2007-10-17 삼성에스디아이 주식회사 광학적 전기적 특성을 지닌 기능성 박막
KR100768176B1 (ko) 2001-02-07 2007-10-17 삼성에스디아이 주식회사 광학적 전기적 특성을 지닌 기능성 박막
KR100708640B1 (ko) 2001-02-07 2007-04-18 삼성에스디아이 주식회사 광학적 전기적 특성을 지닌 기능성 박막
US6787912B2 (en) 2002-04-26 2004-09-07 International Business Machines Corporation Barrier material for copper structures

Also Published As

Publication number Publication date
EP1266054A2 (de) 2002-12-18
AU2001245388A1 (en) 2001-09-17
WO2001066832A3 (en) 2002-02-14
JP5016767B2 (ja) 2012-09-05
EP1266054B1 (de) 2006-12-20
US20030032281A1 (en) 2003-02-13
US20010041250A1 (en) 2001-11-15
KR100803770B1 (ko) 2008-02-15
US6933225B2 (en) 2005-08-23
US6703708B2 (en) 2004-03-09
JP2003526218A (ja) 2003-09-02
US20030129826A1 (en) 2003-07-10
WO2001066832A2 (en) 2001-09-13
DE60125338D1 (de) 2007-02-01
KR20020086614A (ko) 2002-11-18
US6534395B2 (en) 2003-03-18
TW490739B (en) 2002-06-11

Similar Documents

Publication Publication Date Title
DE60125338T2 (de) Gradierte dünne schichten
DE60211940T2 (de) Integration von stickstoff in einen dielektrischen film mit hohem k
US7419903B2 (en) Thin films
US20220328318A1 (en) Deposition method
DE60028394T2 (de) Konforme auskleidungsschichten für damaszenmetallisierungen
DE69833140T2 (de) Abscheidung einer Diffusionsbarriereschicht
KR20190024834A (ko) 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20190024823A (ko) 기판의 유전체 표면 상에 몰리브덴 금속막을 증착하는 방법 및 이와 관련된 반도체 소자 구조
KR20190024841A (ko) 주기적 증착 공정에 의하여 유전체 표면 위에 몰리브덴 금속막을 증착하는 방법 및 이와 관련된 반도체 소자 구조
KR20190113580A (ko) 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
DE10393738T5 (de) Abdichtung poröser Strukturen
GB2332980A (en) A method for forming a conductive layer on a semiconductor substrate
US7687349B2 (en) Growth of silicon nanodots having a metallic coating using gaseous precursors
DE102019116992A1 (de) Bildungs- und in-situ-ätzprozesse für metallschichten
DE69931656T2 (de) VERFAHREN ZUR HERSTELLUNG EINER SiON/SiO2 DIELEKTRISCHEN ZWISCHENSCHICHT MIT EINER NACHBEHANDLUNG DER CVD SILIZIUM OXYNITRIDSCHICHT
US6726955B1 (en) Method of controlling the crystal structure of polycrystalline silicon
US5583078A (en) Method for fabricating a planar dielectric
DE19653493B4 (de) Verfahren zur Ausbildung einer integrierten Schaltungseinrichtung mit Titansilicidschicht, integrierte Schaltungseinrichtung mit Titansilicidschicht und Vorrichtung zur Erzeugung derselben
KR0161889B1 (ko) 반도체장치의 배선 형성방법

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee