DE602004032117D1 - Verfahren zum erkennen von überlagerungsfehlern mittels scatterometrie - Google Patents

Verfahren zum erkennen von überlagerungsfehlern mittels scatterometrie

Info

Publication number
DE602004032117D1
DE602004032117D1 DE602004032117T DE602004032117T DE602004032117D1 DE 602004032117 D1 DE602004032117 D1 DE 602004032117D1 DE 602004032117 T DE602004032117 T DE 602004032117T DE 602004032117 T DE602004032117 T DE 602004032117T DE 602004032117 D1 DE602004032117 D1 DE 602004032117D1
Authority
DE
Germany
Prior art keywords
target
structures
targets
determining
diffraction order
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE602004032117T
Other languages
English (en)
Inventor
Walter D Mieher
Ady Levy
Boris Golovanevsky
Michael Friedmann
Ian Smith
Michael Adel
Anatoly Fabrikant
Christopher F Bevis
John Fielden
Noah Bareket
Kenneth P Gross
Piotr Zalicki
Dan Wack
Paola Dececco
Mark Ghinovker
Noam Knoll
Baruch Moshe
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Tencor Technologies Corp
Original Assignee
KLA Tencor Technologies Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Tencor Technologies Corp filed Critical KLA Tencor Technologies Corp
Publication of DE602004032117D1 publication Critical patent/DE602004032117D1/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7088Alignment mark detection, e.g. TTR, TTL, off-axis detection, array detector, video detection
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70681Metrology strategies
    • G03F7/70683Mark designs
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7049Technique, e.g. interferometric
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7073Alignment marks and their environment
    • G03F9/7084Position of mark on substrate, i.e. position in (x, y, z) of mark, e.g. buried or resist covered mark, mark on rearside, at the substrate edge, in the circuit area, latent image mark, marks in plural levels
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/21Polarisation-affecting properties
    • G01N21/211Ellipsometry
    • G01N2021/213Spectrometric ellipsometry
DE602004032117T 2003-02-22 2004-02-23 Verfahren zum erkennen von überlagerungsfehlern mittels scatterometrie Expired - Lifetime DE602004032117D1 (de)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US44949603P 2003-02-22 2003-02-22
US49852403P 2003-08-27 2003-08-27
US50409303P 2003-09-19 2003-09-19
US10/729,838 US7317531B2 (en) 2002-12-05 2003-12-05 Apparatus and methods for detecting overlay errors using scatterometry
PCT/US2004/005419 WO2004076963A2 (en) 2003-02-22 2004-02-23 Apparatus and method for detecting overlay errors using scatterometry

Publications (1)

Publication Number Publication Date
DE602004032117D1 true DE602004032117D1 (de) 2011-05-19

Family

ID=32931583

Family Applications (1)

Application Number Title Priority Date Filing Date
DE602004032117T Expired - Lifetime DE602004032117D1 (de) 2003-02-22 2004-02-23 Verfahren zum erkennen von überlagerungsfehlern mittels scatterometrie

Country Status (6)

Country Link
US (13) US7317531B2 (de)
EP (1) EP1601931B1 (de)
JP (9) JP4789798B2 (de)
AT (1) ATE504862T1 (de)
DE (1) DE602004032117D1 (de)
WO (1) WO2004076963A2 (de)

Families Citing this family (346)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6483580B1 (en) 1998-03-06 2002-11-19 Kla-Tencor Technologies Corporation Spectroscopic scatterometer system
US7317531B2 (en) * 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US7068833B1 (en) * 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
IL138552A (en) 2000-09-19 2006-08-01 Nova Measuring Instr Ltd Measurement of transverse displacement by optical method
US6743646B2 (en) * 2001-10-22 2004-06-01 Timbre Technologies, Inc. Balancing planarization of layers and the effect of underlying structure on the metrology signal
US7170604B2 (en) * 2002-07-03 2007-01-30 Tokyo Electron Limited Overlay metrology method and apparatus using more than one grating per measurement direction
US7046376B2 (en) * 2002-07-05 2006-05-16 Therma-Wave, Inc. Overlay targets with isolated, critical-dimension features and apparatus to measure overlay
US7139081B2 (en) * 2002-09-09 2006-11-21 Zygo Corporation Interferometry method for ellipsometry, reflectometry, and scatterometry measurements, including characterization of thin film structures
US7869057B2 (en) 2002-09-09 2011-01-11 Zygo Corporation Multiple-angle multiple-wavelength interferometer using high-NA imaging and spectral analysis
US7440105B2 (en) * 2002-12-05 2008-10-21 Kla-Tencor Technologies Corporation Continuously varying offset mark and methods of determining overlay
US8564780B2 (en) 2003-01-16 2013-10-22 Jordan Valley Semiconductors Ltd. Method and system for using reflectometry below deep ultra-violet (DUV) wavelengths for measuring properties of diffracting or scattering structures on substrate work pieces
US7126131B2 (en) 2003-01-16 2006-10-24 Metrosol, Inc. Broad band referencing reflectometer
US20080246951A1 (en) * 2007-04-09 2008-10-09 Phillip Walsh Method and system for using reflectometry below deep ultra-violet (DUV) wavelengths for measuring properties of diffracting or scattering structures on substrate work-pieces
US7352453B2 (en) * 2003-01-17 2008-04-01 Kla-Tencor Technologies Corporation Method for process optimization and control by comparison between 2 or more measured scatterometry signals
US7324214B2 (en) 2003-03-06 2008-01-29 Zygo Corporation Interferometer and method for measuring characteristics of optically unresolved surface features
EP1477857A1 (de) * 2003-05-13 2004-11-17 ASML Netherlands B.V. Verfahren zum Charakterisieren eines Verfahrensschritts und Verfahren zur Herstellung einer Vorrichtung
JP4481109B2 (ja) * 2003-08-26 2010-06-16 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィック装置、デバイス製造方法及びコンピュータ・プログラム
TWI334921B (en) * 2003-09-15 2010-12-21 Zygo Corp Surface profiling using an interference pattern matching template
US7508976B1 (en) * 2003-12-29 2009-03-24 Nanometric Incorporated Local process variation correction for overlay measurement
US7301646B2 (en) * 2004-01-21 2007-11-27 Carl Zeiss Smt Ag Device and method for the determination of imaging errors and microlithography projection exposure system
JP4734261B2 (ja) * 2004-02-18 2011-07-27 ケーエルエー−テンカー コーポレイション 連続変化するオフセットマークと、オーバレイ決定方法
US7019835B2 (en) * 2004-02-19 2006-03-28 Molecular Imprints, Inc. Method and system to measure characteristics of a film disposed on a substrate
US7065737B2 (en) * 2004-03-01 2006-06-20 Advanced Micro Devices, Inc Multi-layer overlay measurement and correction technique for IC manufacturing
DE102004012125B3 (de) * 2004-03-12 2005-09-01 Nanofilm Technologie Gmbh Ellipsometrisches Messverfahren mit ROI-gestützter Bildkorrektur
US7435074B2 (en) * 2004-03-13 2008-10-14 International Business Machines Corporation Method for fabricating dual damascence structures using photo-imprint lithography, methods for fabricating imprint lithography molds for dual damascene structures, materials for imprintable dielectrics and equipment for photo-imprint lithography used in dual damascence patterning
US7239389B2 (en) * 2004-07-29 2007-07-03 Applied Materials, Israel, Ltd. Determination of irradiation parameters for inspection of a surface
US7804059B2 (en) 2004-08-11 2010-09-28 Jordan Valley Semiconductors Ltd. Method and apparatus for accurate calibration of VUV reflectometer
US7791727B2 (en) * 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US20080144036A1 (en) * 2006-12-19 2008-06-19 Asml Netherlands B.V. Method of measurement, an inspection apparatus and a lithographic apparatus
US20060061743A1 (en) * 2004-09-22 2006-03-23 Asml Netherlands B.V. Lithographic apparatus, alignment system, and device manufacturing method
US7541121B2 (en) * 2004-10-13 2009-06-02 Infineon Technologies Ag Calibration of optical line shortening measurements
US7630067B2 (en) * 2004-11-30 2009-12-08 Molecular Imprints, Inc. Interferometric analysis method for the manufacture of nano-scale devices
US20060117293A1 (en) * 2004-11-30 2006-06-01 Nigel Smith Method for designing an overlay mark
US20070231421A1 (en) * 2006-04-03 2007-10-04 Molecular Imprints, Inc. Enhanced Multi Channel Alignment
DE102004062256A1 (de) * 2004-12-23 2006-07-13 Basf Ag Hochempfindliches Verfahren zur Detektion von Unterschieden zwischen den physikalisch messbaren Eigenschaften einer Probe und einer Referenz
US7515253B2 (en) * 2005-01-12 2009-04-07 Kla-Tencor Technologies Corporation System for measuring a sample with a layer containing a periodic diffracting structure
US7428057B2 (en) 2005-01-20 2008-09-23 Zygo Corporation Interferometer for determining characteristics of an object surface, including processing and calibration
US7884947B2 (en) 2005-01-20 2011-02-08 Zygo Corporation Interferometry for determining characteristics of an object surface, with spatially coherent illumination
US20060164649A1 (en) * 2005-01-24 2006-07-27 Eliezer Rosengaus Multi-spectral techniques for defocus detection
JP4624170B2 (ja) * 2005-04-25 2011-02-02 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US7277172B2 (en) * 2005-06-06 2007-10-02 Kla-Tencor Technologies, Corporation Measuring overlay and profile asymmetry using symmetric and anti-symmetric scatterometry signals
US7629259B2 (en) * 2005-06-21 2009-12-08 Lam Research Corporation Method of aligning a reticle for formation of semiconductor devices
DE102005037531A1 (de) * 2005-08-09 2007-02-15 Leica Microsystems Cms Gmbh Verfahren und Vorrichtung zur Reduzierung systematischer Messfehler bei der mikroskopischen Untersuchung von Objekten
US7687925B2 (en) * 2005-09-07 2010-03-30 Infineon Technologies Ag Alignment marks for polarized light lithography and method for use thereof
US7659975B1 (en) * 2005-09-21 2010-02-09 Kla-Tencor Technologies Corp. Methods and systems for inspection of a wafer or setting up an inspection process
JP2009509156A (ja) * 2005-09-21 2009-03-05 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 物体の運動を検出するシステム
DE102005046973B4 (de) * 2005-09-30 2014-01-30 Globalfoundries Inc. Struktur und Verfahren zum gleichzeitigen Bestimmen einer Überlagerungsgenauigkeit und eines Musteranordnungsfehlers
US7526749B2 (en) * 2005-10-31 2009-04-28 Kla-Tencor Technologies Corporation Methods and apparatus for designing and using micro-targets in overlay metrology
US7684039B2 (en) * 2005-11-18 2010-03-23 Kla-Tencor Technologies Corporation Overlay metrology using the near infra-red spectral range
US7440094B2 (en) * 2005-11-30 2008-10-21 Wafermasters Incorporated Optical sample characterization system
US7522263B2 (en) * 2005-12-27 2009-04-21 Asml Netherlands B.V. Lithographic apparatus and method
US20070146658A1 (en) * 2005-12-27 2007-06-28 Asml Netherlands B.V. Lithographic apparatus and method
US7561282B1 (en) 2006-03-27 2009-07-14 Kla-Tencor Technologies Corporation Techniques for determining overlay and critical dimension using a single metrology tool
US7532305B2 (en) * 2006-03-28 2009-05-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using overlay measurement
US7522293B2 (en) * 2006-03-30 2009-04-21 Tokyo Electron Limited Optical metrology of multiple patterned layers
US8908175B1 (en) 2006-03-31 2014-12-09 Kla-Tencor Corporation Flexible scatterometry metrology system and method
US7616313B2 (en) * 2006-03-31 2009-11-10 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7415319B2 (en) * 2006-04-04 2008-08-19 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
AU2007235239B2 (en) * 2006-04-07 2013-01-17 Amo Wavefront Sciences, Llc Geometric measurement system and method of measuring a geometric characteristic of an object
JP4795300B2 (ja) * 2006-04-18 2011-10-19 キヤノン株式会社 位置合わせ方法、インプリント方法、位置合わせ装置、インプリント装置、及び位置計測方法
US7656529B1 (en) 2006-05-30 2010-02-02 Mehrdad Nikoonahad Overlay error measurement using fourier optics
US7528941B2 (en) * 2006-06-01 2009-05-05 Kla-Tencor Technolgies Corporation Order selected overlay metrology
US7898662B2 (en) * 2006-06-20 2011-03-01 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
KR100742982B1 (ko) * 2006-06-22 2007-07-26 케이맥(주) 초점 타원계측기
US7659988B2 (en) * 2006-06-30 2010-02-09 Asml Netherlands B.V. Apparatus for angular-resolved spectroscopic lithography characterization and device manufacturing method
US7999940B2 (en) * 2006-06-30 2011-08-16 Asml Netherlands B.V. Apparatus for angular-resolved spectroscopic lithography characterization
FI20060715A0 (fi) * 2006-08-03 2006-08-03 Chun Ye Menetelmä ja kokoonpano erityisesti koskemattomien massakuitujen mittaamiseksi
US20080036984A1 (en) * 2006-08-08 2008-02-14 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7564555B2 (en) * 2006-08-15 2009-07-21 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
WO2008087485A2 (en) * 2006-09-14 2008-07-24 Tessera Technologies Hungary Kft. Imaging system with relaxed assembly tolerances and associated methods
WO2008087486A2 (en) * 2006-09-14 2008-07-24 Tessera Technologies Hungary Kft. Imaging system with improved image quality and associated methods
US7571422B2 (en) * 2006-09-21 2009-08-04 Kla-Tencor Technologies Corporation Method for generating a design rule map having spatially varying overlay budget
US7522295B2 (en) * 2006-11-07 2009-04-21 Tokyo Electron Limited Consecutive measurement of structures formed on a semiconductor wafer using a polarized reflectometer
US20080129986A1 (en) 2006-11-30 2008-06-05 Phillip Walsh Method and apparatus for optically measuring periodic structures using orthogonal azimuthal sample orientations
JP5502491B2 (ja) 2006-12-22 2014-05-28 ザイゴ コーポレーション 表面特徴の特性測定のための装置および方法
US7889355B2 (en) 2007-01-31 2011-02-15 Zygo Corporation Interferometry for lateral metrology
US7724370B2 (en) * 2007-03-01 2010-05-25 Asml Netherlands B.V. Method of inspection, a method of manufacturing, an inspection apparatus, a substrate, a mask, a lithography apparatus and a lithographic cell
US7764387B2 (en) * 2007-03-02 2010-07-27 Applied Kinetics, Inc. Apparatus and method for measuring suspension and head assemblies in a stack
KR100890288B1 (ko) * 2007-03-08 2009-03-26 삼성전기주식회사 회절형 광변조기에 있어서 반사부의 변위 변화량 보정 장치
US7858404B2 (en) * 2007-03-14 2010-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. Measurement of overlay offset in semiconductor processing
US7570358B2 (en) * 2007-03-30 2009-08-04 Asml Netherlands Bv Angularly resolved scatterometer, inspection method, lithographic apparatus, lithographic processing cell device manufacturing method and alignment sensor
US7911612B2 (en) * 2007-06-13 2011-03-22 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US8004678B2 (en) * 2007-06-26 2011-08-23 Intel Corporation Wafer level alignment structures using subwavelength grating polarizers
TWI416096B (zh) 2007-07-11 2013-11-21 Nova Measuring Instr Ltd 用於監控圖案化結構的性質之方法及系統
US7808638B2 (en) * 2007-07-13 2010-10-05 Kla-Tencor Corporation Scatterometry target and method
TWI407853B (zh) * 2007-07-22 2013-09-01 Camtek Ltd 用於控制製造程序的方法及系統
US7884936B2 (en) * 2007-08-22 2011-02-08 Kla-Tencor Corporation Apparatus and methods for scattering-based semiconductor inspection and metrology
US20090053834A1 (en) * 2007-08-23 2009-02-26 Vladimir Alexeevich Ukraintsev Use of scatterometry for in-line detection of poly-si strings left in sti divot after gate etch
US7627392B2 (en) * 2007-08-30 2009-12-01 Tokyo Electron Limited Automated process control using parameters determined with approximation and fine diffraction models
US7998826B2 (en) * 2007-09-07 2011-08-16 Macronix International Co., Ltd. Method of forming mark in IC-fabricating process
SG152147A1 (en) * 2007-10-09 2009-05-29 Asml Netherlands Bv Alignment method and apparatus, lithographic apparatus, metrology apparatus and device manufacturing method
NL1036032A1 (nl) * 2007-10-10 2009-04-15 Asml Netherlands Bv Overlay measurement on double patterning substrate.
US8072611B2 (en) 2007-10-12 2011-12-06 Zygo Corporation Interferometric analysis of under-resolved features
US7645621B2 (en) * 2007-10-16 2010-01-12 International Business Machines Corporation Optical inspection methods
KR101274517B1 (ko) 2007-11-13 2013-06-13 지고 코포레이션 편광 스캐닝을 이용한 간섭계
KR100917912B1 (ko) * 2007-11-13 2009-09-16 한국표준과학연구원 단일 편광자 초점 타원계측기
US7800108B2 (en) * 2007-11-30 2010-09-21 Nec Electronics Corporation Semiconductor device and method of manufacturing semiconductor device including optical test pattern above a light shielding film
US8045170B2 (en) * 2007-12-05 2011-10-25 Canon Kabushiki Kaisha Light scattering property measurement method
US8126677B2 (en) 2007-12-14 2012-02-28 Zygo Corporation Analyzing surface structure using scanning interferometry
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036459A1 (nl) * 2008-02-13 2009-08-14 Asml Netherlands Bv Method and apparatus for angular-resolved spectroscopic lithography characterization.
US7815824B2 (en) * 2008-02-26 2010-10-19 Molecular Imprints, Inc. Real time imprint process diagnostics for defects
NL1036597A1 (nl) * 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
US7602509B1 (en) 2008-03-18 2009-10-13 Kla-Tencor Corporation Method for selecting optical configuration for high-precision scatterometric measurement
NL1036734A1 (nl) * 2008-04-09 2009-10-12 Asml Netherlands Bv A method of assessing a model, an inspection apparatus and a lithographic apparatus.
US8054473B2 (en) * 2008-04-30 2011-11-08 Qimonda Ag Measurement method for determining dimensions of features resulting from enhanced patterning methods
US8004679B2 (en) * 2008-05-09 2011-08-23 Kla-Tencor Corporation Target design and methods for scatterometry overlay determination
NL1036886A1 (nl) * 2008-05-12 2009-11-16 Asml Netherlands Bv A method of measuring a target, an inspection apparatus, a scatterometer, a lithographic apparatus and a data processor.
US20090296075A1 (en) * 2008-05-29 2009-12-03 Nanometrics Incorporated Imaging Diffraction Based Overlay
EP2286175A4 (de) * 2008-06-03 2017-04-12 Hwan J. Jeong Interferometrische defektdetektion und klassifikation
US7986412B2 (en) 2008-06-03 2011-07-26 Jzw Llc Interferometric defect detection and classification
US7864334B2 (en) * 2008-06-03 2011-01-04 Jzw Llc Interferometric defect detection
NL2002962A1 (nl) * 2008-06-11 2009-12-14 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
TWI364784B (en) * 2008-06-13 2012-05-21 Ind Tech Res Inst Method for designing overlay targets and method and system for measuring overlay error using the same
US8293546B2 (en) * 2008-06-17 2012-10-23 Globalfoundries Singapore Pte. Ltd. Integrated circuit system with sub-geometry removal and method of manufacture thereof
US8084872B2 (en) * 2008-07-01 2011-12-27 Macronix International Co., Ltd. Overlay mark, method of checking local aligmnent using the same and method of controlling overlay based on the same
US8930156B2 (en) * 2008-07-21 2015-01-06 Kla-Tencor Corporation Metrology through use of feed forward feed sideways and measurement cell re-use
KR101477569B1 (ko) * 2008-07-29 2014-12-30 어플라이드 머티리얼즈 이스라엘 리미티드 기판의 편차 맵핑
US9229338B2 (en) * 2008-09-08 2016-01-05 Asml Netherlands B.V. Substrate, a method of measuring a property, an inspection apparatus and a lithographic apparatus
NL2003497A (en) * 2008-09-23 2010-03-24 Asml Netherlands Bv Lithographic system, lithographic method and device manufacturing method.
US8237133B2 (en) * 2008-10-10 2012-08-07 Molecular Imprints, Inc. Energy sources for curing in an imprint lithography system
US8345242B2 (en) * 2008-10-28 2013-01-01 Molecular Imprints, Inc. Optical system for use in stage control
WO2010052098A1 (en) * 2008-11-07 2010-05-14 Asml Netherlands B.V. Scatterometer and lithographic apparatus
US8004688B2 (en) 2008-11-26 2011-08-23 Zygo Corporation Scan error correction in low coherence scanning interferometry
US8213011B2 (en) * 2009-02-03 2012-07-03 Image Analytics, Inc. Spatial imaging/imaging spectroscopy system and method
US20100197508A1 (en) * 2009-02-03 2010-08-05 The Administrator of the National Aeronautics and Space Administration, United States of America Integrated Universal Chemical Detector with Selective Diffraction Array
NL2004400A (en) 2009-04-09 2010-10-12 Asml Holding Nv Tunable wavelength illumination system.
KR101654599B1 (ko) * 2009-05-11 2016-09-06 에이에스엠엘 네델란즈 비.브이. 오버레이 오차를 결정하는 방법 및 디바이스 제조 방법
US8153987B2 (en) 2009-05-22 2012-04-10 Jordan Valley Semiconductors Ltd. Automated calibration methodology for VUV metrology system
SG176266A1 (en) * 2009-06-19 2012-01-30 Zygo Corp Equal-path interferometer
WO2011003734A1 (en) * 2009-07-06 2011-01-13 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus and lithographic processing cell
US8848186B2 (en) 2009-07-22 2014-09-30 Kla-Tencor Corporation Angle-resolved antisymmetric scatterometry
US8183701B2 (en) * 2009-07-29 2012-05-22 Taiwan Semiconductor Manufacturing Company, Ltd. Structure of stacking scatterometry based overlay marks for marks footprint reduction
US8189202B2 (en) * 2009-08-04 2012-05-29 Zygo Corporation Interferometer for determining overlay errors
KR101642033B1 (ko) * 2009-08-24 2016-07-22 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법 및 장치, 리소그래피 장치, 리소그래피 처리 셀 및 메트롤로지 타겟들을 포함하는 기판
US8441639B2 (en) * 2009-09-03 2013-05-14 Kla-Tencor Corp. Metrology systems and methods
US8559014B2 (en) * 2009-09-25 2013-10-15 Hwan J. Jeong High-resolution, common-path interferometric imaging systems and methods
WO2011045132A1 (en) * 2009-10-12 2011-04-21 Asml Netherlands B.V. Method, inspection apparatus and substrate for determining an approximate structure of an object on the substrate
TWI401549B (zh) * 2009-12-02 2013-07-11 Ind Tech Res Inst 二維陣列疊對圖樣之設計方法、疊對誤差量測方法及其量測系統
US8329360B2 (en) * 2009-12-04 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus of providing overlay
NL2005459A (en) * 2009-12-08 2011-06-09 Asml Netherlands Bv Inspection method and apparatus, and corresponding lithographic apparatus.
TWI408331B (zh) * 2009-12-17 2013-09-11 Ind Tech Res Inst 雙面光學膜片量測裝置與方法
TWI417942B (zh) * 2009-12-17 2013-12-01 Ind Tech Res Inst 二維陣列疊對圖樣組之設計方法、疊對誤差量測方法及其量測系統
JP5391055B2 (ja) * 2009-12-25 2014-01-15 東京エレクトロン株式会社 半導体装置の製造方法及び半導体装置の製造システム
US8148682B2 (en) * 2009-12-29 2012-04-03 Hitachi, Ltd. Method and apparatus for pattern position and overlay measurement
CN102414537B (zh) * 2010-01-06 2015-03-04 松下电器产业株式会社 使用了干涉的膜厚计测装置及使用了干涉的膜厚计测方法
US9620426B2 (en) * 2010-02-18 2017-04-11 Kla-Tencor Corporation Method and system for providing process tool correctables using an optimized sampling scheme with smart interpolation
EP2550504B1 (de) * 2010-03-25 2019-01-02 Nanometrics Incorporated Überlagerungslinearitätstests auf diffraktionsbasis
NL2006454A (en) * 2010-05-03 2011-11-07 Asml Netherlands Bv Imprint lithography method and apparatus.
US20110276319A1 (en) * 2010-05-06 2011-11-10 Jonathan Michael Madsen Determination of material optical properties for optical metrology of structures
US9625823B1 (en) * 2010-06-17 2017-04-18 Kla-Tencor Corporation Calculation method for local film stress measurements using local film thickness values
FR2962804B1 (fr) * 2010-07-19 2014-04-18 Horiba Jobin Yvon Sas Dispositif et procede de mesure polarimetrique a resolution microscopique, accessoire de polarimetrie pour microscope, ellipso-microscope et microscope a contraste ellipsometrique
US9164397B2 (en) * 2010-08-03 2015-10-20 Kla-Tencor Corporation Optics symmetrization for metrology
US9927718B2 (en) 2010-08-03 2018-03-27 Kla-Tencor Corporation Multi-layer overlay metrology target and complimentary overlay metrology measurement systems
DE102010041556A1 (de) * 2010-09-28 2012-03-29 Carl Zeiss Smt Gmbh Projektionsbelichtungsanlage für die Mikrolithographie und Verfahren zur mikrolithographischen Abbildung
US9606453B2 (en) * 2010-09-30 2017-03-28 Kla-Tencor Corporation Method and system for providing tool induced shift using a sub-sampling scheme
KR101158323B1 (ko) * 2010-10-14 2012-06-26 주식회사 고영테크놀러지 기판 검사방법
JP5737922B2 (ja) * 2010-12-14 2015-06-17 ピーエスフォー ルクスコ エスエイアールエルPS4 Luxco S.a.r.l. 半導体デバイスの製造方法
US9007584B2 (en) * 2010-12-27 2015-04-14 Nanometrics Incorporated Simultaneous measurement of multiple overlay errors using diffraction based overlay
US8867041B2 (en) 2011-01-18 2014-10-21 Jordan Valley Semiconductor Ltd Optical vacuum ultra-violet wavelength nanoimprint metrology
US20120224176A1 (en) * 2011-03-03 2012-09-06 Nanometrics Incorporated Parallel Acquisition Of Spectra For Diffraction Based Overlay
US8565379B2 (en) 2011-03-14 2013-10-22 Jordan Valley Semiconductors Ltd. Combining X-ray and VUV analysis of thin film layers
CN103582819B (zh) * 2011-04-06 2016-09-14 科磊股份有限公司 用于提供经改进过程控制的质量度量的方法及系统
TWI582539B (zh) * 2011-04-06 2017-05-11 克萊譚克公司 用於改良程序控制之品質度量的方法及系統
JP5162006B2 (ja) * 2011-06-01 2013-03-13 キヤノン株式会社 検出装置、露光装置、および、デバイスの製造方法
US10890436B2 (en) 2011-07-19 2021-01-12 Kla Corporation Overlay targets with orthogonal underlayer dummyfill
US8582114B2 (en) * 2011-08-15 2013-11-12 Kla-Tencor Corporation Overlay metrology by pupil phase analysis
EP2579100A3 (de) 2011-10-03 2017-12-06 ASML Holding N.V. Inspektionsgerät, lithografisches Gerät und Verfahren zur Herstellung von Bauelementen
US9709903B2 (en) 2011-11-01 2017-07-18 Kla-Tencor Corporation Overlay target geometry for measuring multiple pitches
NL2009853A (en) * 2011-12-23 2013-06-26 Asml Netherlands Bv Methods and apparatus for measuring a property of a substrate.
US8745546B2 (en) 2011-12-29 2014-06-03 Nanya Technology Corporation Mask overlay method, mask, and semiconductor device using the same
US9007585B2 (en) * 2012-03-07 2015-04-14 Kla-Tencor Corporation Imaging overlay metrology target and complimentary overlay metrology measurement system
KR101761735B1 (ko) * 2012-03-27 2017-07-26 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법 및 장치, 리소그래피 시스템 및 디바이스 제조 방법
US9952140B2 (en) * 2012-05-29 2018-04-24 Kla-Tencor Corporation Small spot size spectroscopic ellipsometer
US9535338B2 (en) 2012-05-29 2017-01-03 Asml Netherlands B.V. Metrology method and apparatus, substrate, lithographic system and device manufacturing method
US9182341B2 (en) 2012-06-13 2015-11-10 Kla-Tencor Corporation Optical surface scanning systems and methods
JP6353831B2 (ja) 2012-06-26 2018-07-04 ケーエルエー−テンカー コーポレイション 角度分解反射率測定における走査および回折の光計測からのアルゴリズム的除去
US9243886B1 (en) 2012-06-26 2016-01-26 Kla-Tencor Corporation Optical metrology of periodic targets in presence of multiple diffraction orders
US8913237B2 (en) * 2012-06-26 2014-12-16 Kla-Tencor Corporation Device-like scatterometry overlay targets
KR102015934B1 (ko) * 2012-07-05 2019-08-29 에이에스엠엘 네델란즈 비.브이. 리소그래피를 위한 계측법
KR101609652B1 (ko) 2012-07-10 2016-04-06 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치의 위치 설정기를 교정하기 위한 리소그래피 클러스터 시스템, 방법
US10132763B2 (en) * 2012-07-23 2018-11-20 Asml Netherlands B.V. Inspection method and apparatus, lithographic system and device manufacturing method
US9329033B2 (en) 2012-09-05 2016-05-03 Kla-Tencor Corporation Method for estimating and correcting misregistration target inaccuracy
DE102012218382B4 (de) * 2012-10-09 2015-04-23 Leica Microsystems Cms Gmbh Verfahren zum Festlegen eines Lasermikrodissektionsbereichs und zugehöriges Lasermikrodissektionssystem
WO2014062972A1 (en) 2012-10-18 2014-04-24 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
TWI598972B (zh) 2012-11-09 2017-09-11 克萊譚克公司 減少散射量測疊對量測技術中演算法之不準確
US10242290B2 (en) * 2012-11-09 2019-03-26 Kla-Tencor Corporation Method, system, and user interface for metrology target characterization
KR102312241B1 (ko) * 2012-11-21 2021-10-13 케이엘에이 코포레이션 프로세스 호환 세그먼팅된 타겟들 및 설계 방법들
US9760020B2 (en) 2012-11-21 2017-09-12 Kla-Tencor Corporation In-situ metrology
WO2014081909A1 (en) * 2012-11-21 2014-05-30 Kla-Tencor Corporation In-situ metrology
US8994943B2 (en) * 2012-11-30 2015-03-31 Infineon Technologies Ag Selectivity by polarization
US9081287B2 (en) 2012-12-20 2015-07-14 Kla-Tencor Corporation Methods of measuring overlay errors in area-imaging e-beam lithography
US9514999B2 (en) * 2013-01-02 2016-12-06 Globalfoundries Inc. Systems and methods for semiconductor line scribe line centering
US9536796B2 (en) 2013-01-02 2017-01-03 Globalfoundries Inc. Multiple manufacturing line qualification
US9255787B1 (en) 2013-01-21 2016-02-09 Kla-Tencor Corporation Measurement of critical dimension and scanner aberration utilizing metrology targets
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
KR102094974B1 (ko) * 2013-03-08 2020-03-30 삼성전자주식회사 오버레이 계측 방법
WO2014138522A1 (en) * 2013-03-08 2014-09-12 Kla-Tencor Corporation Pupil plane calibration for scatterometry overlay measurement
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
US9875946B2 (en) 2013-04-19 2018-01-23 Kla-Tencor Corporation On-device metrology
CN103247550B (zh) * 2013-05-07 2016-04-13 上海华力微电子有限公司 监控制程稳定性的测试模块和方法
KR102066320B1 (ko) * 2013-05-29 2020-01-14 케이엘에이 코포레이션 다층 타겟 설계
WO2014194095A1 (en) 2013-05-30 2014-12-04 Kla-Tencor Corporation Combined imaging and scatterometry metrology
WO2014205274A1 (en) * 2013-06-19 2014-12-24 Kla-Tencor Corporation Hybrid imaging and scatterometry targets
CN111043958B (zh) 2013-06-27 2021-11-16 科磊股份有限公司 计量学目标的极化测量及对应的目标设计
WO2015006233A1 (en) * 2013-07-09 2015-01-15 Kla-Tencor Corporation Aperture alignment in scatterometry metrology systems
US9007571B2 (en) * 2013-08-20 2015-04-14 United Microelectronics Corp. Measurement method of overlay mark
US9053284B2 (en) * 2013-09-04 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for overlay control
US9091667B2 (en) * 2013-10-25 2015-07-28 Globalfoundries Inc. Detection of particle contamination on wafers
KR101855220B1 (ko) * 2013-10-30 2018-05-08 에이에스엠엘 네델란즈 비.브이. 검사 장치 및 방법, 계측 타겟을 가지는 기판, 리소그래피 시스템, 및 디바이스 제조 방법
CN105900015B (zh) * 2013-11-26 2019-07-05 Asml荷兰有限公司 用于光刻度量的方法、设备和衬底
WO2015089514A2 (en) * 2013-12-13 2015-06-18 Colorado State University Research Foundation Nano-stamp and matched reader for anti-counterfeiting applications
WO2015090774A1 (en) 2013-12-17 2015-06-25 Asml Netherlands B.V. Yield estimation and control
US9490182B2 (en) * 2013-12-23 2016-11-08 Kla-Tencor Corporation Measurement of multiple patterning parameters
US10311198B2 (en) * 2014-02-16 2019-06-04 Nova Measuring Instruments Ltd. Overlay design optimization
EP2927747A3 (de) 2014-03-31 2016-03-09 IMEC vzw Qualitätsbewertung eines gerichteten selbstanordnungsverfahrens
US9851300B1 (en) * 2014-04-04 2017-12-26 Kla-Tencor Corporation Decreasing inaccuracy due to non-periodic effects on scatterometric signals
KR102179990B1 (ko) * 2014-04-09 2020-11-18 케이엘에이 코포레이션 셀간 프로세스 변동 부정확성의 추정 및 제거
US20150316468A1 (en) * 2014-04-30 2015-11-05 Nova Measuring Instruments Ltd. Method and system for optical characterization of patterned samples
US10352876B2 (en) 2014-05-09 2019-07-16 KLA—Tencor Corporation Signal response metrology for scatterometry based overlay measurements
US9784690B2 (en) * 2014-05-12 2017-10-10 Kla-Tencor Corporation Apparatus, techniques, and target designs for measuring semiconductor parameters
US9786251B1 (en) * 2014-05-28 2017-10-10 Musco Corporation Apparatus, method, and system for visually indicating perceived glare thresholds
JP6408610B2 (ja) * 2014-06-02 2018-10-17 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジターゲットの設計方法、メトロロジターゲットを有する基板、オーバーレイの測定方法、およびデバイス製造方法
WO2015196168A1 (en) * 2014-06-21 2015-12-23 Kla-Tencor Corporation Compound imaging metrology targets
US10151986B2 (en) * 2014-07-07 2018-12-11 Kla-Tencor Corporation Signal response metrology based on measurements of proxy structures
WO2016037003A1 (en) * 2014-09-03 2016-03-10 Kla-Tencor Corporation Optimizing the utilization of metrology tools
US10210606B2 (en) 2014-10-14 2019-02-19 Kla-Tencor Corporation Signal response metrology for image based and scatterometry overlay measurements
US9710728B2 (en) * 2014-10-28 2017-07-18 Kla-Tencor Corporation Image based signal response metrology
US9677935B2 (en) * 2014-11-03 2017-06-13 Trutag Technologies, Inc. Fabry-perot spectral image measurement
US10430719B2 (en) 2014-11-25 2019-10-01 Stream Mosaic, Inc. Process control techniques for semiconductor manufacturing processes
JP6770958B2 (ja) * 2014-11-25 2020-10-21 ケーエルエー コーポレイション ランドスケープの解析および利用
CN107004060B (zh) * 2014-11-25 2022-02-18 Pdf决策公司 用于半导体制造工艺的经改进工艺控制技术
KR20160066448A (ko) 2014-12-02 2016-06-10 삼성전자주식회사 표면 검사 방법
KR20160121206A (ko) 2015-04-10 2016-10-19 삼성전자주식회사 오버레이 에러의 검출 방법 및 이를 이용한 반도체 장치의 제조 방법
DE112016001982T5 (de) * 2015-04-28 2018-02-15 Kla-Tencor Corporation Recheneffiziente auf röntgenstrahlgestützte messung des overlays
JP6723269B2 (ja) 2015-05-15 2020-07-15 ケーエルエー コーポレイション 焦点感応オーバーレイターゲットを使用する焦点決定のためのシステムおよび方法
KR20210149884A (ko) 2015-05-19 2021-12-09 케이엘에이 코포레이션 오버레이 측정을 위한 지형 위상 제어
US9995689B2 (en) * 2015-05-22 2018-06-12 Nanometrics Incorporated Optical metrology using differential fitting
US10754260B2 (en) 2015-06-18 2020-08-25 Kla-Tencor Corporation Method and system for process control with flexible sampling
US10216096B2 (en) 2015-08-14 2019-02-26 Kla-Tencor Corporation Process-sensitive metrology systems and methods
CN108028210B (zh) * 2015-09-21 2022-07-12 科磊股份有限公司 用于使用灵活取样的过程控制的方法及系统
US9864280B2 (en) 2015-10-02 2018-01-09 Applied Materials, Inc. Overlay error correction
CN108604065B (zh) * 2015-12-23 2021-10-26 Asml荷兰有限公司 量测方法、目标和衬底
WO2017144270A1 (en) * 2016-02-26 2017-08-31 Asml Netherlands B.V. Method of measuring a structure, inspection apparatus, lithographic system and device manufacturing method
US10615084B2 (en) * 2016-03-01 2020-04-07 Asml Netherlands B.V. Method and apparatus to determine a patterning process parameter, associated with a change in a physical configuration, using measured pixel optical characteristic values
KR102079181B1 (ko) * 2016-03-04 2020-02-19 주식회사 고영테크놀러지 패턴광 조사 장치 및 방법
JP6821700B2 (ja) 2016-04-22 2021-01-27 ケーエルエー コーポレイション 小スポットサイズ透過型小角x線スキャタロメトリ用ビーム整形スリット
US10451412B2 (en) 2016-04-22 2019-10-22 Kla-Tencor Corporation Apparatus and methods for detecting overlay errors using scatterometry
US11313809B1 (en) * 2016-05-04 2022-04-26 Kla-Tencor Corporation Process control metrology
US9921104B2 (en) * 2016-06-11 2018-03-20 Kla-Tencor Corporation Simultaneous multi-angle spectroscopy
KR102640173B1 (ko) 2016-06-14 2024-02-26 삼성전자주식회사 회절 기반 오버레이 마크 및 오버레이 계측방법
WO2018007126A1 (en) * 2016-07-07 2018-01-11 Asml Netherlands B.V. Method and apparatus for calculating electromagnetic scattering properties of finite periodic structures
CN109643068B (zh) * 2016-08-23 2021-10-01 Asml荷兰有限公司 量测设备和用于测量结构的方法和光刻系统
US10416576B2 (en) * 2016-09-14 2019-09-17 Canon Kabushiki Kaisha Optical system for use in stage control
US10775323B2 (en) 2016-10-18 2020-09-15 Kla-Tencor Corporation Full beam metrology for X-ray scatterometry systems
US10481111B2 (en) 2016-10-21 2019-11-19 Kla-Tencor Corporation Calibration of a small angle X-ray scatterometry based metrology system
CN110178069B (zh) 2016-11-12 2022-05-17 纽约市哥伦比亚大学理事会 显微镜设备、方法和系统
US10288408B2 (en) * 2016-12-01 2019-05-14 Nanometrics Incorporated Scanning white-light interferometry system for characterization of patterned semiconductor features
US10983005B2 (en) * 2016-12-15 2021-04-20 Taiwan Semiconductor Manufacturing Co., Ltd. Spectroscopic overlay metrology
US10859518B2 (en) 2017-01-03 2020-12-08 Kla-Tencor Corporation X-ray zoom lens for small angle x-ray scatterometry
US10551749B2 (en) * 2017-01-04 2020-02-04 Kla-Tencor Corporation Metrology targets with supplementary structures in an intermediate layer
US10209627B2 (en) 2017-01-06 2019-02-19 Kla-Tencor Corporation Systems and methods for focus-sensitive metrology targets
WO2018153711A1 (en) 2017-02-22 2018-08-30 Asml Netherlands B.V. Computational metrology
US10732516B2 (en) 2017-03-01 2020-08-04 Kla Tencor Corporation Process robust overlay metrology based on optical scatterometry
US10767978B2 (en) 2017-04-14 2020-09-08 Kla-Tencor Corporation Transmission small-angle X-ray scattering metrology system
WO2018197198A1 (en) * 2017-04-28 2018-11-01 Asml Netherlands B.V. Metrology method and apparatus and associated computer program
KR20200004381A (ko) * 2017-05-08 2020-01-13 에이에스엠엘 네델란즈 비.브이. 구조체를 측정하는 방법, 검사 장치, 리소그래피 시스템 및 디바이스 제조 방법
US11073487B2 (en) 2017-05-11 2021-07-27 Kla-Tencor Corporation Methods and systems for characterization of an x-ray beam with high spatial resolution
US10727142B2 (en) 2017-05-30 2020-07-28 Kla-Tencor Corporation Process monitoring of deep structures with X-ray scatterometry
US11029673B2 (en) 2017-06-13 2021-06-08 Pdf Solutions, Inc. Generating robust machine learning predictions for semiconductor manufacturing processes
US11112369B2 (en) * 2017-06-19 2021-09-07 Kla-Tencor Corporation Hybrid overlay target design for imaging-based overlay and scatterometry-based overlay
US11333621B2 (en) 2017-07-11 2022-05-17 Kla-Tencor Corporation Methods and systems for semiconductor metrology based on polychromatic soft X-Ray diffraction
US10817999B2 (en) * 2017-07-18 2020-10-27 Kla Corporation Image-based overlay metrology and monitoring using through-focus imaging
US10983227B2 (en) 2017-08-14 2021-04-20 Kla-Tencor Corporation On-device metrology using target decomposition
US11022642B2 (en) 2017-08-25 2021-06-01 Pdf Solutions, Inc. Semiconductor yield prediction
US11317500B2 (en) 2017-08-30 2022-04-26 Kla-Tencor Corporation Bright and clean x-ray source for x-ray based metrology
EP3450938B1 (de) * 2017-08-30 2022-10-12 IMEC vzw Bildsensor und bildgebungsvorrichtung
CN111527373B (zh) * 2017-10-05 2022-06-21 Asml荷兰有限公司 用于确定衬底上的一个或更多个结构的特性的量测系统和方法
US10748736B2 (en) 2017-10-18 2020-08-18 Kla-Tencor Corporation Liquid metal rotating anode X-ray source for semiconductor metrology
US10837919B2 (en) * 2017-11-06 2020-11-17 Kla Corporation Single cell scatterometry overlay targets
US10474040B2 (en) 2017-12-07 2019-11-12 Kla-Tencor Corporation Systems and methods for device-correlated overlay metrology
US10473460B2 (en) 2017-12-11 2019-11-12 Kla-Tencor Corporation Overlay measurements of overlapping target structures based on symmetry of scanning electron beam signals
US11085754B2 (en) * 2017-12-12 2021-08-10 Kla Corporation Enhancing metrology target information content
CN108036737B (zh) * 2017-12-12 2019-11-12 南京信息工程大学 一种全场照明快照式检测反射面面形的装置及方法
US10483214B2 (en) 2018-01-03 2019-11-19 Globalfoundries Inc. Overlay structures
US10959318B2 (en) 2018-01-10 2021-03-23 Kla-Tencor Corporation X-ray metrology system with broadband laser produced plasma illuminator
US10705435B2 (en) 2018-01-12 2020-07-07 Globalfoundries Inc. Self-referencing and self-calibrating interference pattern overlay measurement
EP3528047A1 (de) 2018-02-14 2019-08-21 ASML Netherlands B.V. Verfahren und vorrichtung zum messen eines interessierenden parameters mit bildebenenerfassungstechniken
US11029359B2 (en) 2018-03-09 2021-06-08 Pdf Solutions, Inc. Failure detection and classsification using sensor data and/or measurement data
US11775714B2 (en) 2018-03-09 2023-10-03 Pdf Solutions, Inc. Rational decision-making tool for semiconductor processes
US11067389B2 (en) 2018-03-13 2021-07-20 Kla Corporation Overlay metrology system and method
US10777470B2 (en) 2018-03-27 2020-09-15 Pdf Solutions, Inc. Selective inclusion/exclusion of semiconductor chips in accelerated failure tests
US10816486B2 (en) 2018-03-28 2020-10-27 Kla-Tencor Corporation Multilayer targets for calibration and alignment of X-ray based measurement systems
US10707175B2 (en) * 2018-05-22 2020-07-07 Globalfoundries Inc. Asymmetric overlay mark for overlay measurement
JP2020041859A (ja) * 2018-09-07 2020-03-19 キオクシア株式会社 位置計測方法、位置計測装置および半導体装置の製造方法
US11118903B2 (en) 2018-10-17 2021-09-14 Kla Corporation Efficient illumination shaping for scatterometry overlay
JP7431824B2 (ja) * 2018-11-21 2024-02-15 ケーエルエー コーポレイション スキャトロメトリオーバーレイ(scol)測定方法及びscol測定システム
WO2020122996A1 (en) * 2018-12-12 2020-06-18 Kla Corporation Multiple-tool parameter set configuration and misregistration measurement system and method
US10816464B2 (en) 2019-01-23 2020-10-27 Applied Materials, Inc. Imaging reflectometer
CN113330534B (zh) * 2019-01-28 2023-01-13 科磊股份有限公司 莫尔标靶及其在测量半导体装置的偏移时的使用方法
US11075126B2 (en) * 2019-02-15 2021-07-27 Kla-Tencor Corporation Misregistration measurements using combined optical and electron beam technology
CN110470618A (zh) * 2019-07-10 2019-11-19 中国科学院上海技术物理研究所 基于大气选择透过特性的单色仪光波长偏移量的检测方法
KR20210011278A (ko) * 2019-07-22 2021-02-01 삼성전자주식회사 Ie 기반 검사 방법, 및 그 검사 방법을 이용한 반도체 소자 제조방법
US11914290B2 (en) 2019-07-24 2024-02-27 Kla Corporation Overlay measurement targets design
WO2021023792A1 (en) * 2019-08-07 2021-02-11 Asml Holding N.V. Laser module assembly for alignment system, metrology system, and lithographic apparatus
US11256177B2 (en) 2019-09-11 2022-02-22 Kla Corporation Imaging overlay targets using Moiré elements and rotational symmetry arrangements
US11360397B2 (en) 2019-09-17 2022-06-14 Kla Corporation System and method for application of harmonic detectivity as a quality indicator for imaging-based overlay measurements
US11474028B2 (en) 2019-10-15 2022-10-18 Tokyo Electron Limited Systems and methods for monitoring one or more characteristics of a substrate
US11259394B2 (en) 2019-11-01 2022-02-22 Kla Corporation Laser produced plasma illuminator with liquid sheet jet target
US11272607B2 (en) 2019-11-01 2022-03-08 Kla Corporation Laser produced plasma illuminator with low atomic number cryogenic target
JP2023502872A (ja) 2019-11-04 2023-01-26 東京エレクトロン株式会社 複数のウェハー検査システム(wis)モジュールを較正するためのシステム及び方法
US11610297B2 (en) 2019-12-02 2023-03-21 Kla Corporation Tomography based semiconductor measurements using simplified models
US11520321B2 (en) 2019-12-02 2022-12-06 Kla Corporation Measurement recipe optimization based on probabilistic domain knowledge and physical realization
US11624607B2 (en) * 2020-01-06 2023-04-11 Tokyo Electron Limited Hardware improvements and methods for the analysis of a spinning reflective substrates
US11698251B2 (en) 2020-01-07 2023-07-11 Kla Corporation Methods and systems for overlay measurement based on soft X-ray Scatterometry
US11309202B2 (en) * 2020-01-30 2022-04-19 Kla Corporation Overlay metrology on bonded wafers
US11719652B2 (en) 2020-02-04 2023-08-08 Kla Corporation Semiconductor metrology and inspection based on an x-ray source with an electron emitter array
US11513085B2 (en) 2020-02-20 2022-11-29 Kla Corporation Measurement and control of wafer tilt for x-ray based metrology
US11150078B1 (en) 2020-03-26 2021-10-19 Applied Materials, Inc. High sensitivity image-based reflectometry
US11156566B2 (en) * 2020-03-26 2021-10-26 Applied Materials, Inc. High sensitivity image-based reflectometry
US11143604B1 (en) 2020-04-06 2021-10-12 Kla Corporation Soft x-ray optics with improved filtering
US11417010B2 (en) 2020-05-19 2022-08-16 Applied Materials, Inc. Image based metrology of surface deformations
US11686576B2 (en) 2020-06-04 2023-06-27 Kla Corporation Metrology target for one-dimensional measurement of periodic misregistration
US11355375B2 (en) * 2020-07-09 2022-06-07 Kla Corporation Device-like overlay metrology targets displaying Moiré effects
WO2022023005A1 (en) 2020-07-30 2022-02-03 BONASTRE, Sanchez A. Polarimetric microscope in reflection for the measurement of the refractive index and associated optical method
US11530913B2 (en) 2020-09-24 2022-12-20 Kla Corporation Methods and systems for determining quality of semiconductor measurements
KR20230079144A (ko) * 2020-10-15 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 광학 디바이스들을 위한 시스루 계측 시스템들, 장치, 및 방법들
CN112230514B (zh) * 2020-10-23 2022-04-12 泉芯集成电路制造(济南)有限公司 套刻误差量测标记结构及其制程方法和套刻误差量测方法
CN114695087A (zh) 2020-12-30 2022-07-01 科磊股份有限公司 一种制造集成电路的方法和系统
US20220290974A1 (en) * 2021-03-11 2022-09-15 Applied Materials Israel Ltd. Optical metrology models for in-line film thickness measurements
US11592755B2 (en) * 2021-03-31 2023-02-28 Kla Corporation Enhancing performance of overlay metrology
WO2022253501A1 (en) * 2021-05-31 2022-12-08 Asml Netherlands B.V. Metrology method and associated metrology tool
EP4187321A1 (de) * 2021-11-24 2023-05-31 ASML Netherlands B.V. Metrologisches verfahren und zugehöriges metrologisches werkzeug
US11738363B2 (en) 2021-06-07 2023-08-29 Tokyo Electron Limited Bath systems and methods thereof
KR20230030346A (ko) 2021-08-25 2023-03-06 삼성전자주식회사 편광 계측 장치 및 편광 계측 장치를 이용한 반도체 소자 제조 방법
FR3128779B1 (fr) 2021-11-02 2024-03-01 Commissariat Energie Atomique Structure de metrologie
US20240118606A1 (en) * 2021-11-27 2024-04-11 Kla Corporation Improved targets for diffraction-based overlay error metrology
US11796925B2 (en) 2022-01-03 2023-10-24 Kla Corporation Scanning overlay metrology using overlay targets having multiple spatial frequencies
US20230228692A1 (en) * 2022-01-18 2023-07-20 Kla Corporation Methods And Systems For Targeted Monitoring Of Semiconductor Measurement Quality
US11861824B1 (en) 2022-02-03 2024-01-02 Kla Corporation Reference image grouping in overlay metrology
WO2023170692A1 (en) * 2022-03-10 2023-09-14 Nova Ltd. System and method for determining parameters of patterned structures from optical data
TWI809931B (zh) * 2022-04-08 2023-07-21 南亞科技股份有限公司 具有疊對標記之半導體元件結構的製備方法
US11800212B1 (en) * 2022-04-08 2023-10-24 Kla Corporation Multi-directional overlay metrology using multiple illumination parameters and isolated imaging
WO2023213503A1 (en) * 2022-05-06 2023-11-09 Asml Netherlands B.V. Method and system of overlay measurement using charged-particle inspection apparatus
US11955308B1 (en) 2022-09-22 2024-04-09 Kla Corporation Water cooled, air bearing based rotating anode x-ray illumination source

Family Cites Families (154)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2015A (en) * 1841-03-26 Improvement in rotary steam-engines
US3000A (en) * 1843-03-10 Improvement in sugar-boilers
US3019A (en) * 1843-03-30 Hatching chickens
US3594085A (en) 1967-08-31 1971-07-20 Centre Nat Rech Scient Ellipsometric method and device
JPS5212577A (en) 1975-07-21 1977-01-31 Nippon Kogaku Kk <Nikon> Automatic location device
DE2627609A1 (de) 1976-06-19 1977-12-29 Ibm Deutschland Interferometrisches verfahren
US4200395A (en) 1977-05-03 1980-04-29 Massachusetts Institute Of Technology Alignment of diffraction gratings
US4332473A (en) 1979-01-31 1982-06-01 Tokyo Shibaura Denki Kabushiki Kaisha Apparatus for detecting a mutual positional relationship of two sample members
US4408884A (en) * 1981-06-29 1983-10-11 Rca Corporation Optical measurements of fine line parameters in integrated circuit processes
JPH0632323B2 (ja) 1983-12-13 1994-04-27 株式会社日立製作所 半導体レ−ザ装置
US4631416A (en) 1983-12-19 1986-12-23 Hewlett-Packard Company Wafer/mask alignment system using diffraction gratings
US4596467A (en) 1984-03-16 1986-06-24 Hughes Aircraft Company Dissimilar superimposed grating precision alignment and gap measurement systems
US4703434A (en) 1984-04-24 1987-10-27 The Perkin-Elmer Corporation Apparatus for measuring overlay error
DE3419463C1 (de) 1984-05-24 1985-09-12 Sagax Instrument AB, Sundbyberg Vorrichtung zur Erfassung von Stoffeigenschaften von Probenoberflaechen
US4828392A (en) 1985-03-13 1989-05-09 Matsushita Electric Industrial Co., Ltd. Exposure apparatus
US4710642A (en) * 1985-08-20 1987-12-01 Mcneil John R Optical scatterometer having improved sensitivity and bandwidth
DE3530439A1 (de) 1985-08-26 1987-02-26 Siemens Ag Vorrichtung zum justieren einer mit mindestens einer justiermarke versehenen maske bezueglich eines mit mindestens einer gitterstruktur versehenen halbleiterwafers
JPS62190725A (ja) * 1986-02-17 1987-08-20 Tokyo Electron Ltd 二重回折格子による位置合せ方法
US4818110A (en) 1986-05-06 1989-04-04 Kla Instruments Corporation Method and apparatus of using a two beam interference microscope for inspection of integrated circuits and the like
KR900004269B1 (ko) 1986-06-11 1990-06-18 가부시기가이샤 도시바 제 1물체와 제 2 물체와의 위치 맞추는 방법 및 장치
US4929083A (en) 1986-06-19 1990-05-29 Xerox Corporation Focus and overlay characterization and optimization for photolithographic exposure
US4750836A (en) 1986-09-18 1988-06-14 Rca Corporation Method of measuring misalignment between superimposed patterns
US4757207A (en) 1987-03-03 1988-07-12 International Business Machines Corporation Measurement of registration of overlaid test patterns by the use of reflected light
JPH0819176B2 (ja) 1987-04-03 1996-02-28 三菱化学株式会社 オレフイン重合用触媒
JPS63260045A (ja) * 1987-04-17 1988-10-27 Hitachi Ltd バ−ニアパタ−ン
US5327221A (en) 1988-02-16 1994-07-05 Canon Kabushiki Kaisha Device for detecting positional relationship between two objects
US5340992A (en) 1988-02-16 1994-08-23 Canon Kabushiki Kaisha Apparatus and method of detecting positional relationship using a weighted coefficient
JP2683385B2 (ja) * 1988-10-21 1997-11-26 オリンパス光学工業株式会社 位置合せ方法および位置合せ装置
US5189494A (en) 1988-11-07 1993-02-23 Masato Muraki Position detecting method and apparatus
FR2640040B1 (fr) 1988-12-05 1994-10-28 Micro Controle Procede et dispositif de mesure optique
JPH02192114A (ja) 1989-01-20 1990-07-27 Canon Inc 位置合わせ装置
NL8900991A (nl) * 1989-04-20 1990-11-16 Asm Lithography Bv Apparaat voor het afbeelden van een maskerpatroon op een substraat.
US4999014A (en) 1989-05-04 1991-03-12 Therma-Wave, Inc. Method and apparatus for measuring thickness of thin films
JP2704002B2 (ja) 1989-07-18 1998-01-26 キヤノン株式会社 位置検出方法
US5166752A (en) 1990-01-11 1992-11-24 Rudolph Research Corporation Simultaneous multiple angle/multiple wavelength ellipsometer and method
DE4000785A1 (de) 1990-01-12 1991-07-18 Suess Kg Karl Justiermarken fuer zwei aufeinander einzujustierende objekte
US5112129A (en) 1990-03-02 1992-05-12 Kla Instruments Corporation Method of image enhancement for the coherence probe microscope with applications to integrated circuit metrology
US5182610A (en) 1990-04-19 1993-01-26 Sortec Corporation Position detecting method and device therefor as well as aligning device
JPH0453220A (ja) * 1990-06-20 1992-02-20 Nikon Corp 投影光学装置
JP2897355B2 (ja) * 1990-07-05 1999-05-31 株式会社ニコン アライメント方法,露光装置,並びに位置検出方法及び装置
US5185610A (en) * 1990-08-20 1993-02-09 Texas Instruments Incorporated GPS system and method for deriving pointing or attitude from a single GPS receiver
US5343292A (en) 1990-10-19 1994-08-30 University Of New Mexico Method and apparatus for alignment of submicron lithographic features
US5276337A (en) 1991-10-31 1994-01-04 International Business Machines Corporation Accuracy of alignment and O/L measurement systems by means of tunable source and handling of signal
JPH06137830A (ja) 1992-10-23 1994-05-20 Canon Inc 干渉計測方法及び干渉計測装置
US5416588A (en) 1992-12-21 1995-05-16 The Board Of Regents Of The University Of Nebraska Small modulation ellipsometry
US6153886A (en) 1993-02-19 2000-11-28 Nikon Corporation Alignment apparatus in projection exposure apparatus
US5438413A (en) * 1993-03-03 1995-08-01 Kla Instruments Corporation Process for measuring overlay misregistration during semiconductor wafer fabrication
US5316984A (en) 1993-03-25 1994-05-31 Vlsi Technology, Inc. Bright field wafer target
JP3082516B2 (ja) 1993-05-31 2000-08-28 キヤノン株式会社 光学式変位センサおよび該光学式変位センサを用いた駆動システム
US5414514A (en) 1993-06-01 1995-05-09 Massachusetts Institute Of Technology On-axis interferometric alignment of plates using the spatial phase of interference patterns
WO1995002200A1 (en) 1993-07-09 1995-01-19 Commonwealth Scientific And Industrial Research Organisation Multiple image diffractive device
US5412473A (en) 1993-07-16 1995-05-02 Therma-Wave, Inc. Multiple angle spectroscopic analyzer utilizing interferometric and ellipsometric devices
US5388909A (en) 1993-09-16 1995-02-14 Johnson; Shane R. Optical apparatus and method for measuring temperature of a substrate material with a temperature dependent band gap
US5355306A (en) 1993-09-30 1994-10-11 Motorola, Inc. Alignment system and method of alignment by symmetrical and asymmetrical analysis
JP2595885B2 (ja) 1993-11-18 1997-04-02 日本電気株式会社 半導体装置およびその製造方法
TW256914B (en) 1994-05-27 1995-09-11 Ibm Servo-writing system for use in a data recording disk drive
US5883710A (en) 1994-12-08 1999-03-16 Kla-Tencor Corporation Scanning system for inspecting anomalies on surfaces
JPH08233555A (ja) 1994-12-28 1996-09-13 Matsushita Electric Ind Co Ltd レジストパターンの測定方法及びレジストパターンの測定装置
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US5923041A (en) 1995-02-03 1999-07-13 Us Commerce Overlay target and measurement procedure to enable self-correction for wafer-induced tool-induced shift by imaging sensor means
US5808742A (en) 1995-05-31 1998-09-15 Massachusetts Institute Of Technology Optical alignment apparatus having multiple parallel alignment marks
JP3859764B2 (ja) 1995-06-27 2006-12-20 株式会社ルネサステクノロジ 重ね合わせ精度測定マーク、そのマークの欠陥修正方法、および、そのマークを有するフォトマスク
US5596413A (en) 1995-08-17 1997-01-21 Lucent Technologies Inc. Sub-micron through-the-lens positioning utilizing out of phase segmented gratings
US5712707A (en) 1995-11-20 1998-01-27 International Business Machines Corporation Edge overlay measurement target for sub-0.5 micron ground rules
US5801390A (en) 1996-02-09 1998-09-01 Nikon Corporation Position-detection method and apparatus with a grating mark
JPH09244222A (ja) 1996-03-08 1997-09-19 Mitsubishi Electric Corp 重ね合わせ誤差測定用レチクル、そのレチクルを用いた重ね合わせ誤差測定方法および重ね合わせ誤差測定マーク
US5805290A (en) * 1996-05-02 1998-09-08 International Business Machines Corporation Method of optical metrology of unresolved pattern arrays
JP4306800B2 (ja) 1996-06-04 2009-08-05 ケーエルエー−テンカー テクノロジィース コーポレイション 表面検査用光学走査システム
US6023338A (en) 1996-07-12 2000-02-08 Bareket; Noah Overlay alignment measurement of wafers
US5700732A (en) 1996-08-02 1997-12-23 Micron Technology, Inc. Semiconductor wafer, wafer alignment patterns and method of forming wafer alignment patterns
KR19980042190A (ko) 1996-11-07 1998-08-17 요시다쇼이치로 위치검출용 마크, 마크 검출방법 및 그 장치, 및 노광장치
US6013355A (en) 1996-12-30 2000-01-11 International Business Machines Corp. Testing laminates with x-ray moire interferometry
US5912983A (en) 1997-01-24 1999-06-15 Oki Electric Industry Co., Ltd Overlay accuracy measuring method
US5889593A (en) 1997-02-26 1999-03-30 Kla Instruments Corporation Optical system and method for angle-dependent reflection or transmission measurement
JP3527063B2 (ja) 1997-06-04 2004-05-17 株式会社ルネサステクノロジ 半導体装置の製造方法
JPH1186332A (ja) 1997-09-01 1999-03-30 Toshiba Corp 光ヘッド装置
US6134011A (en) 1997-09-22 2000-10-17 Hdi Instrumentation Optical measurement system using polarized light
JPH11102851A (ja) 1997-09-26 1999-04-13 Mitsubishi Electric Corp アライメント補正方法及び半導体装置の製造方法
JP3948084B2 (ja) * 1997-11-14 2007-07-25 ソニー株式会社 電子線描画用精度測定方法
JPH11241908A (ja) 1997-12-03 1999-09-07 Canon Inc 位置検出装置及びそれを用いたデバイスの製造方法
US6160622A (en) 1997-12-29 2000-12-12 Asm Lithography, B.V. Alignment device and lithographic apparatus comprising such a device
US6483580B1 (en) 1998-03-06 2002-11-19 Kla-Tencor Technologies Corporation Spectroscopic scatterometer system
US6476920B1 (en) 1998-03-18 2002-11-05 Nova Measuring Instruments, Ltd. Method and apparatus for measurements of patterned structures
US6077756A (en) 1998-04-24 2000-06-20 Vanguard International Semiconductor Overlay target pattern and algorithm for layer-to-layer overlay metrology for semiconductor processing
JP4722244B2 (ja) 1998-07-14 2011-07-13 ノバ・メジャリング・インストルメンツ・リミテッド 所定のフォトリソグラフィ工程に従って基板を加工する装置
US6128089A (en) 1998-07-28 2000-10-03 International Business Machines Corporation Combined segmented and nonsegmented bar-in-bar targets
KR20010033319A (ko) 1998-10-20 2001-04-25 롤페스 요하네스 게라투스 알베르투스 격자 및 적어도 일부분 리세스된 산화물 패턴이 제공되는표면을 가지는 실리콘 바디에서 반도체 장치를 제조하는방법
US6184984B1 (en) 1999-02-09 2001-02-06 Kla-Tencor Corporation System for measuring polarimetric spectrum and other properties of a sample
JP2000323381A (ja) * 1999-05-07 2000-11-24 Nikon Corp 露光方法及び装置
GB9914711D0 (en) 1999-06-23 1999-08-25 Leck Michael J Electronic seal,methods and security system
US7123358B2 (en) * 1999-07-19 2006-10-17 Chemimage Corporation Method for Raman imaging of semiconductor materials
US6606159B1 (en) 1999-08-02 2003-08-12 Zetetic Institute Optical storage system based on scanning interferometric near-field confocal microscopy
US6342735B1 (en) 1999-09-01 2002-01-29 International Business Machines Corporation Dual use alignment aid
JP2001093822A (ja) * 1999-09-24 2001-04-06 Nikon Corp マスクの位置合わせ方法およびそれを用いた露光装置
US6420791B1 (en) 1999-11-23 2002-07-16 United Microelectronics Corp. Alignment mark design
US6350548B1 (en) 2000-03-15 2002-02-26 International Business Machines Corporation Nested overlay measurement target
JP2001272208A (ja) * 2000-03-27 2001-10-05 Toshiba Corp 重ね合わせずれ検査装置、重ね合わせずれ検査用マークおよび重ね合わせずれ検査方法
US6689519B2 (en) 2000-05-04 2004-02-10 Kla-Tencor Technologies Corp. Methods and systems for lithography process control
US6462818B1 (en) 2000-06-22 2002-10-08 Kla-Tencor Corporation Overlay alignment mark design
JP5180419B2 (ja) * 2000-08-30 2013-04-10 ケーエルエー−テンカー・コーポレーション 重ね合わせマーク、重ね合わせマークの設計方法および重ね合わせ測定の方法
US7317531B2 (en) * 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US6486954B1 (en) 2000-09-01 2002-11-26 Kla-Tencor Technologies Corporation Overlay alignment measurement mark
IL138552A (en) * 2000-09-19 2006-08-01 Nova Measuring Instr Ltd Measurement of transverse displacement by optical method
EP1319244A1 (de) 2000-09-20 2003-06-18 Kla-Tencor Inc. Methode und system zur halbleiterherstellung
IL140179A (en) * 2000-12-07 2004-09-27 Nova Measuring Instr Ltd Method and system for measuring in patterned structures
US6900892B2 (en) * 2000-12-19 2005-05-31 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
US6819426B2 (en) 2001-02-12 2004-11-16 Therma-Wave, Inc. Overlay alignment metrology using diffraction gratings
JP2002247283A (ja) * 2001-02-16 2002-08-30 Fuji Photo Film Co Ltd 画像形成装置
US6699624B2 (en) 2001-02-27 2004-03-02 Timbre Technologies, Inc. Grating test patterns and methods for overlay metrology
EP1370828B1 (de) 2001-03-02 2016-11-23 Accent Optical Technologies, Inc. Messung von linienprofilasymmetrie unter verwendung von scatterometrie
US20030002043A1 (en) * 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US6522406B1 (en) 2001-04-20 2003-02-18 Nanometrics Incorporated Correcting the system polarization sensitivity of a metrology tool having a rotatable polarizer
WO2002088677A1 (en) * 2001-04-26 2002-11-07 Therma-Wave, Inc. Measurement system cluster
JP3970106B2 (ja) 2001-05-23 2007-09-05 エーエスエムエル ネザーランズ ビー.ブイ. 実質的に透過性のプロセス層に整列マークを備える基板、上記マークを露出するためのマスク、およびデバイス製造方法
US6730444B2 (en) 2001-06-05 2004-05-04 Micron Technology, Inc. Needle comb reticle pattern for critical dimension and registration measurements using a registration tool and methods for using same
US20020192577A1 (en) 2001-06-15 2002-12-19 Bernard Fay Automated overlay metrology system
US6458605B1 (en) * 2001-06-28 2002-10-01 Advanced Micro Devices, Inc. Method and apparatus for controlling photolithography overlay registration
US6713753B1 (en) * 2001-07-03 2004-03-30 Nanometrics Incorporated Combination of normal and oblique incidence polarimetry for the characterization of gratings
DE10142316A1 (de) 2001-08-30 2003-04-17 Advanced Micro Devices Inc Halbleiterstruktur und Verfahren zur Bestimmung kritischer Dimensionen und Überlagerungsfehler
US7061615B1 (en) * 2001-09-20 2006-06-13 Nanometrics Incorporated Spectroscopically measured overlay target
US6867870B1 (en) * 2001-11-01 2005-03-15 Therma-Wave, Inc. Digital detector data communication in an optical metrology tool
AU2002360738A1 (en) * 2001-12-19 2003-07-09 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
US6772084B2 (en) 2002-01-31 2004-08-03 Timbre Technologies, Inc. Overlay measurements using periodic gratings
US7373411B2 (en) * 2002-02-01 2008-05-13 Hewlett-Packard Development Company, L.P. Configuration information generation system and method
US6813034B2 (en) * 2002-02-05 2004-11-02 Therma-Wave, Inc. Analysis of isolated and aperiodic structures with simultaneous multiple angle of incidence measurements
US7804994B2 (en) 2002-02-15 2010-09-28 Kla-Tencor Technologies Corporation Overlay metrology and control method
US6778275B2 (en) 2002-02-20 2004-08-17 Micron Technology, Inc. Aberration mark and method for estimating overlay error and optical aberrations
IL148566A (en) * 2002-03-07 2007-06-17 Nova Measuring Instr Ltd Method and system for measuring overlap accuracy
US7061627B2 (en) 2002-03-13 2006-06-13 Therma-Wave, Inc. Optical scatterometry of asymmetric lines and structures
US7046361B1 (en) 2002-04-04 2006-05-16 Nanometrics Incorporated Positioning two elements using an alignment target with a designed offset
US6949462B1 (en) * 2002-04-04 2005-09-27 Nanometrics Incorporated Measuring an alignment target with multiple polarization states
US6982793B1 (en) * 2002-04-04 2006-01-03 Nanometrics Incorporated Method and apparatus for using an alignment target with designed in offset
US6985229B2 (en) * 2002-05-30 2006-01-10 Agere Systems, Inc. Overlay metrology using scatterometry profiling
US7170604B2 (en) 2002-07-03 2007-01-30 Tokyo Electron Limited Overlay metrology method and apparatus using more than one grating per measurement direction
US7046376B2 (en) * 2002-07-05 2006-05-16 Therma-Wave, Inc. Overlay targets with isolated, critical-dimension features and apparatus to measure overlay
US6919964B2 (en) 2002-07-09 2005-07-19 Therma-Wave, Inc. CD metrology analysis using a finite difference method
US20040066517A1 (en) * 2002-09-05 2004-04-08 Hsu-Ting Huang Interferometry-based method and apparatus for overlay metrology
TWI229243B (en) * 2002-09-20 2005-03-11 Asml Netherlands Bv Lithographic marker structure, lithographic projection apparatus comprising such a lithographic marker structure and method for substrate alignment using such a lithographic marker structure
EP1400855A3 (de) 2002-09-20 2009-04-08 ASML Netherlands B.V. Inspektion eines Artikels
US6992764B1 (en) * 2002-09-30 2006-01-31 Nanometrics Incorporated Measuring an alignment target with a single polarization state
US7193715B2 (en) * 2002-11-14 2007-03-20 Tokyo Electron Limited Measurement of overlay using diffraction gratings when overlay exceeds the grating period
US6815232B2 (en) * 2002-11-26 2004-11-09 Advanced Micro Devices, Inc. Method and apparatus for overlay control using multiple targets
AU2003298003A1 (en) * 2002-12-05 2004-06-30 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7352453B2 (en) * 2003-01-17 2008-04-01 Kla-Tencor Technologies Corporation Method for process optimization and control by comparison between 2 or more measured scatterometry signals
US6888632B2 (en) * 2003-02-28 2005-05-03 Therma-Wave, Inc. Modulated scatterometry
US7080330B1 (en) 2003-03-05 2006-07-18 Advanced Micro Devices, Inc. Concurrent measurement of critical dimension and overlay in semiconductor manufacturing
EP1630857A4 (de) * 2003-05-28 2008-04-16 Nikon Corp Positions-informationsmessverfahren und -einrichtung und belichtungsverfahren und -system
US7230703B2 (en) 2003-07-17 2007-06-12 Tokyo Electron Limited Apparatus and method for measuring overlay by diffraction gratings
US7061623B2 (en) * 2003-08-25 2006-06-13 Spectel Research Corporation Interferometric back focal plane scatterometry with Koehler illumination
JP2007522432A (ja) * 2003-12-19 2007-08-09 インターナショナル・ビジネス・マシーンズ・コーポレーション 差動限界寸法およびオーバーレイ測定装置および測定方法
US7508976B1 (en) * 2003-12-29 2009-03-24 Nanometric Incorporated Local process variation correction for overlay measurement
US7065737B2 (en) * 2004-03-01 2006-06-20 Advanced Micro Devices, Inc Multi-layer overlay measurement and correction technique for IC manufacturing
WO2006023612A2 (en) * 2004-08-19 2006-03-02 Zetetic Institute Sub-nanometer overlay, critical dimension, and lithography tool projection optic metrology systems based on measurement of exposure induced changes in photoresist on wafers
US7573584B2 (en) * 2006-09-25 2009-08-11 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization

Also Published As

Publication number Publication date
US7317531B2 (en) 2008-01-08
JP5675936B2 (ja) 2015-02-25
EP1601931B1 (de) 2011-04-06
US20040233441A1 (en) 2004-11-25
JP2015052602A (ja) 2015-03-19
US7876440B2 (en) 2011-01-25
EP1601931A4 (de) 2007-08-29
US20040233442A1 (en) 2004-11-25
US20080049226A1 (en) 2008-02-28
JP2012089896A (ja) 2012-05-10
JP2014042069A (ja) 2014-03-06
JP2017227934A (ja) 2017-12-28
US20040233440A1 (en) 2004-11-25
US7933016B2 (en) 2011-04-26
US20080094630A1 (en) 2008-04-24
ATE504862T1 (de) 2011-04-15
JP2019091094A (ja) 2019-06-13
JP2011155302A (ja) 2011-08-11
JP2016106269A (ja) 2016-06-16
JP2006518942A (ja) 2006-08-17
US20090284744A1 (en) 2009-11-19
US20040233439A1 (en) 2004-11-25
JP4789798B2 (ja) 2011-10-12
US20040233443A1 (en) 2004-11-25
US20100091284A1 (en) 2010-04-15
WO2004076963A3 (en) 2004-11-18
US7280212B2 (en) 2007-10-09
US7289213B2 (en) 2007-10-30
US7385699B2 (en) 2008-06-10
US7433040B2 (en) 2008-10-07
US7242477B2 (en) 2007-07-10
JP2012032408A (ja) 2012-02-16
JP6553145B2 (ja) 2019-07-31
EP1601931A2 (de) 2005-12-07
US20040257571A1 (en) 2004-12-23
WO2004076963A2 (en) 2004-09-10
JP5469688B2 (ja) 2014-04-16
US7298481B2 (en) 2007-11-20
US20040233444A1 (en) 2004-11-25
JP6668533B2 (ja) 2020-03-18
JP4932949B2 (ja) 2012-05-16
JP5280507B2 (ja) 2013-09-04
US20040169861A1 (en) 2004-09-02
US7663753B2 (en) 2010-02-16
US20080024766A1 (en) 2008-01-31
US7564557B2 (en) 2009-07-21
US7301634B2 (en) 2007-11-27
US7379183B2 (en) 2008-05-27

Similar Documents

Publication Publication Date Title
DE602004032117D1 (de) Verfahren zum erkennen von überlagerungsfehlern mittels scatterometrie
AU2003300005A1 (en) Differential critical dimension and overlay metrology apparatus and measurement method
SG158187A1 (en) Lithographic apparatus with multiple alignment arrangements and alignment measurement method
WO2007126559A3 (en) Apparatus and method for detecting overlay errors using scatterometry
US10203200B2 (en) Analyzing root causes of process variation in scatterometry metrology
EP2458441A3 (de) Messverfahren, Vorrichtung und Substrat
TWI266042B (en) Method to determine the value of process parameters based on scatterometry data
DK1776587T3 (da) Anvendelse af C3a og derivater deraf som biomarkör for colorektalt adenom og/eller carcinom, diagnosemetoder og assays under anvendelse deraf
WO2007120996A3 (en) Method and apparatus for compact spectrometer for detecting hazardous agents
ATE532051T1 (de) Differenzielle reflexionsspektroskopieverfahren zur erkennung von sprengstoffen
WO2007054799A3 (en) Real-time calibration for downhole spectrometer
EP2071402A3 (de) Ausrichtungsverfahren, Ausrichtungssystem und Produkt mit Ausrichtungsmarkierung
WO2006060562A3 (en) Method for designing an overlay mark
CN104205020B (zh) 光传感器
CY1113940T1 (el) Μεθοδος και διαταξη για την ανιχνευση ζωντων κυτταρων φυτοπλαγκτου σε νερο
ATE515693T1 (de) Nachweis einer zielsubstanz in einer probe
WO2002091026A3 (en) Efficient phase defect detection system and method
EP1753017A4 (de) Analyseverfahren, belichtungsgeräte und belichtungsgerätesystem
CA2701341A1 (en) Method of assessing colorectal cancer from a stool sample by use of the marker combination calprotectin and hemoglobin/haptoglobin complex
ATE545002T1 (de) Vorrichtung und verfahren zur bestimmung von oberflächeneigenschaften
DE602006009766D1 (de) Verfahren und vorrichtung zur durchführung einer sensorfusion zur bereitstellung einer position eines interessierenden ziels
TW200709022A (en) Improved motion detection mechanism for laser illuminated optical mouse sensor
WO2007134000A3 (en) Long-range gap detection with interferometric sensitivity using spatial phase of interference patterns
JP2015520377A5 (de)
DE60315715D1 (de) Verwendung von nicotinamide n-methyltransferase zur diagnose von kolorektalem krebs