DE60220063T2 - Integrierung von fehlererkennung mit run-to-run steuerung - Google Patents

Integrierung von fehlererkennung mit run-to-run steuerung Download PDF

Info

Publication number
DE60220063T2
DE60220063T2 DE60220063T DE60220063T DE60220063T2 DE 60220063 T2 DE60220063 T2 DE 60220063T2 DE 60220063 T DE60220063 T DE 60220063T DE 60220063 T DE60220063 T DE 60220063T DE 60220063 T2 DE60220063 T2 DE 60220063T2
Authority
DE
Germany
Prior art keywords
run
error
setpoint
computer readable
properties
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE60220063T
Other languages
English (en)
Other versions
DE60220063D1 (de
Inventor
Terry P. San Jose REISS
Arulkumar P. Sunnyvale SHANMUGASUNDRAM
Alexander T. Austin SCHWARM
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of DE60220063D1 publication Critical patent/DE60220063D1/de
Application granted granted Critical
Publication of DE60220063T2 publication Critical patent/DE60220063T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B23/00Testing or monitoring of control systems or parts thereof
    • G05B23/02Electric testing or monitoring
    • G05B23/0205Electric testing or monitoring by means of a monitoring system capable of detecting and responding to faults
    • G05B23/0259Electric testing or monitoring by means of a monitoring system capable of detecting and responding to faults characterized by the response to fault detection
    • G05B23/0286Modifications to the monitored process, e.g. stopping operation or adapting control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM]
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM]
    • G05B19/41865Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM] characterised by job scheduling, process planning, material flow
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/31From computer integrated manufacturing till monitoring
    • G05B2219/31357Observer based fault detection, use model
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/31From computer integrated manufacturing till monitoring
    • G05B2219/31443Keep track of nc program, recipe program
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Description

  • Bereich der Erfindung
  • Die vorliegende Erfindung betrifft allgemein Halbleiterfertigung. Insbesondere betrifft die vorliegende Erfindung Techniken zur Fertigung von Halbleitern durch Integrieren von Fehlererkennung mit Run-to-Run-Steuerung.
  • Hintergrund der Erfindung
  • Bei typischen Halbleiterfertigungsprozessen werden Halbleiterwafer, oder einfach Wafer, durch eine Reihe von Stationen vorgeschoben, was als Fab bezeichnet wird. An jeder Stelle in diesem einer Fertigungsstraße ähnlichen Prozess führen Bearbeitungsgeräte oder -werkzeuge Bearbeitungsvorgänge aus, um die Wafer zu modifizieren. Zum Beispiel kann ein Werkzeug verschiedene Schichten auf die Wafer aufbringen (z. B. ein Abscheidungswerkzeug), während ein anderes die Schichten modifizieren kann (z. B. Ätzwerkzeuge), so dass ein fertiges Halbleiterprodukt gebildet wird.
  • Bei der Wanderung durch die Fertigungsstraße werden periodische Qualitätsprüfungen an den Wafern durchgeführt. Die Qualitätsprüfungen beinhalten typischerweise Messen von Breiten mikroskopischer Leitungen und Filmdicken am Wafer auf Abweichungen. Bei vielen der Qualitätsprüfungen können die Messungen nur vorgenommen werden, nachdem die Wafer Bearbeitungsvorgänge durchlaufen haben, die nach denen liegen, die für die Bildung von Abweichungen verantwortlich sind. Darüber hinaus vergehen zwischen dem Auftreten der Abweichung und ihrem Nachweis eine gewisse Zeit und eine Reihe von Prozessschritten. Daher kann eine Reihe von Prozessen am Wafer vorgenommen worden sein, selbst nach dem eine Abweichung eingetreten ist. Gleichermaßen kann ein Werkzeug weiter Wafer bearbeiten, selbst nachdem es begonnen hat, Abweichungen zu produzieren. In jedem Fall muss eine Reihe von Wafern verworfen werden.
  • Es sind herkömmliche Techniken bekannt, die einige dieser Probleme ansprechen. Zwei Beispiele beinhalten Run-to-Run-Steuerung und Fehlererkennung.
  • Allgemein gesagt, spricht Run-to-Run-Steuerung Driften beim Prozessausstoß (d. h. Driften von Prozessvorgaben) an, wobei Daten von abgehenden und ankommenden Wafern mit Modellierungstechniken verwendet werden, um Prozessparameter einzustellen. Diese Driften betreffen kleine Veränderungen in der Art, wie das Werkzeug Produktausstoß aufgrund normalen Werkzeugeinsatzes produziert. Zum Beispiel verschleißen beim chemisch-mechanischen Polieren (CMP), die zum Verringern der Filmdicke verwendeten Polierscheiben mit der Zeit. Als Folge davon erfordern verschlissene Polierscheiben unausbleiblich mehr Zeit als neue Beläge, um eine gewünschte Dicke zu erreichen. Es kann Run-to-Run-Steuerung eingesetzt werden, um diese Arten von Problemen dadurch anzusprechen, dass ein Prozessparameter wie Polierzeit eingestellt wird, um Faktoren wie Verschleiß einer Polierscheibe zu berücksichtigen.
  • Die Run-to-Run-Steuerung verwendet Metrologiedaten, die an einem oder mehreren Prozessschritten aufgenommen sind, um Prozessvorschriften (d. h. einen Satz vordefinierter Prozessparameter, die erforderlich sind, um ein Bearbeitungsergebnis zu bewirken) auf einer Run-to-Run-Basis einzustellen. Ein Durchlauf (Run) kann einen oder mehrere Schritte eines Fertigungsprozesses für einen Wafer bilden. Er kann in Abhängigkeit von den besonderen Bedürfnissen und Kapazitäten des Prozessschritts und der Fab eine Charge Waferpartien, eine einzige Partie oder sogar einen einzelnen Wafer beinhalten. Allgemein verwen det Run-to-Run-Steuerung die bei jedem Prozess oder Werkzeug gemessenen Daten, um Wafereigenschaften (z. B. Filmdicke, Gleichmäßigkeit usw.) nahe ihrer Nominalwerte zu halten, indem kleine Modifikationen oder Einstellungen an den Sollwerten in der Vorschrift jedes Werkzeugs vorgenommen werden. In typischen Fällen werden während oder unmittelbar nach einem Prozessschritt an einem bestimmten Werkzeug aufgenommene Daten zurückgemeldet, um die Vorschrift für den folgenden Durchlauf einzustellen. Gleichermaßen können Daten an das nächste Werkzeug gesendet werden, um nachfolgende Vorschriften einzustellen. Auf diese Weise kann Run-to-Run-Steuerung verwendet werden, um Driften im Prozessausstoß anzusprechen.
  • Aus WO 00/79355 A1 ist eine Run-to-Run-Steuerung bekannt, die eine automatische Run-to-Run-Steuerungseinrichtung zum Steuern von Fertigungsprozessen beschreibt, die einen Satz Bearbeitungswerkzeuge, einen Satz Metrologiewerkzeuge zum Aufnehmen von Metrologiemessungen an den Bearbeitungswerkzeugen und eine Überwachungsstation zum Verwalten und Steuern der Bearbeitungswerkzeuge umfasst. Die Überwachungsstation umfasst eine Schnittstelle zum Empfangen von Metrologiedaten von den Metrologiewerkzeugen und eine Reihe von Tabellen variabler Parameter, eine für jedes der Bearbeitungswerkzeuge, die kollektiv einer Fertigungsprozessvorschrift zugeordnet sind. Die Überwachungsstation beinhaltet auch ein oder mehrere interne Modelle, die empfangene Metrologiedaten zu einer oder mehreren Variablen für ein Bearbeitungswerkzeug in Beziehung setzen, und die in einer Tabelle variabler Parameter gespeicherte Variablen modifizieren können, um Bearbeitungswerkzeuge unter Verwendung von Feedback- und/oder Feedforward-Steueralgorithmen zu steuern.
  • Während Run-to-Run-Steuerung verwendet werden kann, um Prozessdriften anzusprechen, ist sie nicht geeignet für Situationen, in denen ein Werkzeug, ungeachtet von Einstellungen, die an Sollwerten der Vor schrift vorgenommen werden, einfach nicht mehr in der Lage ist, ein akzeptables Produkt zu produzieren. Gleichermaßen spricht eine Run-to-Run-Steuerung keine Situationen an, in denen ein Wafer einen Defekt enthält. Diese Situationen werden Werkzeug- oder Wafereigenschaftsfehler genannt. Ein Werkzeug, dass einen Fehler- oder Versagenszustand erfahren hat, bewirkt das Auftreten von Abweichungen oder Defekten an den Wafern. Gleichermaßen gibt ein Wafereigenschaftsfehler einen Zustand am Wafer an, der nicht mehr behoben werden kann. Es können eine Reihe von Verfahren angewendet werden, um diese Zustände zu erfassen. Zum Beispiel kann ein signifikanter Temperaturabfall von der Temperatur, die zum Durchführen des bestimmten Prozessvorgangs erforderlich ist, einen Fehler signalisieren. Ein weiteres Beispiel eines Fehlerzustands kann eine Spitze im Durchsatz eines Prozessmaterials sein. Bei diesen Gelegenheiten behandeln Run-to-Run-Steuerungen den Fehler als Drift und versuchen die Situation durch Einstellen der Werkzeugvorschrift zu beheben, obwohl die Einstellungen einfach nicht in der Lage sind, das Problem anzusprechen. Daher fährt das Werkzeug fort, Abweichungen in folgenden Wafern zu produzieren oder setzt die Bearbeitung eines fehlerhaften Wafers fort, statt das Werkzeug in akzeptable Betriebsbedingungen zurückzuführen, was dadurch zu zusätzlichem Ausschuss führt.
  • Im Gegensatz zur Run-to-Run-Steuerung überwacht Fehlererfassung Prozessgeräteparameter und Waferattribute, um Eigenschaftsversagen oder Fehlerzustände an Werkzeug und Wafer zu erfassen. Fehlererfassungssysteme sammeln Prozessdaten und analysieren die Daten auf Abnormalitäten oder Fehler beim Betrieb der Prozessgeräte. Wenn ein Fehler erfasst ist, kann das Fehlererfassungssystem über verschiedene Verfahren zur Reaktion darauf verfügen. Zum Beispiel kann das System einen Geräteführer aufmerksam machen oder sogar die Funktion des Prozessgeräts beenden.
  • Ein Beispiel einer Fehlererfassungstechnik ist in WO 01/18623 A1 beschrieben, wobei ein Verfahren und eine Vorrichtung für eine Erfassung fast in Echtzeit in einem Fertigungsprozess zur Verfügung gestellt wird. Die Vorrichtung beinhaltet ein Bearbeitungswerkzeug, das geeignet ist, ein Bearbeitungsteil zu fertigen und eine Schnittstelle, die mit dem Bearbeitungswerkzeug gekoppelt ist, so dass Betriebsdaten vom Bearbeitungswerkzeug empfangen werden, die der Fertigung des Bearbeitungsteils zugeordnet sind. Es ist eine Fehlererfassungseinheit vorgesehen, um zu bestimmen, ob am Bearbeitungswerkzeug ein Fehlerzustand vorliegt. Es ist ferner ein Advanced-Process-Control(APC)-Rahmenwerk vorgesehen, das Betriebsdaten von der ersten Schnittstelle empfängt und die Daten zur Fehlererfassungseinheit sendet, wenn die Daten von der ersten Schnittstelle empfangen sind.
  • Während Fehlererfassung geeignet ist, um Eigenschaftsversagenssituationen an Werkzeug oder Wafer zu behandeln, spricht sie Prozessdriften nicht an. Daher bleiben Fehlererfassungssysteme untätig, bis ein Werkzeug oder Prozess versagt, und ermöglichen eine Drift der Werkzeuge von optimalen Betriebszuständen.
  • Dadurch ist ersichtlich, dass ein Bedarf an zunehmend effizienteren Techniken zur Bearbeitung von Wafern besteht. Insbesondere wird ein System benötigt, das in der Lage ist, sowohl Prozessdriften als auch Fehlerzustände anzusprechen.
  • Zusammenfassung der Erfindung
  • Die vorliegende Erfindung spricht die oben beschriebenen Probleme an, indem Run-to-Run- und Fehlererfassungstechniken integriert werden. Speziell stellt die Erfindung in einem ersten Aspekt ein Verfahren zur Bearbeitung von Gegenständen zur Verfügung, wobei das Verfahren die Schritte umfasst:
    • 1) Bearbeiten der Gegenstände gemäß einer Vorschrift, wobei die Vorschrift mindestens einen Sollwert zum Erreichen einer oder mehrerer Vorgabeeigenschaften des Gegenstands beinhaltet,
    • 2) Messen der Eigenschaften des Gegenstands,
    • 3) Erfassen von Zuständen, die einen Fehlerzustand anzeigen, unter Verwendung eines Fehlererfassungssystems,
    • 4) Modifizieren des mindestens einen Sollwerts der Vorschrift gemäß den gemessenen Eigenschaften des Gegenstands, um bei Fehlen eines Fehlerzustands die Vorgabeeigenschaften des Gegenstands beizubehalten, und kein Modifizieren des mindestens einen Sollwerts der Vorschrift gemäß den gemessenen Eigenschaften des Gegenstands bei Vorliegen eines Fehlerzustands; und gekennzeichnet durch
    • 5) Aussenden eines Hinweises auf eine Änderung am mindestens einen Vorschriftsollwert wie in Schritt 4) modifiziert, an das Fehlererfassungssystem.
  • Darüber hinaus stellt die Erfindung in einem zweiten Aspekt ein System zur Bearbeitung von Gegenständen zur Verfügung, wobei das System umfasst:
    eine Run-to-Run-Steuerung zum Bearbeiten der Gegenstände gemäß einer Vorschrift, wobei die Vorschrift mindestens einen Sollwert zum Erreichen einer oder mehrerer Vorgabeeigenschaften des Gegenstands beinhaltet,
    einen Sensor zum Messen von Gegenstandseigenschaften,
    einen Fehlerdetektor zum Erfassen von Zuständen, die einen Fehlerzustand anzeigen,
    wobei die Run-to-Run-Steuerung den mindestens einen Sollwert der Vorschrift gemäß den Eigenschaften des Gegenstands modifiziert, um bei Fehlen eines Fehlerzustands, der vom Fehlerdetektor erfasst ist, die Vorgabeeigenschaften des Gegenstands beizubehalten, und wobei die Run-to-Run-Steuerung den mindestens einen Sollwert der Vorschrift gemäß den Eigenschaften des Gegenstands bei Vorliegen eines Fehlerzustands, der vom Fehlerdetektor erfasst ist, nicht modifiziert, und wobei ein Hinweis auf eine Änderung des mindestens einen Vorschriftsollwerts wie durch die Run-to-Run-Steuerung modifiziert an den Fehlerdetektor ausgesendet wird.
  • Weitere Aspekte und Merkmale der Erfindung sind aus den beigefügten Ansprüchen ersichtlich.
  • Kurze Beschreibung der Zeichnungen
  • Verschiedene Gegenstände, Merkmale und Vorteile der vorliegenden Erfindung sind besser erkennbar und besser verständlich mit Bezug zu der folgenden ausführlichen Beschreibung der vorliegenden Erfindung in Verbindung mit den beigefügten Zeichnungen, in denen:
  • 1 eine Hardwareblockdiagrammdarstellung mindestens eines Beispiels eines Teils eines Halbleiterfertigungssystems ist, das zum Implementieren mindestens einiger Konzepte der vorliegenden Erfindung einsetzbar ist,
  • 2 mindestens ein Beispiel eines Steuersystems darstellt, das beim Halbleiterfertigungssystem von 1 zum Herstellen von Halbleiterwafern implementierbar ist,
  • 3 mindestens ein Beispiel eines Prozesses abbildet, der zum Steuern eines Fertigungsprozesses in einer oder mehreren Ausführungsformen der vorliegenden Erfindung implementierbar ist,
  • 4 mindestens ein Beispiel eines Prozesses abbildet, der zum Implementieren eines Run-to-Run-Steuervorgangs in einer oder mehreren Ausführungsformen der vorliegenden Erfindung anwendbar ist,
  • 5 mindestens ein Beispiel eines Prozesses abbildet, der zum Implementieren eines Fehlererfassungssteuervorgangs in einer oder mehreren Ausführungsformen der vorliegenden Erfindung anwendbar ist,
  • 6 ein Blockdiagramm ist, das Aspekte von Recheneinrichtungen abbildet, die als Teil von und zur Verwendung mit einer oder mehreren Ausführungsformen der vorliegenden Erfindung vorgesehen sind, und
  • 7 ein Beispiel eines Speichermediums darstellt, das zum Speichern eines auf Computer implementierten Prozesses in einer oder mehreren Ausführungsformen der vorliegenden Erfindung verwendbar ist.
  • Ausführliche Beschreibung der Erfindung
  • Gemäß einer oder mehreren Ausführungsformen der vorliegenden Erfindung wird eine Technik zum Bearbeiten von Halbleiterwafern in Verbindung mit einem Fertigungsausführungssystem unter Verwendung einer Run-to-Run-Steuerung und eines Fehlererfassungssystems zur Verfügung gestellt. Insbesondere überträgt das Fertigungsausführungssystem eine Vorschrift zur Run-to-Run-Steuerung zum Steuern eines Werkzeugs. Diese Vorschrift beinhaltet einen Sollwert zum Erhalten einer oder mehrerer Vorgabeeigenschaften des Wafers. Außerdem überwacht das Fehlererfassungssystem die Bearbeitung der Wafer durch Messung von Bearbeitungsattributen, darunter Fehlerzustände und Wafereigenschaften. Die Run-to-Run-Steuerung modifiziert die Sollwerte der Vorschrift gemäß der Bearbeitungsattribute (die vom Fehlererfassungssystem sowie z. B. anderen Informationsaufnahmequellen empfangen sind), um die Vorgabeeigenschaften des Wafers einzuhalten, mit Ausnahme von Fällen, wenn ein Fehlerzustand durch das Fehlererfassungssystem erfasst ist.
  • 1 zeigt mindestens ein Beispiel eines Hardwareblockdiagramms mit einer Darstellung eines Teils eines Halbleiterfertigungssystems 100, das zum Implementieren mindestens eines oder mehrerer Aspekte der vorliegenden Erfindung anwendbar ist. Wie in 1 gezeigt ist, weist das Halbleiterfertigungssystem 100, neben anderen Komponenten, ein Fehlererfassungssystem 110, eine Run-to-Run-Steuerung 120 und ein oder mehrere Stücke von Prozessgerät oder -werkzeug 150 auf, die jeweils über ein Netzwerk 130 miteinander verbunden sind. Wie oben erwähnt, ist das Fehlererfassungssystem 110 für die Überwachung eines oder mehrerer Werkzeuge 150 und Wafer zum Zwecke der Erfassung von Fehlerzuständen zuständig. Die Run-to-Run-Steuerung 120 ist für das Modifizieren von Werkzeugvorschriften zum Zwecke der Erhöhung der Fertigungseffizienz zuständig. Obwohl 1 das Fehlererfassungssystem 110 und die Run-to-Run-Steuerung 120 als separate oder abgegrenzte Komponenten abbildet, sehen eine oder mehrere Ausführungsformen der vorliegenden Erfindung eine Implementierung des Fehlererfassungssystem 110 und der Run-to-Run-Steuerung 120 in einem einzigen Rechenknoten vor.
  • Außer der Run-to-Run-Steuerung 120 und dem Fehlererfassungssystem 110 sehen eine oder mehrere Ausführungsformen der vorliegenden Erfindung vor, dass eine beliebige Anzahl von metrologischen Werkzeugen oder Sensoren 190 vor oder hinter jedem der einen oder mehreren Werkzeuge 150 zum Messen von Wafereigenschaften unmittelbar vor oder nach einer Bearbeitung durch ein oder mehrere Werkzeuge 150 positioniert sein kann. Metrologische Werkzeuge 190, falls vorhanden, können mit dem Rest des Systems 100 über ein Netzwerk 130 verknüpft sein. Gleichermaßen können Eingangswafereigenschaften auch von einem vorgeordneten oder Feedforward-Werkzeug (z. B. einem vor einem anderen Werkzeug positionierten Werkzeug) empfangen werden. Auf diese Weise können die Eigenschaften am Ende oder während eines vorhergehenden Fertigungsschritts von Sensoren bei einem anderen Werkzeug gemessen werden und zur Verwendung beim vorliegenden Werkzeug ausgesendet werden. Beispiele solcher metrologischer Werkzeuge 190 beinhalten RS-75TM, das von KLA-Tencor in San Jose, Kalifornien angeboten wird.
  • Das eine oder die mehreren Werkzeuge 150 können eine beliebige Anzahl von unterschiedlichen Arten von Werkzeugen sein, die zum Bearbeiten eines Wafers zur Herstellung eines gewünschten Produkts verwendet werden. Beispiele beinhalten Werkzeuge für CMP, Lithographie, Abscheidung oder Ätzen und dergleichen. In einer oder mehreren Ausführungsformen der vorliegenden Erfindung können das eine oder die mehreren Werkzeuge eine Steuerung 152, eine beliebige Anzahl von Prozesskammern 154 und ein Wafermesshilfssystem 156 beinhalten. Wie nachfolgend ausführlicher diskutiert wird, verwendet die Steuerung 152 Information vom Fehlererfassungssystem 110 und der Run-to-Run-Steuerung 120 zum Bearbeiten der Wafer. Im Betrieb werden ankommende Wafer 160 zunächst in eine Prozesskammer 154 geführt. Von hier werden die Wafer bearbeitet und anschließend aus dem Werkzeug geführt. Beispiele solcher Prozesskammern beinhalten Dualplasmaätzkammern und CMP-Polierkammern.
  • Ein Wafermesshilfssystem 156 wird verwendet, um Wafereigenschaften vor, während und/oder nach Waferbearbeitung zu messen. Diese Eigenschaften hängen von der Art des eingesetzten Werkzeugs ab und können Filmdicke, Gleichmäßigkeit und dergleichen beinhalten. Das Wafermesshilfssystem 156 kann In-situ-Sensoren beinhalten, die in der Lage sind, Waferparameter bei der Bearbeitung in Echtzeit zu messen. Gleichermaßen kann das Wafermesshilfssystem 156 einen integrierten oder Inline-Sensor, der in oder nahe der Prozesskammer 154 liegt, für nahezu Echtzeitmessungen beinhalten. Beispiele von In-situ-Sensoren beinhalten den In Situ Removal Monitor, der von Applied Materials, Inc. in Santa Clara, Kalifornien angeboten wird. Beispiele von integrierten oder Inline-Sensoren beinhalten Werkzeuge, die bei Metrologietechniken integriert sind (z. B. Nova 2020TM angeboten von Nova Measuring Instruments, Ltd. in Rehovot, Israel oder Nano 9000TM angeboten von Nanometric in Santa Clara, Kalifornien.
  • Allgemein gesagt, führen das eine oder die mehreren Werkzeuge 150 Vorgänge an ankommenden Wafern 160 gemäß einer Prozessvorschrift, oder mit anderen Worten, einem Satz vordefinierter Prozessparameter, die ein Prozessergebnis bewirken aus. Zum Beispiel kann eine typische Vorschrift einen oder mehrere Sollwerte für eine beliebige Anzahl von Prozessen diktieren, die erforderlich sind, um ein gewünschtes Produkt zu erreichen. Daher kann eine Vorschrift die erforderliche Temperatur, Druck, Energie, Bearbeitungsdauer, Anhebungsposition und Durchsatz eines benötigten Materials zum Herstellen eines bestimmten Waferergebnisses identifizieren. Außerdem können auch andere Eigenschaften umfasst sein. Gemäß einer oder mehrerer Ausführungsformen der vorliegenden Erfindung verwendet die Steuerung 152 Information, die zum Beispiel von vorgeordneten metrologischen Werkzeugen und vorhergehenden Vorgängen oder Durchläufen des einen oder der mehreren Werkzeuge 150 empfangen ist, um diese Vorschriften, wenn nötig, zu modifizieren. Daher kann eine gemessene Filmdicke eines ankommenden Wafers der Steuerung 152 zusammen mit den Ergebnissen vorhergehender Durchgänge vor der Bearbeitung zugeführt werden. Diese Information kann dann von der Steuerung 152 verwendet werden, um einen oder mehrere Sollwerte der Prozessvorschrift zu modifizieren, um die Produktionseffizienz zu steigern.
  • Bei der Bearbeitung kann das Wafermesshilfssystem 156 zum Messen einer beliebigen Anzahl von Wafereigenschaften verwendet werden. Außerdem können Wafereigenschaften auch unmittelbar vor oder nach einer Bearbeitung gemessen werden. In einer oder mehreren Ausführungsformen der vorliegenden Erfindung kann das Hilfssystem 156 verwendet werden, um eine Beendigung der Bearbeitung (z. B. über Endpunkterfassung und dergleichen) zu erfassen. Sobald die Bearbeitung beendet ist, werden die Wafer aus der Prozesskammer 154 bewegt, zum Beispiel zu einem nachgeordneten Werkzeug. Jegliche Wafereigenschaften, die bei Beendigung der Bearbeitung aufgenommen sind, entweder vom Wafermesshilfssystem 156 oder einem anderen metrologischen Werkzeug, können zu einem nachgeordneten Werkzeug ausgesendet werden. Gleichermaßen können die gemessenen Eigenschaften zur Steuerung 152, dem Fehlererfassungssystem 110 und/oder der Run-to-Run-Steuerung 120 zur Verwendung beim Modifizieren künftiger Durchlaufe ausgesendet werden. Wie unten diskutiert wird, können die zum Fehlererfassungssystem 110 und der Run-to-Run-Steuerung 120 gesendeten Daten analysiert werden, um irgendwelche Fehlerzustände zu erfassen und um sie zum Modifizieren in nachfolgenden Prozessvorschriften zu verwenden.
  • Mit Bezug zu 2 ist ein Beispiel eines Steuerungssystems 200 dargestellt, das durch das Halbleiterfertigungssystem 100 implementierbar ist. Wie in 2 gezeigt ist, beinhaltet das Steuerungssystem 200 einen Steuerprozess 210, einen Fehlererfassungsprozess 220, einen Run-to-Run-Prozess 230 und einen Wafermessprozess 240. Der Steuerprozess 210 steuert die Funktion eines oder mehrerer Werkzeuge 150, wobei zum Beispiel einen Steueralgorithmus oder dergleichen verwendet wird. Zum Beispiel kann der Steuerprozess 210 für das Auswählen eines Werkzeugs oder einer Prozessvorschrift zuständig sein, die zum Bearbeiten eines Wafers verwendet werden. Diese Prozessvorschrift kann zum Beispiel von einem Prozessingenieur oder dergleichen in das System 200 eingegeben oder heruntergeladen werden. Die Vorschrift identifiziert zum Teil ein gewünschtes Ergebnis oder Endprodukt, das produziert werden soll, wie es durch eine beliebige Anzahl von Vorgabeeigenschaften spezifiziert ist. Diese Vorgabeeigenschaften können zum Beispiel ein gewünschte Endfilmdicke beinhalten, die von einem CMP-Werkzeug produziert wird. Außerdem empfängt der Steuerprozess 210 auch eine beliebige Anzahl von Wafervormessungen 214, zum Beispiel von einem vorgeordneten metrologischen Werkzeug. Diese Messungen beschreiben für den Steuerprozess 210 die Charakteristiken eines an kommenden Wafers und werden zum Bestimmen der Sollwerte der Vorschrift verwendet, wie es unten diskutiert wird.
  • Unter Verwendung dieser Eingaben (d. h. Vorschrift 212 und Messungen 214), erzeugt der Steuerprozess 210 spezifische Sollwerte, so dass ein gewünschtes Ergebnis bewirkt wird. Wie den Fachleuten bekannt ist, analysiert der Steuerprozess 210 die Vorgabeeigenschaften und Messungen 214 zum Beispiel unter Verwendung eines Modells zur Vorhersage der erwarteten Ergebnisse, die auf bestimmten Eingaben basieren. In diesem Fall werden die Vorgabeeigenschaften (z. B. Filmdicke) und Wafervormessungen (z. B. eine aktuelle Dicke) eingegeben. Dann können basierend auf einem Modell die Sollwerte vorhergesagt werden, die erforderlich sind, um die gewünschten Vorgabeeigenschaften zu erhalten. In einer oder mehreren Ausführungsformen der vorliegenden Erfindung kann das Modell zum Beispiel von einem Prozessingenieur oder dergleichen in einer Systeminitialisierungsphase eingegeben oder implementiert werden. Allgemein gesagt, es kann jegliches geeignete Halbleiterwaferfertigungsmodell verwendet werden.
  • Im Betrieb werden die Messungen einer beliebigen Anzahl von Wafereigenschaften vor, während und/oder nach Bearbeitung im Wafermesssystem 240 aufgenommen. Diese Eigenschaften werden dann zum Run-to-Run-Prozess 230 ausgesendet. Der Run-to-Run-Prozess 230 analysiert die vom Wafermesssystem 240 gemessenen Wafereigenschaften und bestimmt, ob an den Prozessvorschriften des Werkzeugs (z. B. über den Steuerprozess 210) irgendwelche Modifikationen vorgenommen werden können, um die Effizienz zu erhöhen. Als Erläuterung, wie oben beschrieben, beim CMP-Polieren neigen die Polierscheiben mit der Benutzung zum Verschleiß. Als Folge davon erfordern verschlissene Polierscheiben längere Polierzeiten als neue Scheiben, um eine bestimmte Filmdicke zu erreichen. Der Run-to-Run-Prozess 230 kann angewendet werden, um zu erkennen, dass eine längere Zeitdauer erforderlich ist, und das Polierwerkzeug so ausrichten, dass es, wenn notwendig, seine Polierzeit verlängert (z. B. wenn die Scheibe abgenutzt ist). Daher können die Ergebnisse der Run-to-Run-Prozessanalyse zum Steuerprozess 210 zur Verwendung beim Ansprechen von Prozessdriften in nachfolgenden Vorgängen ausgesendet werden.
  • Gemäß einer oder mehrerer Ausführungsformen der vorliegenden Erfindung, wird der Fehlererfassungsprozess 220 vom System 200 verwendet, um Fehlerzustände zu erfassen. Wie später ausführlicher beschrieben wird, verwendet der Fehlererfassungsprozess 220 Daten, die zum Beispiel von In-Situ- oder integrierten Sensoren bei der Bearbeitung aufgenommen sind. Eine oder mehrere Ausführungsformen sehen vor, dass ein Fehlererfassungsindex für diese Zwecke erzeugt wird. Speziell kann dieser Index vom Steuerprozess 210 genutzt werden, um zu bestimmen, ob Einstellungen an den Prozessvorschriften vorzunehmen sind. Zum Beispiel werden der Fehlererfassungsindex und die Ergebnisse der Analyse, die im Run-to-Run-Steuerprozess 230 ermittelt sind und zusammen mindestens einige der Bearbeitungsattribute bilden, die von der Erfindung verwendet werden, zum Steuerprozess 210 ausgesendet und analysiert, um zu bestimmen, ob eine Veränderung an den Prozessvorschriften vorgenommen werden sollte. Zum Beispiel erzeugt der Run-to-Run-Steuerprozess 230 Modifikationen an einer Vorschrift und der Fehlererfassungsprozess 220 identifiziert Fälle, in denen die Modifikation implementiert oder nicht implementiert werden sollte. Dementsprechend ist der Steuerprozess 210 in der Lage, eine Vorschrift nur dann zu modifizieren, wenn es angebracht ist (d. h. wenn die Werkzeugvorschrift in einer Weise eingestellt werden kann, die ein ansprechbares Problem oder eine Ineffizienz beheben kann). Daher ist das System 200 in der Lage, eine Implementierung von Modifikationen zu unterlassen, die nach Run-to-Run-Techniken unter "Fehlerzuständen" erzeugt sind.
  • 3 zeigt mindestens ein Beispiel eines Prozesses, der zum Steuern eines Fertigungsprozesses der vorliegenden Erfindung implementierbar ist. Nun mit Bezug zu 3 (in Verbindung mit 1) beginnt mindestens in dieser Ausführungsform die Bearbeitung mit dem Schritt zum Messen von Wafereigenschaften (Schritt 304). Speziell können die Wafereigenschaften vor dem Prozess vor ihrer Ankunft am Werkzeug (z. B. ein oder mehrere Werkzeuge 150) gemessen werden. Wie oben diskutiert können jegliche Nachmesssensoren, die an vorgeordneten Werkzeugen gelegen sind, In-Situ-Sensoren, integrierte oder Inline-Sensoren oder andere analoge Vorrichtungen verwendet werden. Es kann eine beliebige Anzahl von Wafereigenschaften an diesem Punkt gemessen werden, zum Beispiel Filmdicke, Gleichmäßigkeit, kritische Abmessungen, Partikelzahlen usw. Nach Messen dieser Wafereigenschaften werden die Daten zur Run-to-Run-Steuerung 120 ausgesendet (Schritt 308).
  • In Verbindung mit der Aussendung der gemessenen Daten zur Run-to-Run-Steuerung 120, wird der entsprechende Wafer mit zugeordneter Prozessinformation zu einem oder mehreren Werkzeugen 150 gegeben (Schritt 312). Außerdem überträgt ein Fertigungsausführungssystem (MES) Information bezüglich und zum Identifizieren der speziellen Vorschrift(en), die von einem oder mehreren Werkzeugen 150 zum Bearbeiten des Wafers zu verwenden sind. Wie den Fachleuten bekannt ist, kann das MES die speziellen Kammern, die zu verwenden sind, irgendwelche Prozessabfolgen, Routinginformation im Werkzeug, Einstellungen usw. identifizieren. Gleichermaßen ist das MES typischerweise für Automatisierung, Integration und Koordination jedes der Prozesse und Mittel zuständig, die zum Ausführen oder Produzieren eines Endprodukts erforderlich sind.
  • Anschließend führen ein oder mehrere Werkzeuge 150 ihren Fertigungsprozess aus (Schritt 316). Insbesondere bearbeiten ein oder meh rere Werkzeuge 150 den Wafer gemäß der vom MES empfangenen Information in Verbindung mit jeglicher Information, die von der Run-to-Run-Steuerung 120 und/oder dem Fehlererfassungssystem 110 bereitgestellt ist. Wie unten diskutiert wird, kann die von der Run-to-Run-Steuerung 120 empfangene Information verwendet werden, um die vom MES bereitgestellte Vorschrift zu modifizieren oder einzustellen, wenn kein Fehler vorliegt.
  • Bei der Ausführung des Prozesses überwacht das Fehlererfassungssystem 110 das Werkzeug auf Werkzeugfehler oder Werkzeugversagen und die Wafer auf Wafereigenschaftsfehler, wie unten diskutiert wird (Schritt 320). Die vom Fehlererfassungssystem durchgeführte Analyse, oder mit anderen Worten, ob ein Fehler erfasst ist, wird zur Run-to-Run-Steuerung 120 gesendet (Schritt 324). Zum Beispiel kann ein Fehlererfassungsindex (vom Fehlererfassungssystem 110) zur Steuerung 120 gegeben werden, um das Vorliegen oder Fehlen eines Fehlers zu identifizieren. Gemäß einer oder mehrerer Ausführungsformen der vorliegenden Erfindung, wird diese Information dann verwendet, um die Fälle zu bestimmen, in denen eine Vorschrift nach den Run-to-Run-Techniken modifiziert (oder nicht modifiziert) werden sollte.
  • Nachdem das Werkzeug die Ausführung beendet hat, wird der Wafer in einem Messschritt nach dem Prozess gemessen (Schritt 328). In einer oder mehreren Ausführungsformen der vorliegenden Erfindung können die Messungen unter Verwendung eines integrierten Sensors am Werkzeug vorgenommen werden. Gleichermaßen können andere Arten von Sensoren ebenso verwendet werden. Diese Information wird dann verwendet, um nachfolgende Vorschriften zu modifizieren, wie es hier diskutiert wird.
  • Gemäß einer oder mehrerer Ausführungsformen der vorliegenden Erfindung und wie es oben erwähnt ist, modifiziert die Run-to-Run-Steuerung 120 Werkzeugvorschriften unter Verwendung von Messungen nach dem Prozess in Verbindung mit Fehlererfassungsinformation. Speziell wird zunächst eine Bestimmung vorgenommen, ob der Prozess einen Fehler an einem Werkzeug oder einer Wafereigenschaften erfahren hat (Schritt 332). Zum Beispiel wird, wie unten ausführlicher diskutiert wird, ein Fehlererfassungsindex (z. B. eine oder mehrere Zahlenwerte, die einen oder mehrere Zustände angeben, die an einem Wafer und/oder Werkzeug vorliegen), der vom Fehlererfassungssystem 110 erzeugt ist, mit einem Bereich von akzeptablen Werten, zum Beispiel von der Run-to-Run-Steuerung 120 verglichen. Wenn der Index nicht akzeptabel ist, ist ein Fehler aufgetreten. Wenn ein Fehler aufgetreten ist, werden die Messungen nach dem Prozess, die in dem Durchlauf aufgenommen sind, bei dem der Werkzeugfehler aufgetreten ist, nicht zum Zwecke der Modifizierung nachfolgender Vorschriften verwendet (Schritt 336). Darüber hinaus kann die Bearbeitung insgesamt beendet werden. Wenn hingegen der Prozess bestimmt, dass kein Fehler aufgetreten ist, wird die Vorschrift unter den Run-to-Run-Techniken der vorliegenden Erfindung modifiziert (Schritt 340). Auf diese Weise werden Vorschriften in den Situationen modifiziert, in denen kein Werkzeugfehler aufgetreten ist.
  • 4 zeigt mindestens ein Beispiel eines Prozesses, der zum Implementieren einer Run-to-Run-Steuerverfahrensweise nach einem oder mehreren Konzepten der vorliegenden Erfindung geeignet ist. Zunächst werden Wafereigenschaften nach dem Prozess an Wafern aus einem vorhergehenden Prozess oder Werkzeug gemessen und zu einem Werkzeug ausgesendet, in dem die Run-to-Run- und Fehlererfassungstechniken der vorliegenden Erfindung zu implementieren sind (Schritt 404). Die Messungen können von dem vorgeordneten Werkzeug vorgenommen werden oder von einem metrologischen Werkzeug, das nach dem vorgeordneten Prozess, aber vor dem aktuellen Werkzeug positioniert ist. Gleichmaßen können die Messungen am aktuellen Werkzeug selbst, oder an irgendeiner anderen analogen Vorrichtung oder Stelle vor der Bearbeitung vorgenommen werden.
  • In bestimmten Fällen können die vorgeordneten Messungen nicht zulässig sein. Zum Beispiel kann es bei einigen Werkzeugen oder Prozessen zu zeitaufwändig sein, jeden Wafer zu messen. In diesen Situationen kann nicht jeder Wafer oder Durchlauf gemessen werden. Es kann zum Beispiel möglich sein, dass Messungen bei jedem zweiten oder dritten Durchlauf nicht vorgenommen werden. Messungen nach der Bearbeitung an diesen Wafern sind daher im Prozess nicht zulässig oder berücksichtigt. Daher werden die vorgeordneten Messungen geprüft, um zu bestimmen, ob sie zulässige Messungen sind (Schritt 408). Wenn nicht, ignoriert die Run-to-Run-Steuerung 120 die gemessenen vorgeordneten Messungen und setzt die Bearbeitung unter Verwendung von Sollwerten für vorhergehende Durchläufe fort (Schritt 432). Fall sie zulässig sind, können die Messungen zum Modifizieren der Bearbeitungsvorschrift des Werkzeugsverwendet werden.
  • Gemäß mindestens einiger Ausführungsformen der vorliegenden Erfindung, und wie unten ausführlicher beschrieben wird, können Modifikationen an der Vorschrift in einem Fehlererfassungsmodell als Variablen faktoriert werden. In diesen Ausführungsformen werden jegliche Sollwertveränderungen in der Vorschrift, die durch die Run-to-Run-Steuerung 120 implementiert sind, zum Fehlererfassungssystem 110 ausgesendet, das wiederum diese Vorschriftsmodifikationen verwendet, um neue Fehlerzustandsbereiche zu identifizieren. Auf diese Weise kann das Fehlererfassungssystem 110 empfindlich funktionieren (d. h. den Bereich von Fehlerzuständen einstellen, so dass sie mit modifizierten Sollwerten der Vorschrift übereinstimmen), selbst wenn von der Run-to-Run-Steuerung 120 Veränderungen der Vorschrift implementiert sind.
  • Es versteht sich, dass die speziellen Schritte und ihre Abfolge der bisher beschriebenen und abgebildeten Ausführungsformen Beispiele sind, und dass andere Einfügungen, Auslassungen und Konfigurationen in der vorliegenden Erfindung ebenso vorgesehen werden können. Zum Beispiel ist vorgesehen, dass alle Bearbeitungsattribute, die von der Run-to-Run-Steuerung empfangen sind, zunächst das Fehlererfassungssystem durchlaufen (oder daraus entstehen). In solchen Ausführungsformen kann, wenn ein Fehler erfasst ist, die Run-to-Run-Steuerung vielmehr nur z. B. eine Fehlerwarnung erhalten, statt irgendwelche Bearbeitungsattribute zu empfangen.
  • Gemäß einem oder mehreren Aspekten der vorliegenden Erfindung, und wie oben diskutiert, werden Durchläufe oder Wafer, die von Werkzeugen bearbeitet sind, die einen Werkzeugfehler erfahren haben oder die einen Wafereigenschaftsfehler erfahren haben, nicht in Run-to-Run-Prozessen für nachfolgende Durchläufe berücksichtigt. Daher wird Fehlererfassungsinformation bezüglich des Bearbeitungswerkzeugs berücksichtigt, bevor eine Weiterbearbeitung erfolgt (Schritt 416). Speziell wird, wenn ein Versagens- oder Fehlerzustand in einem vorhergehenden Durchlauf erfasst ist, die Vorschrift des Werkzeugs nicht modifiziert (Schritt 412). Darüber hinaus kann eine Fehlermeldung angezeigt werden und die Bearbeitung kann insgesamt unterbrochen werden.
  • Wenn in einem vorhergehenden Durchlauf kein Fehler erfasst wurde, werden notwendige Transformationen an den Daten durchgeführt (Schritt 420). Zum Beispiel können die von den Sensoren abgelesenen Rohdaten in eine bedeutungsvollere Form umgewandelt werden. Als ein Beispiel kann ein Gleichmäßigkeitsparameter ein Verhältnis zwischen einer Anzahl von Messungen erfordern. Daher wird in diesem Beispiel ein Verhältnis jeder der Messungen errechnet. Gleichermaßen erfordert ein Mittelwert der Filmdicke eine Transformation zum Mittelwert aller gemessenen Dicken. Ebenso ist es insgesamt möglich, dass für bestimmte Messungen keine Transformationen notwendig sind.
  • Nach Durchführung irgendwelcher Transformationen (falls notwendig), wird ein Steuerprozessalgorithmus ausgeführt, um das nächste vorhergesagte Produkt abzuschätzen (Schritt 424). Allgemein gesagt, verwendet der Algorithmus verschiedene Modellierungstechniken, die Werkzeugvorschrift und Information bezüglich der ankommenden Wafer und vorhergehender Prozessdurchläufe zum Erstellen eines vorhergesagten Produkts, das vom Werkzeug zu produzieren ist. Zum Beispiel kann unter Verwendung eines Modells, eine bestimmte Produktfilmdicke basierend auf Sollwerten, die Parametern wie Druck, Energie, Gasströmung usw. entsprechen, vorhergesagt werden.
  • Sobald die nächsten Produkte vom Steueralgorithmus vorhergesagt sind, wird das Produkt gegen Spezifikationsgrenzwerte verglichen (Schritt 428). Die Spezifikationsgrenzwerte geben akzeptable Grenzwerte einer Wafereigenschaft an. Wenn die Produkte in den Spezifikationsgrenzwerten liegen (d. h. wenn das vorhergesagte Produkt in einem akzeptablen Bereich liegt), sind keine Modifikationen notwendig und es werden erneut die gleichen Sollwerte verwendet, wie in einem vorhergehenden Durchlauf (Schritt 432). Wenn hingegen das vorhergesagte Produkt außerhalb der Spezifikationsgrenzwerte liegt, wird das vorhergesagte Produkt gegen den akzeptablen Bereich des Werkzeugs verglichen (Schritt 436). Der Bereich des Werkzeugs beschreibt die erreichbaren Kapazitäten des Werkzeugs. Wenn das vorhergesagte Produkt nicht in die Spezifikationsgrenzwerte gebracht werden kann, weil der Werkzeugbereich ungenügend ist, sind die gewünschten Ergebnisse daher nicht erreichbar. In diesem Fall ignoriert der Prozess die Ergebnisse, zeigt eine Fehlermeldung an und beendet beispielsweise die Bearbeitung (Schritt 440).
  • Wenn das vorhergesagte Produkt außerhalb der Spezifikationsgrenzwerte, aber innerhalb des Werkzeugbereichs liegt, kann eine Modifikation an der Werkzeugvorschrift vorgenommen werden (Schritt 444). Insbesondere können ein oder mehrere Sollwerte der Vorschrift nach Standardmodellierungstechniken modifiziert werden. In vielen Fällen werden diese Modelle von Prozessingenieuren erstellt und bei der Initialisierungsphase der Anlage in das System heruntergeladen, wie es den Fachleuten bekannt ist. Sobald die Einstellungen, die erforderlich sind, um das gewünschte Produkt zu erhalten, abgeschätzt sind, wird der Prozess ausgeführt (Schritt 448). Auf diese Weise verwendet in einer oder mehreren Ausführungsformen der vorliegenden Erfindung der Steueralgorithmus eine oder mehrere Vorgabeeigenschaften des Wafers (d. h. gewünschte Produkte), gemessene Eigenschaften des ankommenden Wafers und Modifikationen an einer Werkzeugvorschrift, wie sie von den Run-to-Run- und Fehlererfassungstechniken bestimmt sind, um Halbleiterwafer effizient zu produzieren.
  • 5 zeigt mindestens ein Beispiel eines Prozesses, der zum Implementieren einer Fehlererfassungssteuerverfahrensweise nach einer oder mehreren Ausführungsformen der vorliegenden Erfindung anwendbar sind. Zunächst identifiziert das Fehlererfassungssystem 110 die an einem Werkzeug oder Prozess implementierte Vorschrift (Schritt 504). Gemäß der verwendeten Vorschrift wird ein Fehlererfassungsmodell aufgebaut oder ausgewählt (Schritt 508). Wie den Fachleuten bekannt ist, können Fehlererfassungsmodelle verwendet werden, um einen Zustandsbereich zu definieren, der einen Fehlerzustand anzeigt. Daher wird ein Modell verwendet, das spezifisch einer Vorschrift zugeordnet ist.
  • Nach Auswahl eines Fehlererfassungsmodells beginnt der Fertigungsprozess, bei dem Sensoren verwendet werden, um Wafereigenschaften wie Filmdicke, Gleichmäßigkeit usw. in Echtzeit aufzunehmen. Alternativ können die Wafereigenschaften vor oder nach einem Prozess aufgenommen werden. Diese Eigenschaften werden mit dem Fehlererfassungsmodell verglichen, um einen Fehlererfassungsindex oder ein Fehlerereignis zu bilden (d. h. einen Auslöser). Wie den Fachleuten bekannt ist, kann eine beliebige Anzahl an Methoden verwendet werden, um den Fehlererfassungsindex zu erzeugen. Zum Beispiel kann eine statistische Prozesssteuerung, neuronales Netzwerk oder modellbasierte Analysetechnik oder dergleichen verwendet werden. Der Index stellt den Optimalzustand der vom Werkzeug produzierten Wafer dar. Daher kann der Index mit einem bestimmten Wert verglichen werden, um einen Werkzeugfehler oder ein Werkzeugversagen anzuzeigen. Wie oben diskutiert, bildet dieser Index mindestens einen Teil der Waferbearbeitungsattribute, die bei der vorliegenden Erfindung zum Optimieren einer Waferproduktion verwendet werden. Zum Beispiel kann, wie ebenfalls oben diskutiert wurde, die Run-to-Run-Steuerung 120 gemessene Wafereigenschaften aus Durchläufen ignorieren, die von Werkzeugen produziert wurden, die einen Fehlerzustand erfahren haben.
  • Wie oben kurz erwähnt ist, sehen mindestens einige der Ausführungsformen der vorliegenden Erfindung Faktorisierungsmodifikationen an der Vorschrift von der Run-to-Run-Steuerung 120 in das Fehlererfassungsmodell als unabhängige Parameter vor. Auf diese Weise kann das Fehlererfassungssystem 110 geeignet sein, einen Bereich von Fehlerzuständen neu zu definieren, um Veränderungen der Vorschrift unterzubringen, so dass die Systemempfindlichkeit erhöht wird.
  • Insbesondere können Fehlerzustandsgrenzen entsprechend und unter Berücksichtigung von Veränderungen oder Modifikationen von Sollwerten der Vorschrift neu definiert werden. Insbesondere kann durch Einstellen von Fehlerzustandsbereichen gemäß Modifikationen an Sollwerten der Vorschrift ein engerer Bereich von Fehlerzuständen im Fehlererfassungsmodell implementiert werden. Mindestens in einigen Ausfüh rungsformen der vorliegenden Erfindung können Fehlerzustandsbereiche gemäß einem festgelegten Abstand von einem Sollwert der Vorschrift festgesetzt werden. Auf diese Weise führt bei diesen Ausführungsformen eine Modifikation eines Sollwerts zu einer entsprechenden Modifikation des Fehlerzustandsbereichs.
  • Als Beispiel wird in einem eindimensionalen Fall ein fester Sollwert der Vorschrift zum Erhalten einer speziellen Vorgabeeigenschaft als Anfangswert gesetzt (z. B. fünfzig Einheiten). Gemäß dem Fehlererfassungsmodell, das dieser Vorschrift zugeordnet ist, können Fehlerzustandsgrenzen zunächst auf einen vorgegebenen Bereich gesetzt werden (z. B. achtundvierzig und zweiundfünfzig Einheiten). Daher führen tatsächliche Wafereigenschaftsmessungen außerhalb des vorgegebenen Bereichs (z. B. über zweiundfünfzig und unter achtundvierzig Einheiten) zu einem Fehlerzustand. Unter diesen Fehlerzuständen kann, wie oben diskutiert, die Bearbeitung beispielsweise beendet werden.
  • Bei der Bearbeitung können von der Run-to-Run-Steuerung 120 Modifikationen an einem Sollwert der Vorschrift vorgenommen werden, um eine Prozessproduktdrift anzusprechen. Daher kann im obigen Beispiel die Run-to-Run-Steuerung 120 den Sollwert der Vorschrift erhöhen (z. B. von fünfzig auf dreiundfünfzig Einheiten), was unbeabsichtigt zu einem Fehlerzustand führt. Unter Berücksichtigung normaler Run-to-Run-Modifikationen wäre eine Lösung eine Erhöhung des Bereichs der Fehlerzustände (z. B. auf dreiundvierzig und siebenundfünfzig Einheiten). Diese Lösung macht jedoch die Fehlererfassungskapazität weniger empfindlich. Zur Verbesserung dieses Punkts sehen Ausführungsformen der vorliegenden Erfindung ein Einbringen der modifizierten Sollwerte in die Fehlererfassungsmodelle vor, um Fehlerzustandsgrenzen zu erzeugen, die auf einem Abstand vom Sollwert basieren. Auf diese Weise wird die Systemempfindlichkeit nicht beeinträchtigt, wenn Run-to-Run-Techniken in Fehlererfassungskonzepte integriert werden. Daher wird in die sem Beispiel der Bereich von Fehlerzuständen auf einundfünfzig bis fünfundfünfzig gesetzt.
  • Mehrdimensionale Szenarien für die Integration sind ähnlich. In diesen Fällen können fehlerfreie Zustandsbereiche als ein Abstand von den Koordination des mehrdimensionalen Sollwerts betrachtet werden. Wenn eine oder mehrere Koordinaten, die den Sollwert in einer Vorschrift definieren, von der Run-to-Run-Steuerung 120 modifiziert werden, kann der Bereich der Fehlerzustandsgrenzen als Funktion der veränderten Vorschriftsparameter neu definiert werden.
  • Außerdem können mindestens in einigen Ausführungsformen der Szenarien mit Mehrfacheingabe und Mehrfachausgabe, ein Abstand zwischen einem vorhergesagten Produktwert und einem tatsächlich gemessenen Produktwert als Messgröße zur Fehlererfassung verwendet werden. Daher kann die Differenz zwischen den vorhergesagten und tatsächlichen Werten verwendet werden, um Fehlerzustandsgrenzen zu bestimmen.
  • 6 stellt bei 640 allgemein ein Blockdiagramm eines Beispiels der internen Hardware potentiell aller Komponenten des Systems 100 von 2 dar, wobei Beispiele einen einer Reihe unterschiedlicher Arten von Computern beinhalten, wie denen mit Prozessoren auf Basis von PentiumTM, wie sie von der Intel Corporation in Santa Clara, Kalifornien hergestellt werden. Ein Bus 656 dient als Hauptinformationsverbindung, die die anderen Komponenten des Systems 100 miteinander verbindet. CPU 658 ist die Zentraleinheit des Systems, die Berechnungen und logische Operationen durchführt, die zum Ausführen der Prozesse der vorliegenden Erfindung sowie anderer Programme erforderlich sind. Ein Lesespeicher (ROM, Read Only Memory) 660 und ein Direktzugriffspeicher (RAM, Random Access Memory) 662 bilden den Hauptspeicher des Systems. Eine Plattensteuerung 664 verbindet über Schnittstellen ein oder mehrere Plattenlaufwerke mit dem Systembus 656. Diese Plattenlaufwerke sind zum Beispiel Floppy-Disk-Laufwerke 670 oder CD-ROM oder DVD(Digital Video Disk)-Laufwerke 666 oder interne oder externe Festplattenlaufwerke 668. Die CPU 658 kann eine beliebige Anzahl von verschiedenen Arten von Prozessoren sein, darunter die von der Intel Corporation oder Motorola von Schaumberg, Illinois hergestellten. Die Haupt-/Zwischenspeichervorrichtungen können eine beliebige Anzahl verschiedener Arten von Speichervorrichtungen sein, wie DRAM und SRAM sowie verschiedene Arten von Speichervorrichtungen wie magnetische und optische Medien. Darüber hinaus können die Haupt-/Zwischenspeichervorrichtungen auch die Form einer Übertragung annehmen.
  • Eine Anzeigenschnittstelle 672 verbindet die Anzeige 648 und ermöglicht, dass Information vom Bus 656 auf der Anzeige 648 angezeigt wird. Die Anzeige 648 ist auch ein optionales Zubehör. Kommunikation mit externen Geräten, wie den anderen Komponenten des oben beschriebenen Systems, erfolgen zum Beispiel unter Verwendung eines Kommunikationsports 674. Zum Beispiel kann der Port 674 eine Schnittstelle mit einem Bus/Netzwerk besitzen, das mit metrologischen Werkzeugen 190 verknüpft ist. Es können optische Fasern und/oder elektrische Kabel und/oder Leiter und/oder optische Kommunikation (z. B. Infrarot und dergleichen) und/oder drahtlose Kommunikation (z. B. Radiofrequenz (RF) und dergleichen) als Transportmedium zwischen den externen Einrichtungen und dem Kommunikationsports 674 verwendet werden. Eine Peripherieschnittstelle 654 bildet eine Schnittstelle zu Tastatur 650 und Maus 652, was ermöglicht, dass eingegebene Daten zum Bus 656 übertragen werden. Außer diesen Komponenten weist das Steuersystem wahlweise auch einen Infrarotsender 678 und/oder Infrarotempfänger 676 auf. Infrarotsender werden wahlweise verwendet, wenn das Computersystem in Verbindung mit einer oder mehreren Bearbeitungskomponenten/-stationen verwendet wird, die Daten über Infrarotsignal übertragung senden/empfangen. Anstelle der Verwendung eines Infrarotsenders oder Infrarotempfängers, kann das Steuersystem wahlweise auch einen leistungsarmen Radiosender 680 und/oder eine leistungsarmen Radioempfänger 682 verwenden. Der leistungsarme Radiosender überträgt das Signal, das von Komponenten des Produktionsprozesses empfangen werden soll, und empfängt Signale von den Komponenten über den leistungsarmen Radioempfänger.
  • 7 ist eine Abbildung eines beispielhaften computerlesbaren Speichermediums 784, das zum Speichern eines computerlesbaren Kodes oder von Instruktionen geeignet ist, darunter Modell(e), Vorschrift(en) usw. Als ein Beispiel kann das Medium 784 mit in 6 dargestellten Plattenlaufwerken verwendet werden. Typischerweise enthalten Speichermedien wie Floppy-Disks oder CD ROM oder DVD zum Beispiel eine Mehrbytelocale für eine Einzelbytesprache und die Programminformation zur Steuerung des obigen Systems, um dem Computer zu ermöglichen, dass er die hier beschriebenen Funktionen durchführt. Alternativ können ROM 660 und/oder RAM 662 auch verwendet werden, um die Programminformation zu speichern, die verwendet wird, um die Zentraleinheit 658 anzuweisen, dass sie die den vorliegenden Prozessen zugeordneten Operationen durchführt. Andere Beispiele geeigneter computerlesbarer Medien zum Speichern von Information beinhalten magnetische, elektronische oder optische (einschließlich holographische) Speicherung sowie Kombinationen davon usw. Außerdem sehen mindestens einige Ausführungsformen der vorliegenden Erfindung vor, dass das computerlesbare Medium eine Übertragung sein kann.
  • Ausführungsformen der vorliegenden Erfindung sehen vor, dass verschiedene Teile von Software zum Implementieren der verschiedenen Aspekte der vorliegenden Erfindung, wie zuvor beschrieben, in den Haupt-/Zwischenspeichervorrichtungen liegen können.
  • Allgemein ist hervorzuheben, dass die verschiedenen Komponenten von Ausführungsformen der vorliegenden Erfindung in Hardware, Software oder einer Kombination davon implementiert sein können. In solchen Ausführungsformen sind die verschiedenen Komponenten und Schritte in Hardware und/oder Software implementiert, um die Funktionen der vorliegenden Erfindung durchzuführen. Es können jegliche derzeit verfügbare oder künftig entwickelte Computersoftwaresprachen und/oder Hardwarekomponenten in solchen Ausführungsformen der vorliegenden Erfindung eingesetzt werden. Zum Beispiel kann mindestens ein Teil der oben genannten Funktionalität unter Verwendung von BASIC, C, C++ oder anderen Programmier- oder Kommandosprachen (z. B. TCL, Pearl, Java oder SQL) implementiert sein.
  • Es ist auch erkennbar und verständlich, dass die vorstehend beschriebenen spezifischen Ausführungsformen der Erfindung nur der Erläuterung der allgemeinen Prinzipien der Erfindung dienen. Es können verschiedene Modifikationen von den Fachleuten vorgenommen werden, die mit den zuvor angegebenen Prinzipien vereinbar sind.

Claims (42)

  1. Verfahren zur Bearbeitung von Gegenständen, wobei das Verfahren die Schritte umfasst: 1) Bearbeiten (316) der Gegenstände gemäß einer Vorschrift, wobei die Vorschrift mindestens einen Sollwert zum Erreichen einer oder mehrerer Vorgabeeigenschaften des Gegenstands beinhaltet; 2) Messen (320, 328) der Eigenschaften des Gegenstands; 3) Erfassen (324) von Zuständen, die einen Fehlerzustand anzeigen, unter Verwendung eines Fehlererfassungssystems; 4) Modifizieren (340) des mindestens einen Sollwerts der Vorschrift gemäß den gemessenen Eigenschaften des Gegenstands, um bei Fehlen eines Fehlerzustands die Vorgabeeigenschaften des Gegenstands beizubehalten, und kein Modifizieren des mindestens einen Sollwerts der Vorschrift gemäß den gemessenen Eigenschaften des Gegenstands bei Vorliegen eines Fehlerzustands; und gekennzeichnet durch 5) Aussenden eines Hinweises auf eine Änderung am mindestens einen Vorschriftsollwert wie in Schritt 4) modifiziert, an das Fehlererfassungssystem.
  2. Verfahren nach Anspruch 1, wobei die Gegenstände Wafer umfassen.
  3. Verfahren nach Anspruch 1, wobei die Gegenstände in einem Fertigungsausführungssystem unter Verwendung einer Run-to-Run-Steuerung mit einem Fehlererfassungssystem bearbeitet werden.
  4. Verfahren nach Anspruch 1, ferner umfassend Messen von Gegenstandseigenschaften vor Ausführung der Bearbeitung.
  5. Verfahren nach Anspruch 1, ferner umfassend Erzeugen eines Fehlererfassungsindex aus den gemessenen Gegenstandseigenschaften, und Aussenden des Hinweises an die Run-to-Run-Steuerung zum Zwecke der Modifizierung des mindestens einen Sollwerts.
  6. Verfahren nach Anspruch 1, wobei das Modifizieren Vergleichen einer vorhergesagten Ausgabe mit einem akzeptablen Werkzeugspezifikationsgrenzwert umfasst.
  7. Verfahren nach Anspruch 1, wobei das Modifizieren Vergleichen einer vorhergesagten Ausgabe mit einem akzeptablen Werkzeugbereich umfasst.
  8. Verfahren nach Anspruch 1, ferner umfassend Beenden der Bearbeitung bei Erfassung eines Fehlerzustands.
  9. Verfahren nach Anspruch 1, wobei der mindestens eine Sollwert zwei oder mehr Sollwerte umfasst.
  10. Verfahren nach Anspruch 1, wobei der mindestens eine Sollwert Temperatur, Druck, Energie, Bearbeitungsdauer, Anhebungsposition und/oder Durchsatz eines Materials umfasst.
  11. Verfahren nach Anspruch 1, wobei der Fehlerzustand einen Werkzeugfehler umfasst.
  12. Verfahren nach Anspruch 1, wobei der Fehlerzustand einen Wafereigenschaftsfehler umfasst.
  13. Verfahren nach Anspruch 1, wobei Fehlererfassungsmodelle, die zum Definieren eines Bereichs von Zuständen verwendet werden, die einen Fehlerzustand angeben, so modifiziert werden, dass sie als Parameter den mindestens einen Sollwert der Vorschrift bei einer Run-to-Run-Steuerung enthalten.
  14. Verfahren nach Anspruch 1, wobei die gemessenen Gegenstandseigenschaften nicht verwendet werden, um die Vorschrift zu modifizieren, wenn ein Waferfehler erfasst ist.
  15. Verfahren nach Anspruch 1, wobei der Schritt zum Messen bei der Bearbeitung erfolgt.
  16. Verfahren nach Anspruch 1, wobei der Schritt zum Messen nach der Bearbeitung erfolgt.
  17. System zur Bearbeitung von Gegenständen, wobei das System umfasst: eine Run-to-Run-Steuerung (120) zum Bearbeiten der Gegenstände gemäß einer Vorschrift, wobei die Vorschrift mindestens einen Sollwert zum Erreichen einer oder mehrerer Vorgabeeigenschaften des Gegenstands beinhaltet; einen Sensor (196) zum Messen von Gegenstandseigenschaften; einen Fehlerdetektor (110) zum Erfassen von Zuständen, die einen Fehlerzustand anzeigen; wobei die Run-to-Run-Steuerung (120) den mindestens einen Sollwert der Vorschrift gemäß den Eigenschaften des Gegenstands modifiziert, um bei Fehlen eines Fehlerzustands, der vom Fehlerdetektor erfasst ist, die Vorgabeeigenschaften des Gegenstands beizubehalten, und wobei die Run-to-Run-Steuerung den mindestens einen Sollwert der Vorschrift gemäß den Eigenschaften des Gegenstands bei Vorliegen eines Fehlerzustands, der vom Fehlerdetektor (110) erfasst ist, nicht modifiziert; und dadurch gekennzeichnet, dass ein Hinweis auf eine Änderung des mindestens einen Vorschriftsollwerts wie durch die Run-to-Run-Steuerung modifiziert an den Fehlerdetektor (110) ausgesendet wird.
  18. System nach Anspruch 17, wobei die Gegenstände Wafer umfassen.
  19. System nach Anspruch 17, wobei die Gegenstände in einem Fertigungsausführungssystem unter Verwendung einer Run-to-Run-Steuerung mit einem Fehlererfassungssystem bearbeitet werden.
  20. System nach Anspruch 17, ferner umfassend einen Sensor zum Messen von Gegenstandseigenschaften vor Ausführung der Bearbeitung.
  21. System nach Anspruch 17, wobei der Fehlerdetektor einen Fehlererfassungsindex aus den gemessenen Gegenstandseigenschaften erzeugt, und den Hinweis an die Run-to-Run-Steuerung zum Zwecke der Modifizierung des mindestens einen Sollwerts aussendet.
  22. System nach Anspruch 17, wobei die Run-to-Run-Steuerung den mindestens einen Sollwert durch Vergleichen einer vorhergesagten Ausgabe mit einem akzeptablen Werkzeugspezifikationsgrenzwert modifiziert.
  23. System nach Anspruch 17, wobei die Run-to-Run-Steuerung den mindestens einen Sollwert durch Vergleichen einer vorhergesagten Ausgabe mit einem akzeptablen Werkzeugbereich modifiziert.
  24. System nach Anspruch 17, wobei die Run-to-Run-Steuerung ein Bearbeiten bei Erfassung eines Fehlerzustands beendet.
  25. System nach Anspruch 17, wobei der mindestens eine Sollwert zwei oder mehr Sollwerte umfasst.
  26. System nach Anspruch 17, wobei der mindestens eine Sollwert Temperatur, Druck, Energie, Bearbeitungsdauer, Anhebungsposition und/oder Durchsatz eines Materials umfasst.
  27. System nach Anspruch 17, wobei der Fehlerzustand einen Werkzeugfehler umfasst.
  28. System nach Anspruch 17, wobei die Gegenstände Wafer umfassen und der Fehlerzustand einen Wafereigenschaftsfehler umfasst.
  29. System nach Anspruch 17, wobei Fehlererfassungsmodelle, die zum Definieren eines Bereichs von Zuständen verwendet werden, die einen Fehlerzustand angeben, so modifiziert werden, dass sie als Parameter den mindestens einen Sollwert der Vorschrift bei der Run-to-Run-Steuerung enthalten.
  30. System nach Anspruch 17, wobei der Sensor Gegenstandseigenschaften bei der Bearbeitung misst.
  31. System nach Anspruch 17, wobei der Sensor Gegenstandseigenschaften nach der Bearbeitung misst.
  32. Computerlesbares Medium (784, 660, 662), das ein Computerprogramm zum Bearbeiten (316) von Wafers speichert, wobei das computerlesbare Medium umfasst: computerlesbare Instruktionen zum Bearbeiten der Wafer gemäß einer Vorschrift, wobei die Vorschrift mindestens einen Sollwert zum Erreichen einer oder mehrerer Vorgabeeigenschaften des Wafers beinhaltet; computerlesbare Instruktionen zum Messen (320, 328) von Wafereigenschaften; computerlesbare Instruktionen zum Erfassen (324) von Zuständen, die einen Fehlerzustand anzeigen; und computerlesbare Instruktionen zum Modifizieren (340) des mindestens einen Sollwerts der Vorschrift gemäß den gemessenen Wafereigenschaften, um bei Fehlen eines Fehlerzustands die Vorgabeeigenschaften des Wafers beizubehalten, und kein Modifizieren des mindestens einen Sollwerts der Vorschrift gemäß den gemessenen Wafereigenschaften bei Vorliegen eines Fehlerzustands, und gekennzeichnet durch Aussenden eines Hinweises auf eine Änderung am mindestens einen Vorschriftsollwert wie durch die computerlesbaren Instruktionen modifiziert, an ein Fehlererfassungssystem.
  33. Computerlesbares Medium nach Anspruch 32, wobei Bearbeitung beendet wird, wenn ein Fehlerzustand erfasst ist.
  34. Computerlesbares Medium nach Anspruch 32, wobei Fehlererfassungsmodelle, die zum Definieren eines Bereichs von Zuständen verwendet werden, die einen Fehlerzustand angeben, so modifiziert werden, dass sie als Parameter den mindestens einen Sollwert der Vorschrift enthalten.
  35. Computerlesbares Medium nach Anspruch 32, ferner umfassend computerlesbare Instruktionen zum Messen von Wafereigenschaften vor Ausführung der Bearbeitung.
  36. Computerlesbares Medium nach Anspruch 32, ferner umfassend computerlesbare Instruktionen zum Erzeugen eines Fehlererfassungsindex aus den gemessenen Wafereigenschaften, und computerlesbare Instruktionen zum Aussenden des Index an die Run-to-Run-Steuerung zum Zwecke der Modifizierung der Sollwerte.
  37. Computerlesbares Medium nach Anspruch 32, wobei die computerlesbaren Instruktionen zum Modifizieren computerlesbare Instruktionen zum Vergleichen einer vorhergesagten Ausgabe mit einem akzeptablen Werkzeugspezifikationsgrenzwert umfassen.
  38. Computerlesbares Medium nach Anspruch 32, wobei die computerlesbaren Instruktionen zum Modifizieren computerlesbare Instruktionen zum Vergleichen einer vorhergesagten Ausgabe mit einem akzeptablen Werkzeugbereich umfassen.
  39. Computerlesbares Medium nach Anspruch 32, ferner umfassend computerlesbare Instruktionen zum Beenden der Bearbeitung bei Erfassung eines Fehlerzustands.
  40. Computerlesbares Medium nach Anspruch 32, wobei der Fehlerzustand einen Werkzeugfehler umfasst.
  41. Computerlesbares Medium nach Anspruch 32, wobei der Fehlerzustand einen Wafereigenschaftsfehler umfasst.
  42. Computerlesbares Medium nach Anspruch 32, wobei Fehlererfassungsmodelle, die zum Definieren eines Bereichs von Zuständen verwendet werden, die einen Fehlerzustand angeben, so modifiziert werden, dass sie als Parameter den mindestens einen Sollwert der Vorschrift bei der Run-to-Run-Steuerung enthalten.
DE60220063T 2001-07-16 2002-07-12 Integrierung von fehlererkennung mit run-to-run steuerung Expired - Fee Related DE60220063T2 (de)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US135405 1987-12-18
US30514001P 2001-07-16 2001-07-16
US305140P 2001-07-16
US10/135,405 US7337019B2 (en) 2001-07-16 2002-05-01 Integration of fault detection with run-to-run control
PCT/US2002/021942 WO2003009345A2 (en) 2001-07-16 2002-07-12 Integration of fault detection with run-to-run control

Publications (2)

Publication Number Publication Date
DE60220063D1 DE60220063D1 (de) 2007-06-21
DE60220063T2 true DE60220063T2 (de) 2008-01-10

Family

ID=26833291

Family Applications (1)

Application Number Title Priority Date Filing Date
DE60220063T Expired - Fee Related DE60220063T2 (de) 2001-07-16 2002-07-12 Integrierung von fehlererkennung mit run-to-run steuerung

Country Status (9)

Country Link
US (1) US7337019B2 (de)
EP (1) EP1412827B1 (de)
JP (1) JP4377224B2 (de)
KR (1) KR100916190B1 (de)
CN (1) CN100432879C (de)
AT (1) ATE362127T1 (de)
AU (1) AU2002316650A1 (de)
DE (1) DE60220063T2 (de)
WO (1) WO2003009345A2 (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102010009795A1 (de) 2010-03-01 2011-09-01 Von Ardenne Anlagentechnik Gmbh Verfahren zur Herstellung von metallischen Rückkontakten für waferbasierte Solarzellen

Families Citing this family (100)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7201936B2 (en) * 2001-06-19 2007-04-10 Applied Materials, Inc. Method of feedback control of sub-atmospheric chemical vapor deposition processes
US6718224B2 (en) * 2001-09-17 2004-04-06 Yield Dynamics, Inc. System and method for estimating error in a manufacturing process
US6697696B1 (en) * 2002-02-28 2004-02-24 Advanced Micro Devices, Inc. Fault detection control system using dual bus architecture, and methods of using same
US20030199112A1 (en) * 2002-03-22 2003-10-23 Applied Materials, Inc. Copper wiring module control
US6763278B1 (en) * 2002-04-26 2004-07-13 Advanced Micro Devices, Inc. Operating a processing tool in a degraded mode upon detecting a fault
US8321048B1 (en) * 2002-06-28 2012-11-27 Advanced Micro Devices, Inc. Associating data with workpieces and correlating the data with yield data
US20080275587A1 (en) * 2002-09-25 2008-11-06 Advanced Micro Devices, Inc. Fault detection on a multivariate sub-model
US7877161B2 (en) 2003-03-17 2011-01-25 Tokyo Electron Limited Method and system for performing a chemical oxide removal process
US7158851B2 (en) * 2003-06-30 2007-01-02 Tokyo Electron Limited Feedforward, feedback wafer to wafer control method for an etch process
DE10343627B4 (de) * 2003-09-20 2014-03-06 Eads Deutschland Gmbh Verschlusselement für einen Bereich der Außenhaut eines Luftfahrzeugs
US8036869B2 (en) 2003-09-30 2011-10-11 Tokyo Electron Limited System and method for using first-principles simulation to control a semiconductor manufacturing process via a simulation result or a derived empirical model
US8296687B2 (en) 2003-09-30 2012-10-23 Tokyo Electron Limited System and method for using first-principles simulation to analyze a process performed by a semiconductor processing tool
US8073667B2 (en) * 2003-09-30 2011-12-06 Tokyo Electron Limited System and method for using first-principles simulation to control a semiconductor manufacturing process
US8014991B2 (en) 2003-09-30 2011-09-06 Tokyo Electron Limited System and method for using first-principles simulation to characterize a semiconductor manufacturing process
US8032348B2 (en) 2003-09-30 2011-10-04 Tokyo Electron Limited System and method for using first-principles simulation to facilitate a semiconductor manufacturing process
US6960774B2 (en) * 2003-11-03 2005-11-01 Advanced Micro Devices, Inc. Fault detection and control methodologies for ion implantation processes, and system for performing same
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
US8639365B2 (en) * 2003-11-10 2014-01-28 Brooks Automation, Inc. Methods and systems for controlling a semiconductor fabrication process
US8639489B2 (en) * 2003-11-10 2014-01-28 Brooks Automation, Inc. Methods and systems for controlling a semiconductor fabrication process
US20070282480A1 (en) * 2003-11-10 2007-12-06 Pannese Patrick D Methods and systems for controlling a semiconductor fabrication process
US20050221020A1 (en) * 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
JP4512395B2 (ja) * 2004-03-30 2010-07-28 株式会社日立ハイテクノロジーズ 露光プロセスモニタ方法及びその装置
KR100839071B1 (ko) * 2004-05-13 2008-06-19 삼성전자주식회사 공정장비의 상태를 모니터링하기 위한 시스템 및 방법
TWI336823B (en) * 2004-07-10 2011-02-01 Onwafer Technologies Inc Methods of and apparatuses for maintenance, diagnosis, and optimization of processes
US7292906B2 (en) 2004-07-14 2007-11-06 Tokyo Electron Limited Formula-based run-to-run control
US7212878B2 (en) * 2004-08-27 2007-05-01 Tokyo Electron Limited Wafer-to-wafer control using virtual modules
US20060079983A1 (en) * 2004-10-13 2006-04-13 Tokyo Electron Limited R2R controller to automate the data collection during a DOE
CN101273312B (zh) * 2005-01-28 2012-07-04 应用材料公司 增强衬底载具搬运器操作的方法和装置
US7477960B2 (en) * 2005-02-16 2009-01-13 Tokyo Electron Limited Fault detection and classification (FDC) using a run-to-run controller
US7783455B1 (en) * 2005-03-04 2010-08-24 Globalfoundries Inc. Methods and systems for analyzing process equipment processing variations using sensor data
US7117059B1 (en) * 2005-04-18 2006-10-03 Promos Technologies Inc. Run-to-run control system and operating method of the same
US7477958B2 (en) * 2005-05-11 2009-01-13 International Business Machines Corporation Method of release and product flow management for a manufacturing facility
CN100422888C (zh) * 2005-08-16 2008-10-01 力晶半导体股份有限公司 反应室层级的批次间控制系统及其方法
CN100424674C (zh) * 2005-08-22 2008-10-08 力晶半导体股份有限公司 改善物料搬运效率的方法以及使用该方法的制造系统
US7206721B1 (en) * 2005-12-12 2007-04-17 Taiwan Semiconductor Manufacturing Co., Ltd. Methods and systems of offline measurement for process tool monitoring
EP1798998B1 (de) * 2005-12-14 2011-06-15 Research In Motion Limited Verfahren und Gerät für Endgerätebasierte Funkmittelsteuerung in einem UMTS Netz
US7672749B1 (en) * 2005-12-16 2010-03-02 GlobalFoundries, Inc. Method and apparatus for hierarchical process control
CN101030080B (zh) * 2006-03-01 2010-08-25 茂德科技股份有限公司 错误检测系统及其管理方法
JP4839101B2 (ja) * 2006-03-08 2011-12-21 東京エレクトロン株式会社 基板処理装置、基板処理条件検討方法及び記憶媒体
US8265034B2 (en) * 2006-05-17 2012-09-11 Research In Motion Limited Method and system for a signaling connection release indication
ES2353609T3 (es) * 2006-05-17 2011-03-03 Research In Motion Limited Método y sistema para una indicación de liberación de conexión de señalización en una red umts.
US20080049662A1 (en) * 2006-08-25 2008-02-28 Research In Motion Limited Apparatus, and associated method, for releasing a data-service radio resource allocated to a data-service-capable mobile node
JP5224744B2 (ja) * 2006-10-04 2013-07-03 株式会社日立国際電気 基板処理装置
JP4942174B2 (ja) * 2006-10-05 2012-05-30 東京エレクトロン株式会社 基板処理システムの処理レシピ最適化方法,基板処理システム,基板処理装置
US7509186B2 (en) * 2006-11-07 2009-03-24 International Business Machines Corporation Method and system for reducing the variation in film thickness on a plurality of semiconductor wafers having multiple deposition paths in a semiconductor manufacturing process
US7534725B2 (en) * 2007-03-21 2009-05-19 Taiwan Semiconductor Manufacturing Company Advanced process control for semiconductor processing
EP2387283B1 (de) 2007-11-13 2018-11-28 BlackBerry Limited Verfahren und vorrichtung für status-/modusübergänge
US20090137068A1 (en) * 2007-11-28 2009-05-28 Michal Rosen-Zvi Method and Computer Program Product for Wafer Manufacturing Process Abnormalities Detection
TW200929412A (en) * 2007-12-18 2009-07-01 Airoha Tech Corp Model modification method for a semiconductor device
JP4831061B2 (ja) * 2007-12-26 2011-12-07 パナソニック株式会社 電子部品実装用装置および電子部品実装用装置の非常停止方法
US8271122B2 (en) 2008-03-07 2012-09-18 Mks Instruments, Inc. Process control using process data and yield data
US7622308B2 (en) * 2008-03-07 2009-11-24 Mks Instruments, Inc. Process control using process data and yield data
TWI380144B (en) * 2008-04-09 2012-12-21 Inotera Memories Inc Method of fuzzy control for semiconductor machine
EP2356878B1 (de) * 2008-11-10 2015-07-29 BlackBerry Limited Verfahren und vorrichtung zum übergang in einen batterieeffizienten zustand oder konfiguration durch angabe des endes der datenübertragung bei long term evolution
US8983631B2 (en) * 2009-06-30 2015-03-17 Lam Research Corporation Arrangement for identifying uncontrolled events at the process module level and methods thereof
JP5334787B2 (ja) * 2009-10-09 2013-11-06 株式会社日立ハイテクノロジーズ プラズマ処理装置
CN102783242A (zh) 2009-11-23 2012-11-14 捷讯研究有限公司 基于sri消息传输的状态或模式转换触发
MX2012005871A (es) 2009-11-23 2012-11-30 Research In Motion Ltd Metodo y aparato para transicion de estado/modo.
ES2494193T3 (es) 2009-11-23 2014-09-15 Blackberry Limited Método y aparato para realizar una transición de estado/modo a una inactividad rápida
CN102763485A (zh) * 2009-11-24 2012-10-31 捷讯研究有限公司 用于状态/模式转换的方法和设备
US8983532B2 (en) * 2009-12-30 2015-03-17 Blackberry Limited Method and system for a wireless communication device to adopt varied functionalities based on different communication systems by specific protocol messages
US8670857B2 (en) * 2010-02-02 2014-03-11 Applied Materials, Inc. Flexible process condition monitoring
AU2010345442A1 (en) * 2010-02-10 2012-06-28 Blackberry Limited Method and apparatus for state/mode transitioning
CN102222600B (zh) * 2010-04-13 2013-07-31 中芯国际集成电路制造(上海)有限公司 机台恢复处理的方法和装置
US8391999B2 (en) 2010-06-09 2013-03-05 Taiwan Semiconductor Manufacturing Company, Ltd. Auto device skew manufacturing
US8406911B2 (en) * 2010-07-16 2013-03-26 HGST Netherlands B.V. Implementing sequential segmented interleaving algorithm for enhanced process control
EP2668471B1 (de) * 2011-01-26 2016-03-30 VEGA Grieshaber KG Diagnose von bus parametern der physikalischen schicht in einem füllstandmessgerät.
US8420531B2 (en) 2011-06-21 2013-04-16 International Business Machines Corporation Enhanced diffusion barrier for interconnect structures
EP2777358B1 (de) 2011-11-11 2018-01-10 BlackBerry Limited Verfahren und vorrichtung für einen zustandsübergang von benutzervorrichtungen
EP2677380A1 (de) * 2012-06-21 2013-12-25 Siemens Aktiengesellschaft Verfahren zur Steuerung eines Produktionsleitsystems (MES - Manufacturing Execution System)
US9429922B2 (en) * 2013-01-24 2016-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of process stability
US20140278165A1 (en) * 2013-03-14 2014-09-18 Johnson Controls Technology Company Systems and methods for analyzing energy consumption model data
US9715180B2 (en) * 2013-06-11 2017-07-25 Cymer, Llc Wafer-based light source parameter control
US10133263B1 (en) 2014-08-18 2018-11-20 Kla-Tencor Corporation Process condition based dynamic defect inspection
JP6392581B2 (ja) * 2014-08-20 2018-09-19 ファナック株式会社 工作機械とともに使用されるロボットのロボット制御装置、及び加工システム
US10430719B2 (en) 2014-11-25 2019-10-01 Stream Mosaic, Inc. Process control techniques for semiconductor manufacturing processes
CN107004060B (zh) * 2014-11-25 2022-02-18 Pdf决策公司 用于半导体制造工艺的经改进工艺控制技术
CN105700490B (zh) * 2014-11-28 2018-09-07 中芯国际集成电路制造(天津)有限公司 一种提高产品良率的方法及系统
US9934351B2 (en) * 2015-11-09 2018-04-03 Applied Materials, Inc. Wafer point by point analysis and data presentation
US10429808B2 (en) * 2016-01-19 2019-10-01 Honeywell International Inc. System that automatically infers equipment details from controller configuration details
US10177091B2 (en) 2016-02-19 2019-01-08 Globalfoundries Inc. Interconnect structure and method of forming
US9761484B1 (en) 2016-07-25 2017-09-12 International Business Machines Corporation Interconnect structure and fabrication thereof
US9773735B1 (en) 2016-08-16 2017-09-26 International Business Machines Corporation Geometry control in advanced interconnect structures
US9953864B2 (en) 2016-08-30 2018-04-24 International Business Machines Corporation Interconnect structure
US9786603B1 (en) 2016-09-22 2017-10-10 International Business Machines Corporation Surface nitridation in metal interconnects
US9721895B1 (en) 2016-10-06 2017-08-01 International Business Machines Corporation Self-formed liner for interconnect structures
US10185312B2 (en) 2017-01-31 2019-01-22 Globalfoundries Inc. Insitu tool health and recipe quality monitoring on a CDSEM
US11029673B2 (en) 2017-06-13 2021-06-08 Pdf Solutions, Inc. Generating robust machine learning predictions for semiconductor manufacturing processes
US11022642B2 (en) 2017-08-25 2021-06-01 Pdf Solutions, Inc. Semiconductor yield prediction
US11029359B2 (en) 2018-03-09 2021-06-08 Pdf Solutions, Inc. Failure detection and classsification using sensor data and/or measurement data
US11775714B2 (en) 2018-03-09 2023-10-03 Pdf Solutions, Inc. Rational decision-making tool for semiconductor processes
US10777470B2 (en) 2018-03-27 2020-09-15 Pdf Solutions, Inc. Selective inclusion/exclusion of semiconductor chips in accelerated failure tests
US11133216B2 (en) 2018-06-01 2021-09-28 International Business Machines Corporation Interconnect structure
CN110889260B (zh) * 2018-09-05 2023-01-17 长鑫存储技术有限公司 用于侦测工艺参数的方法及装置、电子设备和计算机可读介质
US10916503B2 (en) 2018-09-11 2021-02-09 International Business Machines Corporation Back end of line metallization structure
US10714382B2 (en) 2018-10-11 2020-07-14 International Business Machines Corporation Controlling performance and reliability of conductive regions in a metallization network
US11486927B2 (en) 2020-04-02 2022-11-01 Applied Materials, Inc. Bode fingerprinting for characterizations and failure detections in processing chamber
KR20220050047A (ko) * 2020-10-15 2022-04-22 에이에스엠 아이피 홀딩 비.브이. 예측 유지보수 방법 및 예측 유지보수 장치
US11853042B2 (en) * 2021-02-17 2023-12-26 Applied Materials, Inc. Part, sensor, and metrology data integration
US20230257900A1 (en) * 2022-02-11 2023-08-17 Applied Materials, Inc. Parameter adjustment model for semiconductor processing chambers

Family Cites Families (165)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3205485A (en) 1960-10-21 1965-09-07 Ti Group Services Ltd Screening vane electro-mechanical transducer
US3229198A (en) 1962-09-28 1966-01-11 Hugo L Libby Eddy current nondestructive testing device for measuring multiple parameter variables of a metal sample
US3767900A (en) 1971-06-23 1973-10-23 Cons Paper Inc Adaptive controller having optimal filtering
CH569321A5 (de) 1973-10-03 1975-11-14 Siemens Ag
US4000458A (en) 1975-08-21 1976-12-28 Bell Telephone Laboratories, Incorporated Method for the noncontacting measurement of the electrical conductivity of a lamella
US4209744A (en) 1976-04-29 1980-06-24 Fedosenko Jury K Eddy current device for automatically testing the quality of elongated electrically conductive objects by non-destructive techniques
US4207520A (en) 1978-04-06 1980-06-10 The United States Of America As Represented By The Secretary Of The Air Force Multiple frequency digital eddy current inspection system
US4302721A (en) 1978-05-08 1981-11-24 Tencor Instruments Non-contacting resistivity instrument with structurally related conductance and distance measuring transducers
US4207250A (en) * 1978-12-18 1980-06-10 Mobil Oil Corporation Conversion of synthesis gas with iron-containing fluid catalyst
US4368510A (en) 1980-10-20 1983-01-11 Leeds & Northrup Company Automatic identification system for self tuning process controller
US4609870A (en) 1981-03-27 1986-09-02 Hocking Electronics Limited Lift off compensation of eddy current crack detection system by controlling damping resistance of oscillator
US4616308A (en) 1983-11-15 1986-10-07 Shell Oil Company Dynamic process control
EP0162670B1 (de) 1984-05-19 1991-01-02 British Aerospace Public Limited Company Industrielle Verarbeitungs- und Herstellungsverfahren
US4967381A (en) 1985-04-30 1990-10-30 Prometrix Corporation Process control interface system for managing measurement data
US4663703A (en) 1985-10-02 1987-05-05 Westinghouse Electric Corp. Predictive model reference adaptive controller
FR2589566A1 (fr) 1985-11-06 1987-05-07 Cegedur Procede de mesure au defile et sans contact de l'epaisseur et de la temperature de feuilles metalliques minces au moyen de courants de foucault
US4750141A (en) 1985-11-26 1988-06-07 Ade Corporation Method and apparatus for separating fixture-induced error from measured object characteristics and for compensating the measured object characteristic with the error, and a bow/warp station implementing same
US4755753A (en) 1986-07-23 1988-07-05 General Electric Company Eddy current surface mapping system for flaw detection
US5260868A (en) 1986-08-11 1993-11-09 Texas Instruments Incorporate Method for calendaring future events in real-time
US4796194A (en) 1986-08-20 1989-01-03 Atherton Robert W Real world modeling and control process
US4901218A (en) 1987-08-12 1990-02-13 Renishaw Controls Limited Communications adaptor for automated factory system
US4938600A (en) 1989-02-09 1990-07-03 Interactive Video Systems, Inc. Method and apparatus for measuring registration between layers of a semiconductor wafer
US4957605A (en) 1989-04-17 1990-09-18 Materials Research Corporation Method and apparatus for sputter coating stepped wafers
JP2780814B2 (ja) 1989-06-22 1998-07-30 株式会社日立製作所 生産管理システム
US6185324B1 (en) * 1989-07-12 2001-02-06 Hitachi, Ltd. Semiconductor failure analysis system
US6345288B1 (en) * 1989-08-31 2002-02-05 Onename Corporation Computer-based communication system and method using metadata defining a control-structure
US5089970A (en) 1989-10-05 1992-02-18 Combustion Engineering, Inc. Integrated manufacturing system
US5108570A (en) 1990-03-30 1992-04-28 Applied Materials, Inc. Multistep sputtering process for forming aluminum layer over stepped semiconductor wafer
US5485082A (en) 1990-04-11 1996-01-16 Micro-Epsilon Messtechnik Gmbh & Co. Kg Method of calibrating a thickness measuring device and device for measuring or monitoring the thickness of layers, tapes, foils, and the like
US5236868A (en) 1990-04-20 1993-08-17 Applied Materials, Inc. Formation of titanium nitride on semiconductor wafer by reaction of titanium with nitrogen-bearing gas in an integrated processing system
US5208765A (en) 1990-07-20 1993-05-04 Advanced Micro Devices, Inc. Computer-based method and system for product development
US5495417A (en) 1990-08-14 1996-02-27 Kabushiki Kaisha Toshiba System for automatically producing different semiconductor products in different quantities through a plurality of processes along a production line
US5220517A (en) 1990-08-31 1993-06-15 Sci Systems, Inc. Process gas distribution system and method with supervisory control
EP0553285B1 (de) 1990-10-16 2000-03-01 Consilium, Inc. Objektorientierte architektur für fabrikverwaltung
US5295242A (en) 1990-11-02 1994-03-15 Consilium, Inc. Apparatus and method for viewing relationships in a factory management system
US5270222A (en) 1990-12-31 1993-12-14 Texas Instruments Incorporated Method and apparatus for semiconductor device fabrication diagnosis and prognosis
US5226118A (en) 1991-01-29 1993-07-06 Prometrix Corporation Data analysis system and method for industrial process control systems
US5347446A (en) 1991-02-08 1994-09-13 Kabushiki Kaisha Toshiba Model predictive control apparatus
US5430836A (en) * 1991-03-01 1995-07-04 Ast Research, Inc. Application control module for common user access interface
GB2257507B (en) 1991-06-26 1995-03-01 Digital Equipment Corp Semiconductor wafer processing with across-wafer critical dimension monitoring using optical endpoint detection
US5469361A (en) 1991-08-08 1995-11-21 The Board Of Regents Acting For And On Behalf Of The University Of Michigan Generic cell controlling method and apparatus for computer integrated manufacturing system
US5240552A (en) 1991-12-11 1993-08-31 Micron Technology, Inc. Chemical mechanical planarization (CMP) of a semiconductor wafer using acoustical waves for in-situ end point detection
US5309221A (en) 1991-12-31 1994-05-03 Corning Incorporated Measurement of fiber diameters with high precision
JP3154425B2 (ja) 1992-01-07 2001-04-09 フクダ電子株式会社 心電図情報記録方法及び装置
US5525808A (en) 1992-01-23 1996-06-11 Nikon Corporaton Alignment method and alignment apparatus with a statistic calculation using a plurality of weighted coordinate positions
US5283141A (en) 1992-03-05 1994-02-01 National Semiconductor Photolithography control system and method using latent image measurements
US5602492A (en) 1992-03-13 1997-02-11 The United States Of America As Represented By The Secretary Of Commerce Electrical test structure and method for measuring the relative locations of conducting features on an insulating substrate
FR2700403B1 (fr) 1993-01-12 1995-04-07 Sextant Avionique Procédé de structuration d'informations utilisées dans un processus industriel et son application à l'assistance au pilotage d'un aérodyne.
US5490097A (en) 1993-03-22 1996-02-06 Fujitsu Limited System and method for modeling, analyzing and executing work process plans
US5586039A (en) 1993-03-29 1996-12-17 Texas Instruments Incorporated Computer-aided manufacturing support method and system for specifying relationships and dependencies between process type components
US5369544A (en) 1993-04-05 1994-11-29 Ford Motor Company Silicon-on-insulator capacitive surface micromachined absolute pressure sensor
US5367624A (en) 1993-06-11 1994-11-22 Consilium, Inc. Interface for controlling transactions in a manufacturing execution system
US5402367A (en) 1993-07-19 1995-03-28 Texas Instruments, Incorporated Apparatus and method for model based process control
US5642296A (en) 1993-07-29 1997-06-24 Texas Instruments Incorporated Method of diagnosing malfunctions in semiconductor manufacturing equipment
JP3039210B2 (ja) 1993-08-03 2000-05-08 日本電気株式会社 半導体装置の製造方法
US5408405A (en) 1993-09-20 1995-04-18 Texas Instruments Incorporated Multi-variable statistical process controller for discrete manufacturing
US5546312A (en) 1993-09-20 1996-08-13 Texas Instruments Incorporated Use of spatial models for simultaneous control of various non-uniformity metrics
US5503707A (en) 1993-09-22 1996-04-02 Texas Instruments Incorporated Method and apparatus for process endpoint prediction based on actual thickness measurements
DE69425100T2 (de) 1993-09-30 2001-03-15 Koninkl Philips Electronics Nv Dynamisches neuronales Netzwerk
US5497381A (en) 1993-10-15 1996-03-05 Analog Devices, Inc. Bitstream defect analysis method for integrated circuits
US5571366A (en) * 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
US5375064A (en) 1993-12-02 1994-12-20 Hughes Aircraft Company Method and apparatus for moving a material removal tool with low tool accelerations
US5577204A (en) * 1993-12-15 1996-11-19 Convex Computer Corporation Parallel processing computer system interconnections utilizing unidirectional communication links with separate request and response lines for direct communication or using a crossbar switching device
US5526293A (en) 1993-12-17 1996-06-11 Texas Instruments Inc. System and method for controlling semiconductor wafer processing
US5420796A (en) 1993-12-23 1995-05-30 Vlsi Technology, Inc. Method of inspecting planarity of wafer surface after etchback step in integrated circuit fabrication
KR950034499A (ko) 1994-01-28 1995-12-28 제임스 조셉 드롱 물리적인 증기증착 과정동안 필름들의 증착속도를 모니터하기 위한 방법 및 장치
US5664987A (en) 1994-01-31 1997-09-09 National Semiconductor Corporation Methods and apparatus for control of polishing pad conditioning for wafer planarization
US5511005A (en) 1994-02-16 1996-04-23 Ade Corporation Wafer handling and processing system
US5666297A (en) 1994-05-13 1997-09-09 Aspen Technology, Inc. Plant simulation and optimization software apparatus and method using dual execution models
US5629216A (en) 1994-06-30 1997-05-13 Seh America, Inc. Method for producing semiconductor wafers with low light scattering anomalies
JP3402412B2 (ja) 1994-09-20 2003-05-06 株式会社リコー プロセスシミュレーション入力データ設定装置
EP0706209A3 (de) 1994-10-06 1996-12-27 Applied Materials Inc Flächenwiderstandsmessung
US5519605A (en) 1994-10-24 1996-05-21 Olin Corporation Model predictive control apparatus and method
DE4446966A1 (de) 1994-12-28 1996-07-04 Itt Ind Gmbh Deutsche Informationssystem zur Produktionskontrolle
KR100213603B1 (ko) * 1994-12-28 1999-08-02 가나이 쯔또무 전자회로기판의 배선수정방법 및 그 장치와 전자회로기판
US5534289A (en) 1995-01-03 1996-07-09 Competitive Technologies Inc. Structural crack monitoring technique
US5617023A (en) 1995-02-02 1997-04-01 Otis Elevator Company Industrial contactless position sensor
US5646870A (en) 1995-02-13 1997-07-08 Advanced Micro Devices, Inc. Method for setting and adjusting process parameters to maintain acceptable critical dimensions across each die of mass-produced semiconductor wafers
US5761065A (en) 1995-03-30 1998-06-02 Advanced Micro Devices, Inc. Arrangement and method for detecting sequential processing effects in manufacturing
US5541510A (en) 1995-04-06 1996-07-30 Kaman Instrumentation Corporation Multi-Parameter eddy current measuring system with parameter compensation technical field
US5665214A (en) 1995-05-03 1997-09-09 Sony Corporation Automatic film deposition control method and system
US5764543A (en) 1995-06-16 1998-06-09 I2 Technologies, Inc. Extensible model network representation system for process planning
US5649169A (en) 1995-06-20 1997-07-15 Advanced Micro Devices, Inc. Method and system for declustering semiconductor defect data
US5665199A (en) 1995-06-23 1997-09-09 Advanced Micro Devices, Inc. Methodology for developing product-specific interlayer dielectric polish processes
US5599423A (en) 1995-06-30 1997-02-04 Applied Materials, Inc. Apparatus and method for simulating and optimizing a chemical mechanical polishing system
US5740429A (en) 1995-07-07 1998-04-14 Advanced Micro Devices, Inc. E10 reporting tool
KR0153617B1 (ko) * 1995-09-20 1998-12-01 김광호 반도체 집적회로 제조공정방법
US5751582A (en) 1995-09-25 1998-05-12 Texas Instruments Incorporated Controlling process modules using site models and monitor wafer control
US5777901A (en) 1995-09-29 1998-07-07 Advanced Micro Devices, Inc. Method and system for automated die yield prediction in semiconductor manufacturing
US5655951A (en) 1995-09-29 1997-08-12 Micron Technology, Inc. Method for selectively reconditioning a polishing pad used in chemical-mechanical planarization of semiconductor wafers
US5761064A (en) 1995-10-06 1998-06-02 Advanced Micro Devices, Inc. Defect management system for productivity and yield improvement
US5654903A (en) 1995-11-07 1997-08-05 Lucent Technologies Inc. Method and apparatus for real time monitoring of wafer attributes in a plasma etch process
US5603707A (en) 1995-11-28 1997-02-18 The Procter & Gamble Company Absorbent article having a rewet barrier
JP3892493B2 (ja) * 1995-11-29 2007-03-14 大日本スクリーン製造株式会社 基板処理システム
US5719796A (en) 1995-12-04 1998-02-17 Advanced Micro Devices, Inc. System for monitoring and analyzing manufacturing processes using statistical simulation with single step feedback
US5674787A (en) 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications
US6094600A (en) * 1996-02-06 2000-07-25 Fisher-Rosemount Systems, Inc. System and method for managing a transaction database of records of changes to field device configurations
US5871805A (en) * 1996-04-08 1999-02-16 Lemelson; Jerome Computer controlled vapor deposition processes
US5735055A (en) 1996-04-23 1998-04-07 Aluminum Company Of America Method and apparatus for measuring the thickness of an article at a plurality of points
KR100243636B1 (ko) * 1996-05-14 2000-03-02 요시다 아키라 다이캐스팅기용 주조 제어 지원시스템
US5663797A (en) 1996-05-16 1997-09-02 Micron Technology, Inc. Method and apparatus for detecting the endpoint in chemical-mechanical polishing of semiconductor wafers
JPH1086040A (ja) * 1996-06-13 1998-04-07 Mitsubishi Electric Corp 多系統の自動プログラミング方法及びその装置
US5667424A (en) 1996-09-25 1997-09-16 Chartered Semiconductor Manufacturing Pte Ltd. New chemical mechanical planarization (CMP) end point detection apparatus
US6041263A (en) * 1996-10-01 2000-03-21 Aspen Technology, Inc. Method and apparatus for simulating and optimizing a plant model
US5859964A (en) * 1996-10-25 1999-01-12 Advanced Micro Devices, Inc. System and method for performing real time data acquisition, process modeling and fault detection of wafer fabrication processes
US5695810A (en) 1996-11-20 1997-12-09 Cornell Research Foundation, Inc. Use of cobalt tungsten phosphide as a barrier material for copper metallization
US5895596A (en) * 1997-01-27 1999-04-20 Semitool Thermal Model based temperature controller for semiconductor thermal processors
US5862054A (en) * 1997-02-20 1999-01-19 Taiwan Semiconductor Manufacturing Company, Ltd. Process monitoring system for real time statistical process control
KR100272252B1 (ko) * 1997-04-17 2000-11-15 윤종용 웨이퍼카세트반송방법
JP3186643B2 (ja) * 1997-05-08 2001-07-11 日本電気株式会社 充電器および充電器と携帯無線機とからなる無線装置
US6219711B1 (en) * 1997-05-13 2001-04-17 Micron Electronics, Inc. Synchronous communication interface
US6240330B1 (en) * 1997-05-28 2001-05-29 International Business Machines Corporation Method for feedforward corrections for off-specification conditions
US6012048A (en) * 1997-05-30 2000-01-04 Capital Security Systems, Inc. Automated banking system for dispensing money orders, wire transfer and bill payment
SG66487A1 (en) * 1997-07-11 1999-07-20 Tokyo Seimitsu Co Ltd Wafer polishing apparatus
US6345315B1 (en) * 1997-08-13 2002-02-05 Sudhindra N. Mishra Method for platform and protocol independent communication between client-server pairs
US6100184A (en) * 1997-08-20 2000-08-08 Sematech, Inc. Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
KR19990027324A (ko) * 1997-09-29 1999-04-15 윤종용 웨이퍼 인식 시스템을 구비하는 멀티 챔버 시스템과 이를 이용한 웨이퍼 가공방법
DE19747574A1 (de) * 1997-10-28 1999-05-06 Siemens Ag Verfahren zur Ermittlung realisierbarer Konfigurationen von Bearbeitungsanlagen
US6041270A (en) * 1997-12-05 2000-03-21 Advanced Micro Devices, Inc. Automatic recipe adjust and download based on process control window
KR100251279B1 (ko) * 1997-12-26 2000-04-15 윤종용 반도체 제조용 증착설비의 막두께 조절방법
KR100258841B1 (ko) * 1997-12-26 2000-06-15 윤종용 반도체 제조설비 관리시스템의 설비 유닛상태 관리방법
EP0932194A1 (de) * 1997-12-30 1999-07-28 International Business Machines Corporation Methode und System zur Halbleiterscheiben interaktvien in-Situ Überwachung
KR19990065486A (ko) * 1998-01-14 1999-08-05 윤종용 반도체 제조설비 관리시스템의 공정조건 관리방법
KR100297371B1 (ko) * 1998-02-03 2001-10-25 윤종용 반도체 공정 데이터 통합 관리 방법
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6228280B1 (en) * 1998-05-06 2001-05-08 International Business Machines Corporation Endpoint detection by chemical reaction and reagent
KR20010071235A (ko) * 1998-05-11 2001-07-28 세미툴 인코포레이티드 열반응기용 온도 제어 시스템
US6230069B1 (en) * 1998-06-26 2001-05-08 Advanced Micro Devices, Inc. System and method for controlling the manufacture of discrete parts in semiconductor fabrication using model predictive control
KR100292030B1 (ko) * 1998-09-15 2001-08-07 윤종용 반도체 박막 공정에서의 박막 두께 제어 방법
US6197604B1 (en) * 1998-10-01 2001-03-06 Advanced Micro Devices, Inc. Method for providing cooperative run-to-run control for multi-product and multi-process semiconductor fabrication
US6366934B1 (en) * 1998-10-08 2002-04-02 International Business Machines Corporation Method and apparatus for querying structured documents using a database extender
US6226792B1 (en) * 1998-10-14 2001-05-01 Unisys Corporation Object management system supporting the use of application domain knowledge mapped to technology domain knowledge
US6339727B1 (en) * 1998-12-21 2002-01-15 Recot, Inc. Apparatus and method for controlling distribution of product in manufacturing process
US6212961B1 (en) * 1999-02-11 2001-04-10 Nova Measuring Instruments Ltd. Buffer system for a wafer handling system
US6389491B1 (en) * 1999-03-23 2002-05-14 Agilent Technologies, Inc. Test instrumentation I/O communication interface and method
US6268270B1 (en) * 1999-04-30 2001-07-31 Advanced Micro Devices, Inc. Lot-to-lot rapid thermal processing (RTP) chamber preheat optimization
JP2003502771A (ja) * 1999-06-22 2003-01-21 ブルックス オートメーション インコーポレイテッド マイクロエレクトロニクス製作に使用するラントゥーラン制御器
NL1015480C2 (nl) * 1999-06-22 2002-08-22 Hyundai Electronics Ind Halfgeleider fabriekautomatiseringssysteem en werkwijze voor de verwerking van ten minste een halfgeleiderwafelcassette.
US6046108A (en) * 1999-06-25 2000-04-04 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby
US6391780B1 (en) * 1999-08-23 2002-05-21 Taiwan Semiconductor Manufacturing Company Method to prevent copper CMP dishing
US6556881B1 (en) * 1999-09-09 2003-04-29 Advanced Micro Devices, Inc. Method and apparatus for integrating near real-time fault detection in an APC framework
US6368879B1 (en) * 1999-09-22 2002-04-09 Advanced Micro Devices, Inc. Process control with control signal derived from metrology of a repetitive critical dimension feature of a test structure on the work piece
US6560504B1 (en) * 1999-09-29 2003-05-06 Advanced Micro Devices, Inc. Use of contamination-free manufacturing data in fault detection and classification as well as in run-to-run control
US6532555B1 (en) * 1999-10-29 2003-03-11 Advanced Micro Devices, Inc. Method and apparatus for integration of real-time tool data and in-line metrology for fault detection in an advanced process control (APC) framework
US6546508B1 (en) * 1999-10-29 2003-04-08 Advanced Micro Devices, Inc. Method and apparatus for fault detection of a processing tool in an advanced process control (APC) framework
US6355559B1 (en) * 1999-11-18 2002-03-12 Texas Instruments Incorporated Passivation of inlaid metallization
US6340602B1 (en) * 1999-12-10 2002-01-22 Sensys Instruments Method of measuring meso-scale structures on wafers
US6449524B1 (en) * 2000-01-04 2002-09-10 Advanced Micro Devices, Inc. Method and apparatus for using equipment state data for run-to-run control of manufacturing tools
US6465263B1 (en) * 2000-01-04 2002-10-15 Advanced Micro Devices, Inc. Method and apparatus for implementing corrected species by monitoring specific state parameters
US6567717B2 (en) * 2000-01-19 2003-05-20 Advanced Micro Devices, Inc. Feed-forward control of TCI doping for improving mass-production-wise, statistical distribution of critical performance parameters in semiconductor devices
US6517414B1 (en) * 2000-03-10 2003-02-11 Appied Materials, Inc. Method and apparatus for controlling a pad conditioning process of a chemical-mechanical polishing apparatus
JP4874465B2 (ja) * 2000-03-28 2012-02-15 株式会社東芝 渦電流損失測定センサ
US6245581B1 (en) * 2000-04-19 2001-06-12 Advanced Micro Devices, Inc. Method and apparatus for control of critical dimension using feedback etch control
US6725402B1 (en) * 2000-07-31 2004-04-20 Advanced Micro Devices, Inc. Method and apparatus for fault detection of a processing tool and control thereof using an advanced process control (APC) framework
US6708074B1 (en) * 2000-08-11 2004-03-16 Applied Materials, Inc. Generic interface builder
US6537912B1 (en) * 2000-08-25 2003-03-25 Micron Technology Inc. Method of forming an encapsulated conductive pillar
US6346426B1 (en) * 2000-11-17 2002-02-12 Advanced Micro Devices, Inc. Method and apparatus for characterizing semiconductor device performance variations based on independent critical dimension measurements
US6728587B2 (en) * 2000-12-27 2004-04-27 Insyst Ltd. Method for global automated process control
US6535783B1 (en) * 2001-03-05 2003-03-18 Advanced Micro Devices, Inc. Method and apparatus for the integration of sensor data from a process tool in an advanced process control (APC) framework
US6540591B1 (en) * 2001-04-18 2003-04-01 Alexander J. Pasadyn Method and apparatus for post-polish thickness and uniformity control
JP2002373843A (ja) * 2001-06-14 2002-12-26 Nec Corp 塗布装置及び塗布膜厚制御方法
US6913938B2 (en) * 2001-06-19 2005-07-05 Applied Materials, Inc. Feedback control of plasma-enhanced chemical vapor deposition processes
US6708075B2 (en) * 2001-11-16 2004-03-16 Advanced Micro Devices Method and apparatus for utilizing integrated metrology data as feed-forward data
US6515368B1 (en) * 2001-12-07 2003-02-04 Advanced Micro Devices, Inc. Semiconductor device with copper-filled via includes a copper-zinc/alloy film for reduced electromigration of copper
US6528409B1 (en) * 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102010009795A1 (de) 2010-03-01 2011-09-01 Von Ardenne Anlagentechnik Gmbh Verfahren zur Herstellung von metallischen Rückkontakten für waferbasierte Solarzellen
DE102010009795B4 (de) * 2010-03-01 2014-05-15 Von Ardenne Anlagentechnik Gmbh Verfahren und Vorrichtung zur Herstellung von metallischen Rückkontakten für waferbasierte Solarzellen

Also Published As

Publication number Publication date
WO2003009345A3 (en) 2004-01-08
EP1412827A2 (de) 2004-04-28
WO2003009345A2 (en) 2003-01-30
EP1412827B1 (de) 2007-05-09
ATE362127T1 (de) 2007-06-15
DE60220063D1 (de) 2007-06-21
CN100432879C (zh) 2008-11-12
JP4377224B2 (ja) 2009-12-02
CN1564970A (zh) 2005-01-12
US20030014145A1 (en) 2003-01-16
KR20040015813A (ko) 2004-02-19
AU2002316650A1 (en) 2003-03-03
WO2003009345A9 (en) 2004-04-08
KR100916190B1 (ko) 2009-09-08
US7337019B2 (en) 2008-02-26
JP2005522018A (ja) 2005-07-21

Similar Documents

Publication Publication Date Title
DE60220063T2 (de) Integrierung von fehlererkennung mit run-to-run steuerung
DE102009006887B3 (de) Verfahren und System zur Halbleiterprozesssteuerung und Überwachung unter Anwendung eines Datenqualitätsmaßes
DE102018103599B4 (de) Werkzeugzustandsschätzungsgerät und Werkzeugmaschine
DE60023395T2 (de) Verfahren zur Bearbeitung von Halbleitern
DE60104705T2 (de) Verbesserte regelung mit adaptives abtastverfahren zur halbleiterherstellung
DE112006002918B4 (de) Verfahren, System sowie computerlesbare Programmspeichereinrichtung für eine produktbezogene Rückkopplung für Prozesssteuerung
DE10007972B4 (de) Diagnosevorrichtung und -verfahren in einem Prozeßsteuersystem
DE10394223B4 (de) Einstellen einer Probennahmerate auf der Grundlage von Zustandsabschätzungsergebnissen
DE112005003076B4 (de) Verfahren zur Steuerung einer industriellen Automationsvorrichtung oder eines Prozesses
DE102007046964B4 (de) Prozesssteuervorrichtungund Verfahren zur Steuerung eines Prozesses
DE112005002474B4 (de) Verfahren zum dynamischen Einstellen der Messdatennahme auf der Grundlage der verfügbaren Messkapazität
DE10393903T5 (de) Dynamische adaptive Abtastrate für Modellvorhersage
DE60011143T2 (de) Chipsherstellungssteuerung
DE102005009022A1 (de) Automatisches Durchsatzsteuerungssystem und Verfahren zum Betreiben desselben
DE112004000242T5 (de) Serviceeinrichtung zur Bereitstellung von abgesetzten Diagnose- und Wartungsdienstleistungen für einen Verarbeitungsbetrieb
DE112005002576T5 (de) Fehlererkennungssystem und Verfahren auf der Grundlage einer gewichteten Hauptkomponentenanalyse
DE112004001259B4 (de) Verfahren und System zum Ausführen einer Messverteilung auf der Grundlage einer Fehlererkennung und computerlesbares Speichermedium
DE10007971A1 (de) Diagnoseexpertensystem zum Einsatz in der Prozesssteuerung
DE102008021558A1 (de) Verfahren und System für die Halbleiterprozesssteuerung und Überwachung unter Verwendung von PCA-Modellen mit reduzierter Grösse
DE10008020B4 (de) Diagnosevorrichtung in einem Prozeßsteuersystem, das Mehrgrößen-Regeltechniken verwendet
DE10213285A1 (de) Verfahren zur Steuerung eines Verarbeitungsgerätes
DE102020203986A1 (de) Verfahren, systeme, fertigungsartikel und vorrichtung zur verwaltung von prozessauslenkungen
DE10393371T5 (de) Verfahren und Vorrichtung zum Steuern eines Fertigungsprozesses auf der Grundlage einer gemessenen elektrischen Eigenschaft
DE102005030586A1 (de) Verfahren und System für eine fortschrittliche Prozesssteuerung unter Anwendung der Messunsicherheit als Steuerungseingang
DE10297636B4 (de) Verfahren zum Steuern von Prozessanlagen in einer Halbleiterfertigungsfabrik ####

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee