DE60318086D1 - System und methode zur reduzierung von leitungsverzögerung oder überlastung bei der synthese von hardware-solvern - Google Patents

System und methode zur reduzierung von leitungsverzögerung oder überlastung bei der synthese von hardware-solvern

Info

Publication number
DE60318086D1
DE60318086D1 DE60318086T DE60318086T DE60318086D1 DE 60318086 D1 DE60318086 D1 DE 60318086D1 DE 60318086 T DE60318086 T DE 60318086T DE 60318086 T DE60318086 T DE 60318086T DE 60318086 D1 DE60318086 D1 DE 60318086D1
Authority
DE
Germany
Prior art keywords
solvern
overload
synthesis
hardware
line delay
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE60318086T
Other languages
English (en)
Other versions
DE60318086T2 (de
Inventor
Darren Cronquist
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hewlett Packard Development Co LP
Original Assignee
Hewlett Packard Development Co LP
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hewlett Packard Development Co LP filed Critical Hewlett Packard Development Co LP
Publication of DE60318086D1 publication Critical patent/DE60318086D1/de
Application granted granted Critical
Publication of DE60318086T2 publication Critical patent/DE60318086T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
DE60318086T 2002-10-07 2003-10-03 System und methode zur reduzierung von leitungsverzögerung oder überlastung bei der synthese von hardware-solvern Expired - Lifetime DE60318086T2 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US266719 1988-11-03
US10/266,719 US7107568B2 (en) 2002-10-07 2002-10-07 System and method for reducing wire delay or congestion during synthesis of hardware solvers
PCT/US2003/031619 WO2004034291A2 (en) 2002-10-07 2003-10-03 A system and method for reducing wire delay or congestion during synthesis of hardware solvers

Publications (2)

Publication Number Publication Date
DE60318086D1 true DE60318086D1 (de) 2008-01-24
DE60318086T2 DE60318086T2 (de) 2008-12-04

Family

ID=32042713

Family Applications (1)

Application Number Title Priority Date Filing Date
DE60318086T Expired - Lifetime DE60318086T2 (de) 2002-10-07 2003-10-03 System und methode zur reduzierung von leitungsverzögerung oder überlastung bei der synthese von hardware-solvern

Country Status (6)

Country Link
US (1) US7107568B2 (de)
EP (1) EP1550059B1 (de)
JP (1) JP2006502502A (de)
AU (1) AU2003282708A1 (de)
DE (1) DE60318086T2 (de)
WO (1) WO2004034291A2 (de)

Families Citing this family (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7000137B2 (en) * 2002-10-07 2006-02-14 Hewlett-Packard Development Company, L.P. System for and method of clock cycle-time analysis using mode-slicing mechanism
US7096438B2 (en) * 2002-10-07 2006-08-22 Hewlett-Packard Development Company, L.P. Method of using clock cycle-time in determining loop schedules during circuit design
US6952816B2 (en) * 2002-10-07 2005-10-04 Hewlett-Packard Development Company, L.P. Methods and apparatus for digital circuit design generation
US7237214B1 (en) * 2003-03-04 2007-06-26 Synplicity, Inc. Method and apparatus for circuit partitioning and trace assignment in circuit design
US7324512B2 (en) * 2003-06-12 2008-01-29 International Business Machines Corporation MAC layer bridging of network frames between isolated and external networks
US8595688B1 (en) * 2003-09-08 2013-11-26 Synopsys, Inc. Generation of instruction set from architecture description
US7167025B1 (en) 2004-02-14 2007-01-23 Herman Schmit Non-sequentially configurable IC
US7425841B2 (en) 2004-02-14 2008-09-16 Tabula Inc. Configurable circuits, IC's, and systems
US7373615B2 (en) * 2004-02-17 2008-05-13 International Business Machines Corporation Method for optimization of logic circuits for routability
US7330050B2 (en) 2004-11-08 2008-02-12 Tabula, Inc. Storage elements for a configurable IC and method and apparatus for accessing data stored in the storage elements
US7317331B2 (en) 2004-11-08 2008-01-08 Tabula, Inc. Reconfigurable IC that has sections running at different reconfiguration rates
US7236009B1 (en) 2004-12-01 2007-06-26 Andre Rohe Operational time extension
US7496879B2 (en) * 2004-12-01 2009-02-24 Tabula, Inc. Concurrent optimization of physical design and operational cycle assignment
US7428721B2 (en) * 2004-12-01 2008-09-23 Tabula, Inc. Operational cycle assignment in a configurable IC
US7230869B1 (en) 2005-03-15 2007-06-12 Jason Redgrave Method and apparatus for accessing contents of memory cells
US7478356B1 (en) * 2005-09-30 2009-01-13 Xilinx, Inc. Timing driven logic block configuration
US7372297B1 (en) 2005-11-07 2008-05-13 Tabula Inc. Hybrid interconnect/logic circuits enabling efficient replication of a function in several sub-cycles to save logic and routing resources
US7679401B1 (en) 2005-12-01 2010-03-16 Tabula, Inc. User registers implemented with routing circuits in a configurable IC
US7669097B1 (en) 2006-03-27 2010-02-23 Tabula, Inc. Configurable IC with error detection and correction circuitry
WO2008115243A2 (en) 2007-03-20 2008-09-25 Tabula, Inc. Configurable ic having a routing fabric with storage elements
US7610566B1 (en) 2007-03-22 2009-10-27 Tabula, Inc. Method and apparatus for function decomposition
US8595674B2 (en) * 2007-07-23 2013-11-26 Synopsys, Inc. Architectural physical synthesis
US8051411B2 (en) * 2007-08-08 2011-11-01 National Tsing Hua University Method for copy propagations for a processor with distributed register file design
EP2201569A4 (de) 2007-09-06 2011-07-13 Tabula Inc Konfigurationskontextwechsler
US7913203B1 (en) * 2007-11-23 2011-03-22 Altera Corporation Method and apparatus for designing a system on multiple field programmable gate array device types
US7873934B1 (en) 2007-11-23 2011-01-18 Altera Corporation Method and apparatus for implementing carry chains on field programmable gate array devices
US8863067B1 (en) 2008-02-06 2014-10-14 Tabula, Inc. Sequential delay analysis by placement engines
US8661380B1 (en) * 2008-02-19 2014-02-25 Altera Corporation Method and apparatus for performing parallel synthesis on a field programmable gate array
US8661381B1 (en) 2008-05-15 2014-02-25 Altera Corporation Method and apparatus for performing optimization using Don't Care states
US8555218B2 (en) 2008-05-24 2013-10-08 Tabula, Inc. Decision modules
US8166435B2 (en) 2008-06-26 2012-04-24 Tabula, Inc. Timing operations in an IC with configurable circuits
CN101661517B (zh) * 2008-08-25 2012-02-15 扬智科技股份有限公司 芯片布局方法
US8136063B2 (en) * 2008-11-14 2012-03-13 Synopsys, Inc. Unfolding algorithm in multirate system folding
EP2190022B1 (de) * 2008-11-20 2013-01-02 Hitachi Ltd. Spinpolarisierte Ladungsträgervorrichtung
US8255847B1 (en) * 2009-10-01 2012-08-28 Altera Corporation Method and apparatus for automatic hierarchical design partitioning
WO2011123151A1 (en) 2010-04-02 2011-10-06 Tabula Inc. System and method for reducing reconfiguration power usage
US9230047B1 (en) * 2010-06-11 2016-01-05 Altera Corporation Method and apparatus for partitioning a synthesis netlist for compile time and quality of results improvement
US8984464B1 (en) 2011-11-21 2015-03-17 Tabula, Inc. Detailed placement with search and repair
US8495535B2 (en) 2011-11-28 2013-07-23 International Business Machines Corporation Partitioning and scheduling uniform operator logic trees for hardware accelerators
EP3300700A3 (de) 2012-03-19 2018-07-25 Massachusetts Institute of Technology Mechanische schnittstelle mit variabler impedanz
FR2991476B1 (fr) 2012-06-01 2022-04-22 Flexras Tech Prototypage multi-fpga d'un circuit asic
US8789001B1 (en) 2013-02-20 2014-07-22 Tabula, Inc. System and method for using fabric-graph flow to determine resource costs
US8762909B1 (en) * 2013-03-12 2014-06-24 Avago Technologies General Ip (Singapore) Pte. Ltd. System and method for automatic timing-based register placement and register location adjustment in an integrated circuit (IC)
US9378326B2 (en) * 2014-09-09 2016-06-28 International Business Machines Corporation Critical region identification
JP6398729B2 (ja) * 2015-01-08 2018-10-03 株式会社ソシオネクスト 設計支援装置、および設計支援方法
US9971858B1 (en) 2015-02-20 2018-05-15 Altera Corporation Method and apparatus for performing register retiming in the presence of false path timing analysis exceptions
US10191948B2 (en) * 2015-02-27 2019-01-29 Microsoft Technology Licensing, Llc Joins and aggregations on massive graphs using large-scale graph processing
US10157247B2 (en) 2016-03-24 2018-12-18 Intel Corporation Method and apparatus for performing rewind structural verification of retimed circuits driven by a plurality of clocks
US9824177B1 (en) 2016-03-24 2017-11-21 Altera Corporation Method and apparatus for verifying structural correctness in retimed circuits
US10706203B1 (en) * 2016-03-24 2020-07-07 Altera Corporation Method and apparatus for verifying initial state equivalence of changed registers in retimed circuits
US10417374B1 (en) * 2016-05-09 2019-09-17 Altera Corporation Method and apparatus for performing register retiming by utilizing native timing-driven constraints
CN106249519A (zh) * 2016-08-22 2016-12-21 深圳市华星光电技术有限公司 一种投影仪
US10769008B1 (en) * 2016-12-06 2020-09-08 Cadence Design Systems, Inc. Systems and methods for automatic formal metastability fault analysis in an electronic design
US10671398B2 (en) * 2017-08-02 2020-06-02 International Business Machines Corporation Low-overhead, low-latency operand dependency tracking for instructions operating on register pairs in a processor core
US11106968B1 (en) * 2018-05-24 2021-08-31 Xilinx, Inc. Circuit arrangements and methods for traversing input feature maps
US20200057645A1 (en) 2018-08-16 2020-02-20 Tachyum Ltd. System and method for location aware processing
CN113918133B (zh) * 2021-12-14 2022-02-25 武汉鼎元同立科技有限公司 最优控制问题求解器构建方法及系统
KR102454202B1 (ko) * 2022-05-04 2022-10-17 주식회사 애자일소다 파티셔닝을 이용한 심층 강화학습 기반의 집적회로 설계 시스템 및 방법

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6226776B1 (en) * 1997-09-16 2001-05-01 Synetry Corporation System for converting hardware designs in high-level programming language to hardware implementations
US6075935A (en) * 1997-12-01 2000-06-13 Improv Systems, Inc. Method of generating application specific integrated circuits using a programmable hardware architecture
JP3423603B2 (ja) * 1997-12-22 2003-07-07 シャープ株式会社 高位合成装置及び高位合成方法、並びに高位合成プログラムを記録した記録媒体
US6314552B1 (en) * 1998-05-18 2001-11-06 Lev A. Markov Electronic design creation through architectural exploration
US6442743B1 (en) * 1998-06-12 2002-08-27 Monterey Design Systems Placement method for integrated circuit design using topo-clustering
US6782511B1 (en) * 1999-05-26 2004-08-24 Cadence Design Systems, Inc. Behavioral-synthesis electronic design automation tool business-to-business application service provider
JP3717144B2 (ja) * 1999-06-03 2005-11-16 シャープ株式会社 高位合成装置および高位合成方法並びに高位合成プログラムを記録した記録媒体
US6397341B1 (en) * 1999-08-27 2002-05-28 Synopsys, Inc. Method for improving the speed of behavioral synthesis links to logic synthesis
US6625797B1 (en) * 2000-02-10 2003-09-23 Xilinx, Inc. Means and method for compiling high level software languages into algorithmically equivalent hardware representations
JP3722351B2 (ja) * 2000-02-18 2005-11-30 シャープ株式会社 高位合成方法およびその実施に使用される記録媒体
JP2002366596A (ja) * 2001-06-11 2002-12-20 Sharp Corp 高位合成装置および高位合成方法、高位合成方法による論理回路の製造方法、記録媒体
US6941541B2 (en) * 2002-07-19 2005-09-06 Hewlett-Packard Development Company, L.P. Efficient pipelining of synthesized synchronous circuits
US6829756B1 (en) * 2002-09-23 2004-12-07 Xilinx, Inc. Programmable logic device with time-multiplexed interconnect
US6952816B2 (en) * 2002-10-07 2005-10-04 Hewlett-Packard Development Company, L.P. Methods and apparatus for digital circuit design generation
US6925628B2 (en) * 2002-10-22 2005-08-02 Matsushita Electric Industrial Co., Ltd. High-level synthesis method

Also Published As

Publication number Publication date
EP1550059A2 (de) 2005-07-06
WO2004034291A9 (en) 2006-05-26
AU2003282708A1 (en) 2004-05-04
WO2004034291A2 (en) 2004-04-22
DE60318086T2 (de) 2008-12-04
EP1550059B1 (de) 2007-12-12
US20040068331A1 (en) 2004-04-08
AU2003282708A8 (en) 2004-05-04
WO2004034291A3 (en) 2004-07-22
JP2006502502A (ja) 2006-01-19
US7107568B2 (en) 2006-09-12

Similar Documents

Publication Publication Date Title
DE60318086D1 (de) System und methode zur reduzierung von leitungsverzögerung oder überlastung bei der synthese von hardware-solvern
NL350092I2 (nl) Combinatie van bixafen en fluoxastrobine
IS7493A (is) Samsetningar og aðferðir við að skammta fitukorn af ákveðnum stærðum til þess að meðhöndla eða komaí veg fyrir sjúkdóm
EP1648316A4 (de) Wirbelhalter-distraktor und anwendungsverfahren dafür
DK1539224T3 (da) Antimikrobielt præparat og fremgangsmåde til anvendelse
DE60311379D1 (de) Körperverträgliches Stützgerüst zur Wiederherstellung von Bändern oder Sehnen
IS8305A (is) Bindandi smíðar og aðferðir við notkun þeirra
AU2003303128A8 (en) Inhibitors and methods of use thereof
NO20044148L (no) Sammensetning og fremgangsmate for bronnrensing
EP1606285A4 (de) Neue ido-hemmer und anwendungsverfahren
DE602004005753D1 (de) Kombinationen von harzzusammensetzungen und verwendungsverfahren dafür
DE60317699D1 (de) Fermentationsverfahren und -zusammensetzungen
AU2003245253A8 (en) System and method of application processing
AU2003259735A8 (en) Small-mer compositions and methods of use
DK1523489T3 (da) Modificerede 2'- og 3'-nukleosidprodrugs til behandling af flaviridae-infektioner
IS7725A (is) Imidazólópyridín og aðferðir við framleiðslu og notkun þeirra
ATE410421T1 (de) P38 inhibitor und anwendungsverfahren dafür
IL163575A0 (en) Compositions and method for regulation of calcium-dependent signalling in brain
ATE414556T1 (de) Verwendung von il-18-inhibitoren zur behandlung und/oder prävention von peripheren gefässkrankheiten
DK2266584T3 (da) Sammensætning med strontium og D-vitamin til profylakse og/eller behandling af brusk- og knogletilstande
ATE395075T1 (de) Verwendung von il-18 inhibitoren zur behandlung und/oder prävention von atherosklerose
DE50309262D1 (de) Verfahren zur auswahl und/oder fertigung von automatisierungshardware
DE60317693D1 (de) Methode und verwendung von thermostabilen rna-ligasen
DK1434499T3 (da) Anvendelse af CMC i forarbejdede ködprodukter
EP1682115A4 (de) Hif-1-hemmer und anwendungsverfahren dafür

Legal Events

Date Code Title Description
8364 No opposition during term of opposition