DE69214087D1 - Diamant- oder diamantähnliche Ätzstopschicht für chemisch-mechanisches Polieren - Google Patents

Diamant- oder diamantähnliche Ätzstopschicht für chemisch-mechanisches Polieren

Info

Publication number
DE69214087D1
DE69214087D1 DE69214087T DE69214087T DE69214087D1 DE 69214087 D1 DE69214087 D1 DE 69214087D1 DE 69214087 T DE69214087 T DE 69214087T DE 69214087 T DE69214087 T DE 69214087T DE 69214087 D1 DE69214087 D1 DE 69214087D1
Authority
DE
Germany
Prior art keywords
diamond
stop layer
mechanical polishing
etch stop
chemical mechanical
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE69214087T
Other languages
English (en)
Other versions
DE69214087T2 (de
Inventor
Mark Anthony Jaso
Paul Bradley Jones
Bernard Steele Meyerson
Vishnubhai Vitthalbhai Patel
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of DE69214087D1 publication Critical patent/DE69214087D1/de
Application granted granted Critical
Publication of DE69214087T2 publication Critical patent/DE69214087T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76819Smoothing of the dielectric
DE69214087T 1991-10-30 1992-10-09 Diamant- oder diamantähnliche Ätzstopschicht für chemisch-mechanisches Polieren Expired - Fee Related DE69214087T2 (de)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US07/784,280 US5246884A (en) 1991-10-30 1991-10-30 Cvd diamond or diamond-like carbon for chemical-mechanical polish etch stop

Publications (2)

Publication Number Publication Date
DE69214087D1 true DE69214087D1 (de) 1996-10-31
DE69214087T2 DE69214087T2 (de) 1997-04-03

Family

ID=25131947

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69214087T Expired - Fee Related DE69214087T2 (de) 1991-10-30 1992-10-09 Diamant- oder diamantähnliche Ätzstopschicht für chemisch-mechanisches Polieren

Country Status (4)

Country Link
US (1) US5246884A (de)
EP (1) EP0540444B1 (de)
JP (1) JPH081899B2 (de)
DE (1) DE69214087T2 (de)

Families Citing this family (112)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6008107A (en) * 1990-06-14 1999-12-28 National Semiconductor Corporation Method of planarizing integrated circuits with fully recessed isolation dielectric
JP3060714B2 (ja) * 1992-04-15 2000-07-10 日本電気株式会社 半導体集積回路の製造方法
GB2275129B (en) * 1992-05-26 1997-01-08 Toshiba Kk Method for planarizing a layer on a semiconductor wafer
US5445996A (en) * 1992-05-26 1995-08-29 Kabushiki Kaisha Toshiba Method for planarizing a semiconductor device having a amorphous layer
US5512163A (en) * 1992-06-08 1996-04-30 Motorola, Inc. Method for forming a planarization etch stop
US5300188A (en) * 1992-11-13 1994-04-05 Kobe Development Corp. Process for making substantially smooth diamond
JPH07111962B2 (ja) 1992-11-27 1995-11-29 日本電気株式会社 選択平坦化ポリッシング方法
US5532191A (en) * 1993-03-26 1996-07-02 Kawasaki Steel Corporation Method of chemical mechanical polishing planarization of an insulating film using an etching stop
JP3360350B2 (ja) * 1993-04-21 2002-12-24 ヤマハ株式会社 表面平坦化法
US5332467A (en) * 1993-09-20 1994-07-26 Industrial Technology Research Institute Chemical/mechanical polishing for ULSI planarization
US5395801A (en) * 1993-09-29 1995-03-07 Micron Semiconductor, Inc. Chemical-mechanical polishing processes of planarizing insulating layers
JPH07245306A (ja) * 1994-01-17 1995-09-19 Sony Corp 半導体装置における膜平坦化方法
US5725573A (en) * 1994-03-29 1998-03-10 Southwest Research Institute Medical implants made of metal alloys bearing cohesive diamond like carbon coatings
US6087025A (en) * 1994-03-29 2000-07-11 Southwest Research Institute Application of diamond-like carbon coatings to cutting surfaces of metal cutting tools
US5725413A (en) * 1994-05-06 1998-03-10 Board Of Trustees Of The University Of Arkansas Apparatus for and method of polishing and planarizing polycrystalline diamonds, and polished and planarized polycrystalline diamonds and products made therefrom
US5516729A (en) * 1994-06-03 1996-05-14 Advanced Micro Devices, Inc. Method for planarizing a semiconductor topography using a spin-on glass material with a variable chemical-mechanical polish rate
US5559367A (en) * 1994-07-12 1996-09-24 International Business Machines Corporation Diamond-like carbon for use in VLSI and ULSI interconnect systems
US5494854A (en) * 1994-08-17 1996-02-27 Texas Instruments Incorporated Enhancement in throughput and planarity during CMP using a dielectric stack containing HDP-SiO2 films
US5527423A (en) * 1994-10-06 1996-06-18 Cabot Corporation Chemical mechanical polishing slurry for metal layers
US5633207A (en) * 1994-10-14 1997-05-27 Kabushiki Kaisha Toshiba Method of forming a wiring layer for a semiconductor device
JP3438446B2 (ja) * 1995-05-15 2003-08-18 ソニー株式会社 半導体装置の製造方法
US5665201A (en) * 1995-06-06 1997-09-09 Advanced Micro Devices, Inc. High removal rate chemical-mechanical polishing
JP3230986B2 (ja) * 1995-11-13 2001-11-19 株式会社東芝 ポリッシング方法、半導体装置の製造方法及び半導体製造装置。
US5798302A (en) * 1996-02-28 1998-08-25 Micron Technology, Inc. Low friction polish-stop stratum for endpointing chemical-mechanical planarization processing of semiconductor wafers
US5780119A (en) * 1996-03-20 1998-07-14 Southwest Research Institute Treatments to reduce friction and wear on metal alloy components
US5858477A (en) * 1996-12-10 1999-01-12 Akashic Memories Corporation Method for producing recording media having protective overcoats of highly tetrahedral amorphous carbon
US6115233A (en) * 1996-06-28 2000-09-05 Lsi Logic Corporation Integrated circuit device having a capacitor with the dielectric peripheral region being greater than the dielectric central region
US5872043A (en) * 1996-07-25 1999-02-16 Industrial Technology Research Institute Method of planarizing wafers with shallow trench isolation
US5916453A (en) * 1996-09-20 1999-06-29 Fujitsu Limited Methods of planarizing structures on wafers and substrates by polishing
US5985724A (en) * 1996-10-01 1999-11-16 Advanced Micro Devices, Inc. Method for forming asymmetrical p-channel transistor having nitrided oxide patterned to selectively form a sidewall spacer
US5674784A (en) * 1996-10-02 1997-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming polish stop layer for CMP process
US5858870A (en) * 1996-12-16 1999-01-12 Chartered Semiconductor Manufacturing, Ltd. Methods for gap fill and planarization of intermetal dielectrics
US6069069A (en) * 1996-12-16 2000-05-30 Chartered Semiconductor Manufacturing, Ltd. Method for planarizing a low dielectric constant spin-on polymer using nitride etch stop
US6884155B2 (en) 1999-11-22 2005-04-26 Kinik Diamond grid CMP pad dresser
US9463552B2 (en) 1997-04-04 2016-10-11 Chien-Min Sung Superbrasvie tools containing uniformly leveled superabrasive particles and associated methods
US9199357B2 (en) 1997-04-04 2015-12-01 Chien-Min Sung Brazed diamond tools and methods for making the same
US7323049B2 (en) * 1997-04-04 2008-01-29 Chien-Min Sung High pressure superabrasive particle synthesis
US6368198B1 (en) 1999-11-22 2002-04-09 Kinik Company Diamond grid CMP pad dresser
US9221154B2 (en) 1997-04-04 2015-12-29 Chien-Min Sung Diamond tools and methods for making the same
US9238207B2 (en) 1997-04-04 2016-01-19 Chien-Min Sung Brazed diamond tools and methods for making the same
US6679243B2 (en) 1997-04-04 2004-01-20 Chien-Min Sung Brazed diamond tools and methods for making
US9868100B2 (en) 1997-04-04 2018-01-16 Chien-Min Sung Brazed diamond tools and methods for making the same
US7124753B2 (en) * 1997-04-04 2006-10-24 Chien-Min Sung Brazed diamond tools and methods for making the same
US7368013B2 (en) * 1997-04-04 2008-05-06 Chien-Min Sung Superabrasive particle synthesis with controlled placement of crystalline seeds
US9409280B2 (en) 1997-04-04 2016-08-09 Chien-Min Sung Brazed diamond tools and methods for making the same
US5975994A (en) * 1997-06-11 1999-11-02 Micron Technology, Inc. Method and apparatus for selectively conditioning a polished pad used in planarizng substrates
KR100266749B1 (ko) * 1997-06-11 2000-09-15 윤종용 반도체 장치의 콘택 플러그 형성 방법
US6483157B1 (en) 1997-06-20 2002-11-19 Advanced Micro Devices, Inc. Asymmetrical transistor having a barrier-incorporated gate oxide and a graded implant only in the drain-side junction area
US6130471A (en) * 1997-08-29 2000-10-10 The Whitaker Corporation Ballasting of high power silicon-germanium heterojunction biploar transistors
US6040225A (en) * 1997-08-29 2000-03-21 The Whitaker Corporation Method of fabricating polysilicon based resistors in Si-Ge heterojunction devices
US6624064B1 (en) 1997-10-10 2003-09-23 Applied Materials, Inc. Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application
US6211065B1 (en) 1997-10-10 2001-04-03 Applied Materials, Inc. Method of depositing and amorphous fluorocarbon film using HDP-CVD
US6323119B1 (en) 1997-10-10 2001-11-27 Applied Materials, Inc. CVD deposition method to improve adhesion of F-containing dielectric metal lines for VLSI application
US6265749B1 (en) 1997-10-14 2001-07-24 Advanced Micro Devices, Inc. Metal silicide transistor gate spaced from a semiconductor substrate by a ceramic gate dielectric having a high dielectric constant
JP3514091B2 (ja) * 1997-11-17 2004-03-31 三菱マテリアル株式会社 気相合成ダイヤモンド薄膜の表面研磨方法
US6025244A (en) * 1997-12-04 2000-02-15 Fujitsu Limited Self-aligned patterns by chemical-mechanical polishing particularly suited to the formation of MCM capacitors
US6291334B1 (en) * 1997-12-19 2001-09-18 Applied Materials, Inc. Etch stop layer for dual damascene process
US6091131A (en) * 1998-04-28 2000-07-18 International Business Machines Corporation Integrated circuit having crack stop for interlevel dielectric layers
TW379416B (en) * 1998-05-04 2000-01-11 United Microelectronics Corp Method of manufacturing dual damascence
DE19829152A1 (de) * 1998-05-05 1999-11-18 United Microelectronics Corp Doppeltes Damaszierverfahren
US6124620A (en) * 1998-05-14 2000-09-26 Advanced Micro Devices, Inc. Incorporating barrier atoms into a gate dielectric using gas cluster ion beam implantation
US5990493A (en) * 1998-05-14 1999-11-23 Advanced Micro Devices, Inc. Diamond etch stop rendered conductive by a gas cluster ion beam implant of titanium
US6072222A (en) * 1998-05-18 2000-06-06 Advanced Micro Devices, Inc. Silicon implantation into selective areas of a refractory metal to reduce consumption of silicon-based junctions during salicide formation
US5907780A (en) * 1998-06-17 1999-05-25 Advanced Micro Devices, Inc. Incorporating silicon atoms into a metal oxide gate dielectric using gas cluster ion beam implantation
US6274442B1 (en) 1998-07-15 2001-08-14 Advanced Micro Devices, Inc. Transistor having a nitrogen incorporated epitaxially grown gate dielectric and method of making same
US6194768B1 (en) 1998-10-23 2001-02-27 Advanced Micro Devices, Inc. High dielectric constant gate dielectric with an overlying tantalum gate conductor formed on a sidewall surface of a sacrificial structure
US6225210B1 (en) * 1998-12-09 2001-05-01 Advanced Micro Devices, Inc. High density capping layers with improved adhesion to copper interconnects
US6159786A (en) * 1998-12-14 2000-12-12 Taiwan Semiconductor Manufacturing Company Well-controlled CMP process for DRAM technology
JP3259704B2 (ja) * 1998-12-30 2002-02-25 日本電気株式会社 半導体装置の製造方法
US6468135B1 (en) 1999-04-30 2002-10-22 International Business Machines Corporation Method and apparatus for multiphase chemical mechanical polishing
US6677637B2 (en) * 1999-06-11 2004-01-13 International Business Machines Corporation Intralevel decoupling capacitor, method of manufacture and testing circuit of the same
TW418489B (en) * 1999-08-13 2001-01-11 Taiwan Semiconductor Mfg Manufacturing method of shallow trench isolation
JP2001085516A (ja) 1999-09-10 2001-03-30 Oki Electric Ind Co Ltd 半導体装置の製造方法
US7201645B2 (en) * 1999-11-22 2007-04-10 Chien-Min Sung Contoured CMP pad dresser and associated methods
US6376379B1 (en) * 2000-02-01 2002-04-23 Chartered Semiconductor Manufacturing Ltd. Method of hard mask patterning
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6348395B1 (en) 2000-06-07 2002-02-19 International Business Machines Corporation Diamond as a polish-stop layer for chemical-mechanical planarization in a damascene process flow
US6776917B2 (en) * 2001-01-03 2004-08-17 International Business Machines Corporation Chemical mechanical polishing thickness control in magnetic head fabrication
US6664026B2 (en) 2001-03-22 2003-12-16 International Business Machines Corporation Method of manufacturing high aspect ratio photolithographic features
US6887131B2 (en) * 2002-08-27 2005-05-03 Intel Corporation Polishing pad design
US6541397B1 (en) * 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
DE10222964B4 (de) * 2002-04-15 2004-07-08 Schott Glas Verfahren zur Gehäusebildung bei elektronischen Bauteilen sowie so hermetisch verkapselte elektronische Bauteile
DE10328842B4 (de) * 2003-06-26 2007-03-01 Siltronic Ag Suszeptor für eine chemische Gasphasenabscheidung, Verfahren zur Bearbeitung einer Halbleiterscheibe durch chemische Gasphasenabscheidung und nach dem Verfahren bearbeitete Halbleiterscheibe
US7508624B1 (en) 2003-08-01 2009-03-24 Lauer Mark A Transducers for perpendicular recording with write pole tip angled toward media
US7039300B2 (en) * 2003-12-19 2006-05-02 Carrier Corporation Identification of electric heater capacity
US7563381B2 (en) * 2004-04-30 2009-07-21 Hitachi Global Storage Technologies Netherlands B.V. High milling resistance write pole fabrication method for perpendicular recording
US7246424B2 (en) * 2004-04-13 2007-07-24 Seagate Technology Llc Magnetic devices having magnetic features with CMP stop layers
US7186348B2 (en) * 2004-06-30 2007-03-06 Hitachi Global Storage Technologies Netherlands B.V. Method for fabricating a pole tip in a magnetic transducer
US7089925B1 (en) 2004-08-18 2006-08-15 Kinik Company Reciprocating wire saw for cutting hard materials
US7186574B2 (en) * 2004-09-30 2007-03-06 Hitachi Global Storage Technologies Netherlands B.V. CMP process metrology test structures
US7081041B1 (en) 2005-02-28 2006-07-25 Hitachi Global Storage Technologies Netherlands B.V. Manufacturing method for forming a write head top pole using chemical mechanical polishing with a DLC stop layer
US8678878B2 (en) 2009-09-29 2014-03-25 Chien-Min Sung System for evaluating and/or improving performance of a CMP pad dresser
US9138862B2 (en) 2011-05-23 2015-09-22 Chien-Min Sung CMP pad dresser having leveled tips and associated methods
US8398466B2 (en) 2006-11-16 2013-03-19 Chien-Min Sung CMP pad conditioners with mosaic abrasive segments and associated methods
US8393934B2 (en) 2006-11-16 2013-03-12 Chien-Min Sung CMP pad dressers with hybridized abrasive surface and related methods
US8622787B2 (en) 2006-11-16 2014-01-07 Chien-Min Sung CMP pad dressers with hybridized abrasive surface and related methods
US9724802B2 (en) 2005-05-16 2017-08-08 Chien-Min Sung CMP pad dressers having leveled tips and associated methods
JP2008004161A (ja) * 2006-06-22 2008-01-10 Fujitsu Ltd 金属パターンの製造方法
CN101244533B (zh) * 2007-02-16 2010-09-15 香港应用科技研究院有限公司 超平坦化学机械抛光技术之方法及使用该方法制造的半导体组件
US20080254233A1 (en) * 2007-04-10 2008-10-16 Kwangduk Douglas Lee Plasma-induced charge damage control for plasma enhanced chemical vapor deposition processes
JP4476313B2 (ja) * 2007-07-25 2010-06-09 東京エレクトロン株式会社 成膜方法、成膜装置、および記憶媒体
US7846767B1 (en) 2007-09-06 2010-12-07 Chien-Min Sung Semiconductor-on-diamond devices and associated methods
TWI388402B (en) 2007-12-06 2013-03-11 Methods for orienting superabrasive particles on a surface and associated tools
US8252263B2 (en) * 2008-04-14 2012-08-28 Chien-Min Sung Device and method for growing diamond in a liquid phase
JP5220049B2 (ja) * 2010-03-09 2013-06-26 三菱電機株式会社 炭化珪素半導体装置の製造方法
TWI464839B (zh) 2010-09-21 2014-12-11 Ritedia Corp 單層鑽石顆粒散熱器及其相關方法
US9018100B2 (en) 2010-11-10 2015-04-28 Western Digital (Fremont), Llc Damascene process using PVD sputter carbon film as CMP stop layer for forming a magnetic recording head
CN102543670A (zh) * 2010-12-13 2012-07-04 中国科学院微电子研究所 金属前介质层的平坦化方法
TWI487019B (en) 2011-05-23 2015-06-01 Cmp pad dresser having leveled tips and associated methods
US9259818B2 (en) * 2012-11-06 2016-02-16 Sinmat, Inc. Smooth diamond surfaces and CMP method for forming
CN103909464B (zh) * 2013-01-09 2017-10-31 华邦电子股份有限公司 化学机械研磨方法与自我对准方法
CN113873738B (zh) * 2021-09-26 2024-01-12 中国工程物理研究院激光聚变研究中心 一种自支撑碳基电容器靶及其制备方法

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0665210B2 (ja) * 1985-04-17 1994-08-22 日本電気株式会社 基板の製造方法
US4944836A (en) * 1985-10-28 1990-07-31 International Business Machines Corporation Chem-mech polishing method for producing coplanar metal/insulator films on a substrate
US4671851A (en) * 1985-10-28 1987-06-09 International Business Machines Corporation Method for removing protuberances at the surface of a semiconductor wafer using a chem-mech polishing technique
JP2541214B2 (ja) * 1987-04-02 1996-10-09 ソニー株式会社 半導体装置の製造方法
EP0424608B1 (de) * 1989-10-25 1993-12-01 International Business Machines Corporation Verfahren zur Herstellung breiter mit Dielektrikum gefüllter Isolationsgraben für Halbleiteranordnungen
US5094972A (en) * 1990-06-14 1992-03-10 National Semiconductor Corp. Means of planarizing integrated circuits with fully recessed isolation dielectric

Also Published As

Publication number Publication date
EP0540444A1 (de) 1993-05-05
JPH081899B2 (ja) 1996-01-10
JPH05218000A (ja) 1993-08-27
EP0540444B1 (de) 1996-09-25
DE69214087T2 (de) 1997-04-03
US5246884A (en) 1993-09-21

Similar Documents

Publication Publication Date Title
DE69214087D1 (de) Diamant- oder diamantähnliche Ätzstopschicht für chemisch-mechanisches Polieren
DE68921570D1 (de) Feine Polierzusammensetzung für Plaketten.
DE69823100D1 (de) Abrichtgerät für chemisch-mechanisches Polierkissen
DE3862326D1 (de) Duennschicht-einkristall-diamantsubstrat.
DE3883403T2 (de) Biegsame Schleifmittel.
DE68914737D1 (de) Konvexes Diamantschneidelement.
DE68912261D1 (de) Poliervorrichtung.
DE69015256D1 (de) Diamant enthaltendes zementiertes Metallkarbid.
DE69013709T2 (de) Ablagerung von Diamantenschichten.
DE69719214T2 (de) Diamantfilmdepositionsmethode
DE3884778D1 (de) Feine Polierzusammensetzung für Plaketten.
DE69200501D1 (de) Herstellungsverfahren von Diamantschleifkörnern.
DE3875557D1 (de) Schleifmittel.
AU1737495A (en) Polishing diamond surface
DE69013852T2 (de) Mit diamant beschichtetes element.
DE69016240T3 (de) Diamant für Abrichtungsvorrichtung
DE69201350D1 (de) Oberflächen-Schleifvorrichtung.
DE69101756T2 (de) Verfahren zur Diamantenherstellung.
DE69029228D1 (de) Oberflächenbehandlungsmittel für Präzisionsoberflächenbehandlung
IT1229703B (it) Utensile per levigatura o simili.
GB2255923B (en) Scaife for diamond cutting or polishing
DE9304806U1 (de) Halterung für Schleif- und Poliergeräte
ES272813Y (es) Muela abrasiva de diamante.
KR960028445U (ko) 보석 광택연마용 부러쉬 맨드릴
ES1004388Y (es) Dispositivo limpiador para cristal o superficie transparente de un vehiculo.

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee