DE69304503T2 - Diamantähnliche Kohlenstoffilme hergestellt aus einem Kohlenwasserstoff-Helium-Plasma - Google Patents

Diamantähnliche Kohlenstoffilme hergestellt aus einem Kohlenwasserstoff-Helium-Plasma

Info

Publication number
DE69304503T2
DE69304503T2 DE69304503T DE69304503T DE69304503T2 DE 69304503 T2 DE69304503 T2 DE 69304503T2 DE 69304503 T DE69304503 T DE 69304503T DE 69304503 T DE69304503 T DE 69304503T DE 69304503 T2 DE69304503 T2 DE 69304503T2
Authority
DE
Germany
Prior art keywords
diamond
hydrocarbon
films made
carbon films
helium plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE69304503T
Other languages
English (en)
Other versions
DE69304503D1 (de
Inventor
Frederic Denis Bailey
Douglas Andrew Buchanan
Alessandro Cesare Callegari
Howard Marc Clearfield
Fuad Elias Doany
Donis George Flagello
Harold John Hovel
Douglas Charles Latulipe
Naftali Eliahu Lustig
Andrew Thomas Stewart Pomerene
Sampath Purushothaman
Christopher Michae Scherpereel
David Earle Seeger
Jane Margaret Shaw
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of DE69304503D1 publication Critical patent/DE69304503D1/de
Application granted granted Critical
Publication of DE69304503T2 publication Critical patent/DE69304503T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • G02B1/105
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B1/00Optical elements characterised by the material of which they are made; Optical coatings for optical elements
    • G02B1/10Optical coatings produced by application to, or surface treatment of, optical elements
    • G02B1/11Anti-reflection coatings
    • G02B1/111Anti-reflection coatings using layers comprising organic materials
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B1/00Optical elements characterised by the material of which they are made; Optical coatings for optical elements
    • G02B1/10Optical coatings produced by application to, or surface treatment of, optical elements
    • G02B1/14Protective coatings, e.g. hard coatings
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11BINFORMATION STORAGE BASED ON RELATIVE MOVEMENT BETWEEN RECORD CARRIER AND TRANSDUCER
    • G11B5/00Recording by magnetisation or demagnetisation of a record carrier; Reproducing by magnetic means; Record carriers therefor
    • G11B5/127Structure or manufacture of heads, e.g. inductive
    • G11B5/187Structure or manufacture of the surface of the head in physical contact with, or immediately adjacent to the recording medium; Pole pieces; Gap features
    • G11B5/255Structure or manufacture of the surface of the head in physical contact with, or immediately adjacent to the recording medium; Pole pieces; Gap features comprising means for protection against wear
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S427/00Coating processes
    • Y10S427/103Diamond-like carbon coating, i.e. DLC
    • Y10S427/104Utilizing low energy electromagnetic radiation, e.g. microwave, radio wave, IR, UV, visible, actinic laser
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S427/00Coating processes
    • Y10S427/103Diamond-like carbon coating, i.e. DLC
    • Y10S427/106Utilizing plasma, e.g. corona, glow discharge, cold plasma
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/30Self-sustaining carbon mass or layer with impregnant or other layer

Landscapes

  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Inorganic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Vapour Deposition (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Coating Of Shaped Articles Made Of Macromolecular Substances (AREA)
  • Carbon And Carbon Compounds (AREA)
  • Formation Of Insulating Films (AREA)
  • Solid-Phase Diffusion Into Metallic Material Surfaces (AREA)
DE69304503T 1993-01-07 1993-12-13 Diamantähnliche Kohlenstoffilme hergestellt aus einem Kohlenwasserstoff-Helium-Plasma Expired - Fee Related DE69304503T2 (de)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US08/001,374 US5470661A (en) 1993-01-07 1993-01-07 Diamond-like carbon films from a hydrocarbon helium plasma

Publications (2)

Publication Number Publication Date
DE69304503D1 DE69304503D1 (de) 1996-10-10
DE69304503T2 true DE69304503T2 (de) 1997-03-20

Family

ID=21695724

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69304503T Expired - Fee Related DE69304503T2 (de) 1993-01-07 1993-12-13 Diamantähnliche Kohlenstoffilme hergestellt aus einem Kohlenwasserstoff-Helium-Plasma

Country Status (4)

Country Link
US (2) US5470661A (de)
EP (1) EP0605814B1 (de)
JP (1) JP2553310B2 (de)
DE (1) DE69304503T2 (de)

Families Citing this family (199)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2788412B2 (ja) * 1994-08-11 1998-08-20 麒麟麦酒株式会社 炭素膜コーティングプラスチック容器の製造装置および製造方法
IL112826A (en) * 1995-02-28 1998-09-24 Chip Express Israel Ltd Method for settling a deposited plasma polymer layer
US6255718B1 (en) 1995-02-28 2001-07-03 Chip Express Corporation Laser ablateable material
DE69635397T2 (de) * 1995-03-24 2006-05-24 Shinko Electric Industries Co., Ltd. Halbleitervorrichtung mit Chipabmessungen und Herstellungsverfahren
EP0743375B1 (de) * 1995-03-31 2000-07-12 CeramOptec GmbH Verfahren zur Herstellung diamantartiger Beschichtungen
JP3176558B2 (ja) * 1996-02-09 2001-06-18 麒麟麦酒株式会社 コーティングフィルムおよびその製造方法
US5840427A (en) * 1996-05-21 1998-11-24 Teledyne Industries Incorporated Method for making corrosion resistant electrical components
US5858477A (en) 1996-12-10 1999-01-12 Akashic Memories Corporation Method for producing recording media having protective overcoats of highly tetrahedral amorphous carbon
EP0848083A3 (de) * 1996-12-12 2001-03-07 Eastman Kodak Company Anorganische und diamantartiger Kohlenstoff-Schutzschichten und Verfahren zu deren Herstellung bei Raumtemperatur
US5879775A (en) * 1996-12-12 1999-03-09 Eastman Kodak Compnay Protective inorganic and DLC coatings for plastic media such as plastic cards
DE19651953A1 (de) * 1996-12-13 1998-07-02 Bayer Bitterfeld Gmbh Vorrichtung zum Verpressen von fließfähigen Feststoffen oder halbfesten Stoffen
US6428894B1 (en) * 1997-06-04 2002-08-06 International Business Machines Corporation Tunable and removable plasma deposited antireflective coatings
US6077572A (en) * 1997-06-18 2000-06-20 Northeastern University Method of coating edges with diamond-like carbon
US6030904A (en) * 1997-08-21 2000-02-29 International Business Machines Corporation Stabilization of low-k carbon-based dielectrics
US5981000A (en) * 1997-10-14 1999-11-09 International Business Machines Corporation Method for fabricating a thermally stable diamond-like carbon film
US6103305A (en) * 1997-11-26 2000-08-15 Sandia Corporation Method of forming a stress relieved amorphous tetrahedrally-coordinated carbon film
US6726993B2 (en) * 1997-12-02 2004-04-27 Teer Coatings Limited Carbon coatings, method and apparatus for applying them, and articles bearing such coatings
JP3189781B2 (ja) * 1998-04-08 2001-07-16 日本電気株式会社 半導体装置の製造方法
US6448655B1 (en) 1998-04-28 2002-09-10 International Business Machines Corporation Stabilization of fluorine-containing low-k dielectrics in a metal/insulator wiring structure by ultraviolet irradiation
WO2000007072A1 (fr) * 1998-07-31 2000-02-10 Hoya Corporation Ebauche pour photomasque, photomasque, ses procedes de fabrication et procede de formage de micromodeles
US7378146B1 (en) * 1998-08-05 2008-05-27 International Business Machines Corporation Transparent hard coats for optical elements
US6265779B1 (en) 1998-08-11 2001-07-24 International Business Machines Corporation Method and material for integration of fuorine-containing low-k dielectrics
JP2000164565A (ja) * 1998-11-26 2000-06-16 Sony Corp 半導体製造装置
CH697036A5 (de) * 1998-12-02 2008-03-31 Sulzer Metco Ag Verfahren zur Plasma-Oberflächenbehandlung von Substraten sowie Einrichtung zur Durchführung des Verfahrens.
US6964731B1 (en) 1998-12-21 2005-11-15 Cardinal Cg Company Soil-resistant coating for glass surfaces
US6974629B1 (en) 1999-08-06 2005-12-13 Cardinal Cg Company Low-emissivity, soil-resistant coating for glass surfaces
US6660365B1 (en) 1998-12-21 2003-12-09 Cardinal Cg Company Soil-resistant coating for glass surfaces
US6528865B1 (en) 1999-01-22 2003-03-04 Intel Corporation Thin amorphous fluorocarbon films
KR100307629B1 (ko) 1999-04-30 2001-09-26 윤종용 하이드로 카본계의 가스를 이용한 반사방지막의 형성 및 적용방법
US6461731B1 (en) 1999-05-03 2002-10-08 Guardian Industries Corp. Solar management coating system including protective DLC
US6277480B1 (en) * 1999-05-03 2001-08-21 Guardian Industries Corporation Coated article including a DLC inclusive layer(s) and a layer(s) deposited using siloxane gas, and corresponding method
US6273488B1 (en) 1999-05-03 2001-08-14 Guardian Industries Corporation System and method for removing liquid from rear window of a vehicle
US6280834B1 (en) 1999-05-03 2001-08-28 Guardian Industries Corporation Hydrophobic coating including DLC and/or FAS on substrate
US6312808B1 (en) 1999-05-03 2001-11-06 Guardian Industries Corporation Hydrophobic coating with DLC & FAS on substrate
US6338901B1 (en) 1999-05-03 2002-01-15 Guardian Industries Corporation Hydrophobic coating including DLC on substrate
US6447891B1 (en) 1999-05-03 2002-09-10 Guardian Industries Corp. Low-E coating system including protective DLC
US6261693B1 (en) 1999-05-03 2001-07-17 Guardian Industries Corporation Highly tetrahedral amorphous carbon coating on glass
US6335086B1 (en) 1999-05-03 2002-01-01 Guardian Industries Corporation Hydrophobic coating including DLC on substrate
US6423384B1 (en) 1999-06-25 2002-07-23 Applied Materials, Inc. HDP-CVD deposition of low dielectric constant amorphous carbon film
KR100304708B1 (ko) * 1999-07-14 2001-11-01 윤종용 이중층 반사방지막을 갖는 반도체소자 및 그 제조방법
US6579833B1 (en) 1999-09-01 2003-06-17 The Board Of Trustees Of The University Of Illinois Process for converting a metal carbide to carbon by etching in halogens
US20040028906A1 (en) * 2000-01-04 2004-02-12 Anderson Jerrel Charles Diamond-like carbon coating on glass and plastic for added hardness and abrasion resistance
JP5121090B2 (ja) * 2000-02-17 2013-01-16 アプライド マテリアルズ インコーポレイテッド アモルファスカーボン層の堆積方法
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
FR2809190B1 (fr) * 2000-05-22 2002-08-09 Centre Nat Rech Scient Procede de fabrication d'un modulateur de transmission pour microlithographie en ultraviolet profond et modulateur obtenu par ce procede
US6713179B2 (en) 2000-05-24 2004-03-30 Guardian Industries Corp. Hydrophilic DLC on substrate with UV exposure
FR2812665B1 (fr) * 2000-08-01 2003-08-08 Sidel Sa Procede de depot de revetement par plasma, dispositif de mise en oeuvre du procede et revetement obtenu par un tel procede
US6346183B1 (en) 2000-08-03 2002-02-12 International Business Machines Corporation Use of thin carbon films as a bottom anti-reflective coating in manufacturing magnetic heads
US6524755B2 (en) 2000-09-07 2003-02-25 Gray Scale Technologies, Inc. Phase-shift masks and methods of fabrication
US6368924B1 (en) * 2000-10-31 2002-04-09 Motorola, Inc. Amorphous carbon layer for improved adhesion of photoresist and method of fabrication
US6822391B2 (en) * 2001-02-21 2004-11-23 Semiconductor Energy Laboratory Co., Ltd. Light emitting device, electronic equipment, and method of manufacturing thereof
US6610360B2 (en) * 2001-11-28 2003-08-26 Guardian Industries Corp. Buffing diamond-like carbon (DLC) to improve scratch resistance
EP1321545A1 (de) * 2001-12-20 2003-06-25 Max-Planck-Gesellschaft zur Förderung der Wissenschaften e.V. Verfahren zur Herstellung von Teilchen mit Diamantstruktur
US20030155065A1 (en) 2002-02-13 2003-08-21 Thomsen Scott V. Method of making window unit
US6827977B2 (en) * 2002-03-07 2004-12-07 Guardian Industries Corp. Method of making window unit including diamond-like carbon (DLC) coating
US6783253B2 (en) 2002-03-21 2004-08-31 Guardian Industries Corp. First surface mirror with DLC coating
US6541397B1 (en) 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US6919536B2 (en) 2002-04-05 2005-07-19 Guardian Industries Corp. Vehicle window with ice removal structure thereon
US7683326B2 (en) * 2002-07-09 2010-03-23 Gentex Corporation Vehicle vision system with high dynamic range
US6903023B2 (en) * 2002-09-16 2005-06-07 International Business Machines Corporation In-situ plasma etch for TERA hard mask materials
US7224532B2 (en) * 2002-12-06 2007-05-29 Chevron U.S.A. Inc. Optical uses diamondoid-containing materials
US8555921B2 (en) 2002-12-18 2013-10-15 Vapor Technologies Inc. Faucet component with coating
US7866343B2 (en) 2002-12-18 2011-01-11 Masco Corporation Of Indiana Faucet
US7866342B2 (en) 2002-12-18 2011-01-11 Vapor Technologies, Inc. Valve component for faucet
US8220489B2 (en) 2002-12-18 2012-07-17 Vapor Technologies Inc. Faucet with wear-resistant valve component
US20040157430A1 (en) * 2003-02-07 2004-08-12 Asml Netherlands B.V. Methods and apparatus for processing semiconductor wafers with plasma processing chambers in a wafer track environment
US7052585B2 (en) * 2003-03-11 2006-05-30 Guardian Industries Corp. Coated article including titanium oxycarbide and method of making same
US7306778B2 (en) * 2003-06-19 2007-12-11 Nanotech Llc Diamond films and methods of making diamond films
DE10329535B4 (de) * 2003-06-30 2007-02-22 Sls Micro Technology Gmbh Miniaturisierte Anreicherungsvorrichtung
US7060322B2 (en) * 2003-09-02 2006-06-13 Guardian Industries Corp. Method of making heat treatable coated article with diamond-like carbon (DLC) coating
US7132201B2 (en) * 2003-09-12 2006-11-07 Micron Technology, Inc. Transparent amorphous carbon structure in semiconductor devices
US7129180B2 (en) * 2003-09-12 2006-10-31 Micron Technology, Inc. Masking structure having multiple layers including an amorphous carbon layer
WO2005036627A1 (en) * 2003-10-03 2005-04-21 Applied Materials, Inc. Absorber layer for dynamic surface annealing processing
US7109087B2 (en) * 2003-10-03 2006-09-19 Applied Materials, Inc. Absorber layer for DSA processing
DE102004002908B4 (de) * 2004-01-20 2008-01-24 Infineon Technologies Ag Verfahren zum Herstellen eines Halbleiterbauelements oder einer mikromechanischen Struktur
US7464581B2 (en) * 2004-03-29 2008-12-16 Tokyo Electron Limited Vacuum apparatus including a particle monitoring unit, particle monitoring method and program, and window member for use in the particle monitoring
US7713632B2 (en) 2004-07-12 2010-05-11 Cardinal Cg Company Low-maintenance coatings
US7470633B2 (en) * 2004-08-09 2008-12-30 Asm Japan K.K. Method of forming a carbon polymer film using plasma CVD
US7504344B2 (en) * 2004-08-09 2009-03-17 Asm Japan K.K. Method of forming a carbon polymer film using plasma CVD
US7151040B2 (en) * 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7910288B2 (en) 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US7655387B2 (en) * 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US8092660B2 (en) 2004-12-03 2012-01-10 Cardinal Cg Company Methods and equipment for depositing hydrophilic coatings, and deposition technologies for thin films
US7923114B2 (en) 2004-12-03 2011-04-12 Cardinal Cg Company Hydrophilic coatings, methods for depositing hydrophilic coatings, and improved deposition technology for thin films
US7667277B2 (en) * 2005-01-13 2010-02-23 International Business Machines Corporation TiC as a thermally stable p-metal carbide on high k SiO2 gate stacks
US7253118B2 (en) 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7390746B2 (en) 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7611944B2 (en) 2005-03-28 2009-11-03 Micron Technology, Inc. Integrated circuit fabrication
US7429536B2 (en) 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
JP2006332357A (ja) * 2005-05-26 2006-12-07 Denso Corp 炭化珪素半導体素子の製造方法
US7560390B2 (en) 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7396781B2 (en) 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
JP4853857B2 (ja) 2005-06-15 2012-01-11 東京エレクトロン株式会社 基板の処理方法,コンピュータ読み取り可能な記録媒体及び基板処理装置
US8193642B2 (en) * 2005-06-20 2012-06-05 Tohoku University Interlayer insulating film, interconnection structure, and methods of manufacturing the same
US7413981B2 (en) 2005-07-29 2008-08-19 Micron Technology, Inc. Pitch doubled circuit layout
US20070026205A1 (en) 2005-08-01 2007-02-01 Vapor Technologies Inc. Article having patterned decorative coating
US8123968B2 (en) 2005-08-25 2012-02-28 Round Rock Research, Llc Multiple deposition for integration of spacers in pitch multiplication process
US7816262B2 (en) 2005-08-30 2010-10-19 Micron Technology, Inc. Method and algorithm for random half pitched interconnect layout with constant spacing
US7829262B2 (en) 2005-08-31 2010-11-09 Micron Technology, Inc. Method of forming pitch multipled contacts
US7393789B2 (en) 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US7759197B2 (en) 2005-09-01 2010-07-20 Micron Technology, Inc. Method of forming isolated features using pitch multiplication
US7572572B2 (en) 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7776744B2 (en) 2005-09-01 2010-08-17 Micron Technology, Inc. Pitch multiplication spacers and methods of forming the same
WO2007044514A2 (en) * 2005-10-07 2007-04-19 Lee, Michael, J. Method for improving refractive index control in pecvd deposited a-siny films
US8664124B2 (en) 2005-10-31 2014-03-04 Novellus Systems, Inc. Method for etching organic hardmasks
US8110493B1 (en) 2005-12-23 2012-02-07 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US7842558B2 (en) 2006-03-02 2010-11-30 Micron Technology, Inc. Masking process for simultaneously patterning separate regions
US7476933B2 (en) 2006-03-02 2009-01-13 Micron Technology, Inc. Vertical gated access transistor
US7410915B2 (en) * 2006-03-23 2008-08-12 Asm Japan K.K. Method of forming carbon polymer film using plasma CVD
US7902074B2 (en) 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
US7989094B2 (en) 2006-04-19 2011-08-02 Cardinal Cg Company Opposed functional coatings having comparable single surface reflectances
US8003310B2 (en) 2006-04-24 2011-08-23 Micron Technology, Inc. Masking techniques and templates for dense semiconductor fabrication
US7488685B2 (en) 2006-04-25 2009-02-10 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
US7846492B2 (en) 2006-04-27 2010-12-07 Guardian Industries Corp. Photocatalytic window and method of making same
US7892662B2 (en) 2006-04-27 2011-02-22 Guardian Industries Corp. Window with anti-bacterial and/or anti-fungal feature and method of making same
JP5176337B2 (ja) * 2006-05-12 2013-04-03 株式会社デンソー 皮膜構造及びその形成方法
US20070269646A1 (en) * 2006-05-18 2007-11-22 Haverty Michael G Bond termination of pores in a porous diamond dielectric material
US7795149B2 (en) 2006-06-01 2010-09-14 Micron Technology, Inc. Masking techniques and contact imprint reticles for dense semiconductor fabrication
US7723009B2 (en) 2006-06-02 2010-05-25 Micron Technology, Inc. Topography based patterning
US7981810B1 (en) 2006-06-08 2011-07-19 Novellus Systems, Inc. Methods of depositing highly selective transparent ashable hardmask films
US20080011599A1 (en) 2006-07-12 2008-01-17 Brabender Dennis M Sputtering apparatus including novel target mounting and/or control
US7611980B2 (en) 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
US7666578B2 (en) 2006-09-14 2010-02-23 Micron Technology, Inc. Efficient pitch multiplication process
KR101414420B1 (ko) * 2006-11-22 2014-07-01 엔테그리스, 아이엔씨. 기재 하우징의 다이아몬드형 탄소 코팅
JP5200371B2 (ja) * 2006-12-01 2013-06-05 東京エレクトロン株式会社 成膜方法、半導体装置及び記憶媒体
US20120015195A1 (en) 2007-01-29 2012-01-19 Guardian Industries Corp. and C.R.V.C. Method of making heat treated and ion-beam etched/milled coated article using diamond-like carbon (dlc) coating and protective film
US8132426B2 (en) 2007-01-29 2012-03-13 Guardian Industries Corp. Method of making heat treated coated article using diamond-like carbon (DLC) coating and protective film
US8071166B2 (en) * 2007-01-29 2011-12-06 Guardian Industries Corp. Method of making heat treated coated article using diamond-like carbon (DLC) coating and protective film
US7833574B2 (en) 2007-01-29 2010-11-16 Guardian Industries Corp. Method of making heat treated coated article using diamond-like carbon (DLC) coating and protective film
US20120015196A1 (en) 2007-01-29 2012-01-19 Guardian Industries Corp. Method of making heat treated coated article using diamond-like carbon (dlc) coating and protective film on acid-etched surface
US20120040160A1 (en) 2007-01-29 2012-02-16 Guardian Industries Corp. Method of making heat treated and ion-beam etched/milled coated article using diamond-like carbon (dlc) protective film
US7914857B2 (en) * 2007-01-29 2011-03-29 Guardian Industries Corp. Method of making heat treated coated article using diamond-like carbon (DLC) coating and protective film with oxygen content of protective film based on bending characteristics of coated article
US8003167B2 (en) * 2007-01-29 2011-08-23 Guardian Industries Corp. Method of making heat treated coated article using diamond-like carbon (DLC) coating and protective film
US7981777B1 (en) 2007-02-22 2011-07-19 Novellus Systems, Inc. Methods of depositing stable and hermetic ashable hardmask films
US7915166B1 (en) 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
JP2008215940A (ja) * 2007-03-01 2008-09-18 Canon Inc 異物検査装置及びこれを用いた異物検査方法
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US20090029067A1 (en) * 2007-06-28 2009-01-29 Sciamanna Steven F Method for producing amorphous carbon coatings on external surfaces using diamondoid precursors
US8105660B2 (en) * 2007-06-28 2012-01-31 Andrew W Tudhope Method for producing diamond-like carbon coatings using PECVD and diamondoid precursors on internal surfaces of a hollow component
US8563229B2 (en) 2007-07-31 2013-10-22 Micron Technology, Inc. Process of semiconductor fabrication with mask overlay on pitch multiplied features and associated structures
US8962101B2 (en) 2007-08-31 2015-02-24 Novellus Systems, Inc. Methods and apparatus for plasma-based deposition
US7638441B2 (en) * 2007-09-11 2009-12-29 Asm Japan K.K. Method of forming a carbon polymer film using plasma CVD
EP2066594B1 (de) 2007-09-14 2016-12-07 Cardinal CG Company Pflegeleichte beschichtungen und verfahren zur herstellung pflegeleichter beschichtungen
US20090090382A1 (en) * 2007-10-05 2009-04-09 Asm Japan K.K. Method of self-cleaning of carbon-based film
EP2052609A1 (de) 2007-10-24 2009-04-29 Bayer CropScience AG Herbizid-Kombination
US7737039B2 (en) 2007-11-01 2010-06-15 Micron Technology, Inc. Spacer process for on pitch contacts and related structures
US7659208B2 (en) 2007-12-06 2010-02-09 Micron Technology, Inc Method for forming high density patterns
US7790531B2 (en) 2007-12-18 2010-09-07 Micron Technology, Inc. Methods for isolating portions of a loop of pitch-multiplied material and related structures
US7993462B2 (en) 2008-03-19 2011-08-09 Asm Japan K.K. Substrate-supporting device having continuous concavity
US8030218B2 (en) 2008-03-21 2011-10-04 Micron Technology, Inc. Method for selectively modifying spacing between pitch multiplied structures
US20090246399A1 (en) * 2008-03-28 2009-10-01 Asm Japan K.K. Method for activating reactive oxygen species for cleaning carbon-based film deposition
WO2009126846A1 (en) * 2008-04-11 2009-10-15 Sandisk 3D, Llc Damascene integration methods for graphitic films in three-dimensional memories and memories formed therefrom
US7632549B2 (en) * 2008-05-05 2009-12-15 Asm Japan K.K. Method of forming a high transparent carbon film
US20090297731A1 (en) * 2008-05-30 2009-12-03 Asm Japan K.K. Apparatus and method for improving production throughput in cvd chamber
US7820556B2 (en) * 2008-06-04 2010-10-26 Novellus Systems, Inc. Method for purifying acetylene gas for use in semiconductor processes
US7906817B1 (en) 2008-06-06 2011-03-15 Novellus Systems, Inc. High compressive stress carbon liners for MOS devices
US7998881B1 (en) 2008-06-06 2011-08-16 Novellus Systems, Inc. Method for making high stress boron-doped carbon films
US8435608B1 (en) 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
US8076208B2 (en) 2008-07-03 2011-12-13 Micron Technology, Inc. Method for forming transistor with high breakdown voltage using pitch multiplication technique
WO2010009364A1 (en) * 2008-07-18 2010-01-21 Sandisk 3D, Llc Carbon-based resistivity-switching materials and methods of forming the same
US8187671B2 (en) * 2008-07-28 2012-05-29 Centre Luxembourgeois De Recherches Pour Le Verre Et La Ceramique S.A. (C.R.V.C.) Method of making heat treated coated article using diamond-like carbon (DLC) coating and protective film including removal of protective film via blasting
DE102008037621A1 (de) 2008-08-14 2010-02-18 Bayer Cropscience Ag Herbizid-Kombination mit Dimethoxytriazinyl-substituierten Difluormethansulfonylaniliden
US8101497B2 (en) 2008-09-11 2012-01-24 Micron Technology, Inc. Self-aligned trench formation
US8133555B2 (en) * 2008-10-14 2012-03-13 Asm Japan K.K. Method for forming metal film by ALD using beta-diketone metal complex
US20100104770A1 (en) * 2008-10-27 2010-04-29 Asm Japan K.K. Two-step formation of hydrocarbon-based polymer film
US8492282B2 (en) 2008-11-24 2013-07-23 Micron Technology, Inc. Methods of forming a masking pattern for integrated circuits
CN101736313B (zh) * 2008-11-26 2011-07-06 北京有色金属研究总院 一种在锗基片上制备类金刚石膜的方法
US7955990B2 (en) * 2008-12-12 2011-06-07 Novellus Systems, Inc. Method for improved thickness repeatability of PECVD deposited carbon films
FR2943660B1 (fr) * 2009-03-25 2011-04-29 Commissariat Energie Atomique Procede d'elaboration de graphene
JP4990959B2 (ja) * 2009-12-14 2012-08-01 トーカロ株式会社 厚膜dlc被覆部材およびその製造方法
US8288292B2 (en) 2010-03-30 2012-10-16 Novellus Systems, Inc. Depositing conformal boron nitride film by CVD without plasma
US8563414B1 (en) 2010-04-23 2013-10-22 Novellus Systems, Inc. Methods for forming conductive carbon films by PECVD
US9783885B2 (en) 2010-08-11 2017-10-10 Unit Cell Diamond Llc Methods for producing diamond mass and apparatus therefor
US10258959B2 (en) 2010-08-11 2019-04-16 Unit Cell Diamond Llc Methods of producing heterodiamond and apparatus therefor
US9061917B2 (en) 2010-08-11 2015-06-23 Unit Cell Diamond Llc Combinatorial synthesis of the diamond unit cell
US8778295B2 (en) 2010-08-11 2014-07-15 Daniel Hodes Combinatorial synthesis of diamond
US20120145676A1 (en) * 2010-12-01 2012-06-14 University Of North Texas Metal Ablation in Supersonic Expansion Gas Coupled to an Ion Mass Filter
US9217195B2 (en) * 2011-04-20 2015-12-22 Ntn Corporation Amorphous carbon film and method for forming same
US9255029B2 (en) 2012-04-17 2016-02-09 Guardian Industries Corp. Method of making heat treated coated article using TCO and removable protective film
SG195494A1 (en) 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
US9038419B2 (en) 2012-06-08 2015-05-26 Centre Luxembourgeois De Recherches Pour Le Verre Et La Ceramique S.A. (C.R.V.C.) Method of making heat treated coated article using carbon based coating and protective film
US9514932B2 (en) 2012-08-08 2016-12-06 Applied Materials, Inc. Flowable carbon for semiconductor processing
US9434640B2 (en) 2012-12-04 2016-09-06 Guardian Industries Corp. Method of making heat treated coated article with carbon based coating and protective film
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9751800B2 (en) 2013-02-06 2017-09-05 Guardian Glass, LLC Heat treatable coated article with tungsten-doped zirconium based layer(s) in coating
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US9320387B2 (en) 2013-09-30 2016-04-26 Lam Research Corporation Sulfur doped carbon hard masks
US9589799B2 (en) 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power
WO2016068297A1 (ja) * 2014-10-30 2016-05-06 住友電気工業株式会社 レンズおよび光学部品
US10418243B2 (en) * 2015-10-09 2019-09-17 Applied Materials, Inc. Ultra-high modulus and etch selectivity boron-carbon hardmask films
US10611678B2 (en) 2016-11-04 2020-04-07 Guardian Glass, LLC Heat treatable coated article with carbon-doped zirconium based layer(s) in coating
EP3541762B1 (de) 2016-11-17 2022-03-02 Cardinal CG Company Statisch-dissipative beschichtungstechnologie
US20190127272A1 (en) 2017-10-26 2019-05-02 Guardian Glass, LLC Coated article including noble metal and polymeric hydrogenated diamond like carbon composite material having antibacterial and photocatalytic properties, and/or methods of making the same
US10611679B2 (en) 2017-10-26 2020-04-07 Guardian Glass, LLC Coated article including noble metal and polymeric hydrogenated diamond like carbon composite material having antibacterial and photocatalytic properties, and/or methods of making the same
US10705273B2 (en) * 2018-03-26 2020-07-07 Raytheon Company Multispectral interference coating with diamond-like carbon (DLC) film
WO2019199681A1 (en) * 2018-04-09 2019-10-17 Applied Materials, Inc. Carbon hard masks for patterning applications and methods related thereto
TW202113121A (zh) 2019-05-29 2021-04-01 美商蘭姆研究公司 藉由高功率脈衝低頻率射頻產生的高選擇性、低應力、且低氫之類鑽石碳硬遮罩
US20220076945A1 (en) * 2020-09-08 2022-03-10 Applied Materials, Inc. Amorphous carbon for gap fill

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4486286A (en) * 1982-09-28 1984-12-04 Nerken Research Corp. Method of depositing a carbon film on a substrate and products obtained thereby
US4698256A (en) * 1984-04-02 1987-10-06 American Cyanamid Company Articles coated with adherent diamondlike carbon films
DE3421739A1 (de) * 1984-06-12 1985-12-12 Battelle-Institut E.V., 6000 Frankfurt Verfahren zur herstellung von diamantartigen kohlenstoffschichten
US4783361A (en) * 1984-09-10 1988-11-08 Ovonic Synthetic Materials Company, Inc. Coated lenses
US4663183A (en) * 1984-09-10 1987-05-05 Energy Conversion Devices, Inc. Glow discharge method of applying a carbon coating onto a substrate
JPS61210518A (ja) * 1985-03-13 1986-09-18 Matsushita Electric Ind Co Ltd 磁気記録媒体の製造方法
US4603082A (en) * 1985-04-29 1986-07-29 Rca Corporation Diamond-like film
EP0221531A3 (de) * 1985-11-06 1992-02-19 Kanegafuchi Kagaku Kogyo Kabushiki Kaisha Isoliertes gut wärmeleitendes Substrat und sein Herstellungsverfahren
US4900628A (en) * 1986-07-23 1990-02-13 Sumitomo Electric Industries, Ltd. Gaseous phase synthesized diamond and method for synthesizing same
JPS63153275A (ja) * 1986-08-11 1988-06-25 Sumitomo Electric Ind Ltd ダイヤモンド被覆アルミナ
US4777090A (en) * 1986-11-03 1988-10-11 Ovonic Synthetic Materials Company Coated article and method of manufacturing the article
JPS62167885A (ja) * 1986-11-19 1987-07-24 Semiconductor Energy Lab Co Ltd 炭素被膜を有する複合体の作製方法
JPS63210099A (ja) * 1987-02-26 1988-08-31 Nissin Electric Co Ltd ダイヤモンド膜の作製方法
US4935303A (en) * 1987-10-15 1990-06-19 Canon Kabushiki Kaisha Novel diamond-like carbon film and process for the production thereof
JP2852380B2 (ja) * 1988-03-26 1999-02-03 株式会社半導体エネルギー研究所 炭素または炭素を主成分とする被膜を形成する方法
US4961958A (en) * 1989-06-30 1990-10-09 The Regents Of The Univ. Of Calif. Process for making diamond, and doped diamond films at low temperature
DE69005938T2 (de) * 1989-07-31 1994-05-19 Matsushita Electric Ind Co Ltd Vorrichtung zur Herstellung von einer dünnen diamantartigen Kohlenstoffschicht.
US5190807A (en) * 1990-10-18 1993-03-02 Diamonex, Incorporated Abrasion wear resistant polymeric substrate product
JPH059735A (ja) * 1991-07-09 1993-01-19 Kobe Steel Ltd ダイヤモンドの気相合成方法

Also Published As

Publication number Publication date
JP2553310B2 (ja) 1996-11-13
EP0605814B1 (de) 1996-09-04
EP0605814A1 (de) 1994-07-13
US5470661A (en) 1995-11-28
DE69304503D1 (de) 1996-10-10
JPH07242493A (ja) 1995-09-19
US5569501A (en) 1996-10-29

Similar Documents

Publication Publication Date Title
DE69304503D1 (de) Diamantähnliche Kohlenstoffilme hergestellt aus einem Kohlenwasserstoff-Helium-Plasma
GB9311427D0 (en) A multi-wall film
GB2279460B (en) Capacitive transducing with feedback
DE69421975T2 (de) Verbundfilm
DE69402573T2 (de) Tinte empfangende Schichten
DE59404693D1 (de) Mehrschichtiges Kunststoffrohr
DE59406363D1 (de) Mehrschichtiges Kunststoffrohr
DE69304066T2 (de) Elastische verbundfolie
BR9401656A (pt) Mola a gás
DE4496642T1 (de) Röhre aus thermoplastischem Verbundwerkstoff
BR9403273A (pt) Separador a ar
DE69421839D1 (de) Antidiabetikum
DE69429512T2 (de) Thermoresistente alpha-1-antitrypsinmütante
BR9402514A (pt) Cilindro a gás
IT1266695B1 (it) Estrusore a pistola
IL105667A0 (en) Thermoplastic pipe
DE9321389U1 (de) Schmutzsauger mit auswechselbarem Köcher
IT1271083B (it) Procedimento per la carbocementazione a gas
ITUD930115A0 (it) Estrusore a pistola
FI961652A (fi) Metanolin talteenotto
IT232019Y1 (it) Bugna a depressione a due meta'
DE69323481T2 (de) Gastrennung
AU642845B3 (en) A multiple copy form assembly
KR960705312A (ko) 탄소가 풍부한 코팅을 가진 기재(substrate having a carbon rich coating)
IT231220Y1 (it) Bossolo per cartucce per fucili

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee