DE69331061T2 - Fehlertolerantes hierarchisiertes Bussystem - Google Patents

Fehlertolerantes hierarchisiertes Bussystem

Info

Publication number
DE69331061T2
DE69331061T2 DE69331061T DE69331061T DE69331061T2 DE 69331061 T2 DE69331061 T2 DE 69331061T2 DE 69331061 T DE69331061 T DE 69331061T DE 69331061 T DE69331061 T DE 69331061T DE 69331061 T2 DE69331061 T2 DE 69331061T2
Authority
DE
Germany
Prior art keywords
edc
bytes
memory module
code
complete
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE69331061T
Other languages
English (en)
Other versions
DE69331061D1 (de
Inventor
Wingyu Leung
Fu-Chieh Hsu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Peraso Inc
Original Assignee
Monolithic System Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Monolithic System Technology Inc filed Critical Monolithic System Technology Inc
Publication of DE69331061D1 publication Critical patent/DE69331061D1/de
Application granted granted Critical
Publication of DE69331061T2 publication Critical patent/DE69331061T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L25/00Baseband systems
    • H04L25/02Details ; arrangements for supplying electrical power along data transmission lines
    • H04L25/0264Arrangements for coupling to transmission lines
    • H04L25/0272Arrangements for coupling to multiple lines, e.g. for differential transmission
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/07Responding to the occurrence of a fault, e.g. fault tolerance
    • G06F11/08Error detection or correction by redundancy in data representation, e.g. by using checking codes
    • G06F11/10Adding special bits or symbols to the coded information, e.g. parity check, casting out 9's or 11's
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/07Responding to the occurrence of a fault, e.g. fault tolerance
    • G06F11/08Error detection or correction by redundancy in data representation, e.g. by using checking codes
    • G06F11/10Adding special bits or symbols to the coded information, e.g. parity check, casting out 9's or 11's
    • G06F11/1008Adding special bits or symbols to the coded information, e.g. parity check, casting out 9's or 11's in individual solid state devices
    • G06F11/1012Adding special bits or symbols to the coded information, e.g. parity check, casting out 9's or 11's in individual solid state devices using codes or arrangements adapted for a specific type of error
    • G06F11/1032Simple parity
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/07Responding to the occurrence of a fault, e.g. fault tolerance
    • G06F11/16Error detection or correction of the data by redundancy in hardware
    • G06F11/20Error detection or correction of the data by redundancy in hardware using active fault-masking, e.g. by switching out faulty elements or by switching in spare elements
    • G06F11/2002Error detection or correction of the data by redundancy in hardware using active fault-masking, e.g. by switching out faulty elements or by switching in spare elements where interconnections or communication control functionality are redundant
    • G06F11/2007Error detection or correction of the data by redundancy in hardware using active fault-masking, e.g. by switching out faulty elements or by switching in spare elements where interconnections or communication control functionality are redundant using redundant communication media
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F12/00Accessing, addressing or allocating within memory systems or architectures
    • G06F12/02Addressing or allocation; Relocation
    • G06F12/06Addressing a physical block of locations, e.g. base addressing, module addressing, memory dedication
    • G06F12/0646Configuration or reconfiguration
    • G06F12/0653Configuration or reconfiguration with centralised address assignment
    • G06F12/0661Configuration or reconfiguration with centralised address assignment and decentralised selection
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/38Information transfer, e.g. on bus
    • G06F13/40Bus structure
    • G06F13/4063Device-to-bus coupling
    • G06F13/4068Electrical coupling
    • G06F13/4072Drivers or receivers
    • G06F13/4077Precharging or discharging
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/006Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation at wafer scale level, i.e. wafer scale integration [WSI]
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C29/08Functional testing, e.g. testing during refresh, power-on self testing [POST] or distributed testing
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C29/08Functional testing, e.g. testing during refresh, power-on self testing [POST] or distributed testing
    • G11C29/48Arrangements in static stores specially adapted for testing by means external to the store, e.g. using direct memory access [DMA] or using auxiliary access paths
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/70Masking faults in memories by using spares or by reconfiguring
    • G11C29/76Masking faults in memories by using spares or by reconfiguring using address translation or modifications
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/70Masking faults in memories by using spares or by reconfiguring
    • G11C29/78Masking faults in memories by using spares or by reconfiguring using programmable devices
    • G11C29/80Masking faults in memories by using spares or by reconfiguring using programmable devices with improved layout
    • G11C29/808Masking faults in memories by using spares or by reconfiguring using programmable devices with improved layout using a flexible replacement scheme
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/70Masking faults in memories by using spares or by reconfiguring
    • G11C29/78Masking faults in memories by using spares or by reconfiguring using programmable devices
    • G11C29/83Masking faults in memories by using spares or by reconfiguring using programmable devices with reduced power consumption
    • G11C29/832Masking faults in memories by using spares or by reconfiguring using programmable devices with reduced power consumption with disconnection of faulty elements
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/70Masking faults in memories by using spares or by reconfiguring
    • G11C29/88Masking faults in memories by using spares or by reconfiguring with partially good memories
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/22Connection or disconnection of sub-entities or redundant parts of a device in response to a measurement
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L25/00Baseband systems
    • H04L25/02Details ; arrangements for supplying electrical power along data transmission lines
    • H04L25/026Arrangements for coupling transmitters, receivers or transceivers to transmission lines; Line drivers
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L5/00Arrangements affording multiple use of the transmission path
    • H04L5/14Two-way operation using the same type of signal, i.e. duplex
    • H04L5/1461Suppression of signals in the return path, i.e. bidirectional control circuits
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/006Identification
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C2029/0401Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals in embedded memories
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C2029/0411Online error correction
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C29/08Functional testing, e.g. testing during refresh, power-on self testing [POST] or distributed testing
    • G11C29/12Built-in arrangements for testing, e.g. built-in self testing [BIST] or interconnection details
    • G11C2029/4402Internal storage of test result, quality data, chip identification, repair information
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/70Masking faults in memories by using spares or by reconfiguring
    • G11C29/78Masking faults in memories by using spares or by reconfiguring using programmable devices
    • G11C29/80Masking faults in memories by using spares or by reconfiguring using programmable devices with improved layout
    • G11C29/81Masking faults in memories by using spares or by reconfiguring using programmable devices with improved layout using a hierarchical redundancy scheme
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C5/00Details of stores covered by group G11C11/00
    • G11C5/02Disposition of storage elements, e.g. in the form of a matrix array
    • G11C5/04Supports for storage elements, e.g. memory modules; Mounting or fixing of storage elements on such supports
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/0203Particular design considerations for integrated circuits
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L25/00Baseband systems
    • H04L25/02Details ; arrangements for supplying electrical power along data transmission lines
    • H04L25/0264Arrangements for coupling to transmission lines
    • H04L25/028Arrangements specific to the transmitter end
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L25/00Baseband systems
    • H04L25/02Details ; arrangements for supplying electrical power along data transmission lines
    • H04L25/0264Arrangements for coupling to transmission lines
    • H04L25/028Arrangements specific to the transmitter end
    • H04L25/029Provision of high-impedance states
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L25/00Baseband systems
    • H04L25/02Details ; arrangements for supplying electrical power along data transmission lines
    • H04L25/0264Arrangements for coupling to transmission lines
    • H04L25/0292Arrangements specific to the receiver end
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S257/00Active solid-state devices, e.g. transistors, solid-state diodes
    • Y10S257/907Folded bit line dram configuration
DE69331061T 1992-08-10 1993-08-05 Fehlertolerantes hierarchisiertes Bussystem Expired - Lifetime DE69331061T2 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US92756492A 1992-08-10 1992-08-10
PCT/US1993/007262 WO1994003901A1 (en) 1992-08-10 1993-08-05 Fault-tolerant, high-speed bus system and bus interface for wafer-scale integration

Publications (2)

Publication Number Publication Date
DE69331061D1 DE69331061D1 (de) 2001-12-06
DE69331061T2 true DE69331061T2 (de) 2002-06-06

Family

ID=25454909

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69331061T Expired - Lifetime DE69331061T2 (de) 1992-08-10 1993-08-05 Fehlertolerantes hierarchisiertes Bussystem

Country Status (6)

Country Link
US (8) US5613077A (de)
EP (1) EP0654168B1 (de)
JP (1) JPH08500687A (de)
AU (1) AU4798793A (de)
DE (1) DE69331061T2 (de)
WO (1) WO1994003901A1 (de)

Families Citing this family (219)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5367208A (en) 1986-09-19 1994-11-22 Actel Corporation Reconfigurable programmable interconnect architecture
WO1994003901A1 (en) * 1992-08-10 1994-02-17 Monolithic System Technology, Inc. Fault-tolerant, high-speed bus system and bus interface for wafer-scale integration
US5655113A (en) 1994-07-05 1997-08-05 Monolithic System Technology, Inc. Resynchronization circuit for a memory system and method of operating same
SE517194C2 (sv) * 1994-12-29 2002-05-07 Ericsson Telefon Ab L M Magasinrelaterat bussarrangemang
US5954828A (en) * 1995-01-05 1999-09-21 Macronix International Co., Ltd. Non-volatile memory device for fault tolerant data
JPH0926466A (ja) * 1995-07-11 1997-01-28 Advantest Corp 半導体試験装置のパターン発生回路
KR0186166B1 (ko) * 1995-11-03 1999-04-15 구자홍 씨디-롬 드라이버의 에러 검출장치
KR100197407B1 (ko) * 1995-12-28 1999-06-15 유기범 전전자 교환기에 있어서 프로세서들간 통신버스구조
JPH09214456A (ja) * 1996-01-30 1997-08-15 Hitachi Ltd 多重変換装置の構成方法および多重変換装置
US6876624B1 (en) 1996-01-30 2005-04-05 Hitachi, Ltd. Multiplex conversion unit
US5944807A (en) * 1996-02-06 1999-08-31 Opti Inc. Compact ISA-bus interface
US5859961A (en) * 1996-05-31 1999-01-12 Townsend And Townsend And Crew Llp Renumbered array architecture for multi-array memories
US5799196A (en) * 1996-07-02 1998-08-25 Gateway 2000, Inc. Method and apparatus of providing power management using a self-powered universal serial bus (USB) device
US5867645A (en) * 1996-09-30 1999-02-02 Compaq Computer Corp. Extended-bus functionality in conjunction with non-extended-bus functionality in the same bus system
US5893089A (en) * 1996-11-15 1999-04-06 Lextron Systems, Inc. Memory with integrated search engine
US8225003B2 (en) 1996-11-29 2012-07-17 Ellis Iii Frampton E Computers and microchips with a portion protected by an internal hardware firewall
US6725250B1 (en) * 1996-11-29 2004-04-20 Ellis, Iii Frampton E. Global network computers
US6167428A (en) 1996-11-29 2000-12-26 Ellis; Frampton E. Personal computer microprocessor firewalls for internet distributed processing
US7926097B2 (en) 1996-11-29 2011-04-12 Ellis Iii Frampton E Computer or microchip protected from the internet by internal hardware
US7805756B2 (en) 1996-11-29 2010-09-28 Frampton E Ellis Microchips with inner firewalls, faraday cages, and/or photovoltaic cells
US7506020B2 (en) 1996-11-29 2009-03-17 Frampton E Ellis Global network computers
US20050180095A1 (en) 1996-11-29 2005-08-18 Ellis Frampton E. Global network computers
US5959466A (en) 1997-01-31 1999-09-28 Actel Corporation Field programmable gate array with mask programmed input and output buffers
US6150837A (en) 1997-02-28 2000-11-21 Actel Corporation Enhanced field programmable gate array
US5805606A (en) * 1997-03-13 1998-09-08 International Business Machines Corporation Cache module fault isolation techniques
US5805610A (en) * 1997-04-28 1998-09-08 Credence Systems Corporation Virtual channel data distribution system for integrated circuit tester
US5925145A (en) * 1997-04-28 1999-07-20 Credence Systems Corporation Integrated circuit tester with cached vector memories
FR2764095B1 (fr) * 1997-05-30 2001-10-12 Sgs Thomson Microelectronics Circuit de memoire avec redondance dynamique
JPH11259372A (ja) * 1998-03-09 1999-09-24 Fujitsu Ltd 二重化メモリ制御装置
CA2233789C (en) * 1998-04-01 2013-06-11 Ian Mes Semiconductor memory asynchronous pipeline
WO1999050852A1 (en) * 1998-04-01 1999-10-07 Mosaid Technologies Incorporated Semiconductor memory asynchronous pipeline
US6393020B1 (en) * 1998-05-29 2002-05-21 Honeywell International Inc. Gated multi-drop communication system
KR100308066B1 (ko) * 1998-06-29 2001-10-19 박종섭 데이터 버스 라인 제어회로
US6182178B1 (en) * 1998-06-30 2001-01-30 International Business Machines Corporation Method and system for supporting peripheral component interconnect (PCI) peer-to-peer access across a PCI host bridge supporting multiple PCI buses
JP3592547B2 (ja) * 1998-09-04 2004-11-24 株式会社ルネサステクノロジ 情報処理装置および信号転送方法
US6114840A (en) * 1998-09-17 2000-09-05 Integrated Device Technology, Inc. Signal transfer devices having self-timed booster circuits therein
US6324485B1 (en) 1999-01-26 2001-11-27 Newmillennia Solutions, Inc. Application specific automated test equipment system for testing integrated circuit devices in a native environment
DE19917589C1 (de) * 1999-04-19 2000-11-02 Siemens Ag Halbleiterspeicher vom wahlfreien Zugriffstyp
US6567950B1 (en) 1999-04-30 2003-05-20 International Business Machines Corporation Dynamically replacing a failed chip
DE69938098T2 (de) * 1999-05-05 2009-02-05 Freescale Semiconductors, Inc., Austin Verfahren und System zur Übertragung von Daten auf einem seriellen Bus
US6259309B1 (en) * 1999-05-05 2001-07-10 International Business Machines Corporation Method and apparatus for the replacement of non-operational metal lines in DRAMS
US6505149B1 (en) * 1999-08-02 2003-01-07 International Business Machines Corporation Method and system for verifying a source-synchronous communication interface of a device
US6646982B1 (en) * 2000-03-07 2003-11-11 Juniper Networks, Inc. Redundant source synchronous busses
US6535986B1 (en) * 2000-03-14 2003-03-18 International Business Machines Corporation Optimizing performance of a clocked system by adjusting clock control settings and clock frequency
US6813353B1 (en) 2000-04-12 2004-11-02 Adtran, Inc. Redundant operation of ring voltage generators utilizing voltage bus segmentation for fault isolation
DE10022479B4 (de) * 2000-05-09 2004-04-08 Infineon Technologies Ag Anordnung zur Übertragung von Signalen zwischen einer Datenverarbeitungseinrichtung und einer Funktionseinheit in einem Hauptspeichersystem eines Computersystems
US7389374B1 (en) 2000-05-17 2008-06-17 Marvell International Ltd. High latency interface between hardware components
US6871251B1 (en) * 2000-05-17 2005-03-22 Marvell International Ltd. High latency interface between hardware components
DE10030158A1 (de) * 2000-06-20 2002-01-03 Bayerische Motoren Werke Ag Steuergerät mit einem Hauptmikroprozessor und mit einer Prozessorschnittstelle zu einer Bus-Sende-Empfangseinheit
JP2002007201A (ja) * 2000-06-21 2002-01-11 Nec Corp メモリシステム、メモリインターフェース及びメモリチップ
JP2002014914A (ja) * 2000-06-29 2002-01-18 Toshiba Corp 機能ブロック
EP1307884A2 (de) * 2000-07-07 2003-05-07 Mosaid Technologies Incorporated Hochgeschwindigkeitsarchitektur einer dynamischen halbleiterspeicheranordnung mit wahlfreiem zugriff (dram) mit gleichförmiger zugriffslatenzzeit
US6603323B1 (en) * 2000-07-10 2003-08-05 Formfactor, Inc. Closed-grid bus architecture for wafer interconnect structure
US6636924B1 (en) * 2000-08-17 2003-10-21 Koninklijke Philips Electronics N.V. Multiple port I2C hub
US7281065B1 (en) 2000-08-17 2007-10-09 Marvell International Ltd. Long latency interface protocol
US7050197B1 (en) * 2000-09-14 2006-05-23 Eastman Kodak Company Image processor for high-speed printing applications
JP2002117000A (ja) * 2000-10-05 2002-04-19 Hitachi Ltd メモリシステムおよび接続部材
US6633948B1 (en) * 2000-10-20 2003-10-14 Sun Microsystems, Inc. Stackable dual mode (registered/unbuffered) memory interface cost reduction
US8103496B1 (en) 2000-10-26 2012-01-24 Cypress Semicondutor Corporation Breakpoint control in an in-circuit emulation system
US8160864B1 (en) 2000-10-26 2012-04-17 Cypress Semiconductor Corporation In-circuit emulator and pod synchronized boot
US8176296B2 (en) 2000-10-26 2012-05-08 Cypress Semiconductor Corporation Programmable microcontroller architecture
US8149048B1 (en) 2000-10-26 2012-04-03 Cypress Semiconductor Corporation Apparatus and method for programmable power management in a programmable analog circuit block
US6724220B1 (en) 2000-10-26 2004-04-20 Cyress Semiconductor Corporation Programmable microcontroller architecture (mixed analog/digital)
US6622208B2 (en) * 2001-03-30 2003-09-16 Cirrus Logic, Inc. System and methods using a system-on-a-chip with soft cache
US7215360B2 (en) * 2001-04-06 2007-05-08 Triveni Digital, Inc. Error propagation tree technology
US6859853B2 (en) * 2001-05-01 2005-02-22 Sun Microsystems, Inc. Method and apparatus for driving signals on a bus
US6779131B2 (en) * 2001-05-01 2004-08-17 Sun Microsystems, Inc. Reconfigurable multi-chip modules
US7406674B1 (en) 2001-10-24 2008-07-29 Cypress Semiconductor Corporation Method and apparatus for generating microcontroller configuration information
US8078970B1 (en) 2001-11-09 2011-12-13 Cypress Semiconductor Corporation Graphical user interface with user-selectable list-box
US8042093B1 (en) 2001-11-15 2011-10-18 Cypress Semiconductor Corporation System providing automatic source code generation for personalization and parameterization of user modules
US6971004B1 (en) 2001-11-19 2005-11-29 Cypress Semiconductor Corp. System and method of dynamically reconfiguring a programmable integrated circuit
US8069405B1 (en) 2001-11-19 2011-11-29 Cypress Semiconductor Corporation User interface for efficiently browsing an electronic document using data-driven tabs
US7844437B1 (en) 2001-11-19 2010-11-30 Cypress Semiconductor Corporation System and method for performing next placements and pruning of disallowed placements for programming an integrated circuit
KR100448709B1 (ko) * 2001-11-29 2004-09-13 삼성전자주식회사 데이터 버스 시스템 및 그 제어방법
US6751113B2 (en) * 2002-03-07 2004-06-15 Netlist, Inc. Arrangement of integrated circuits in a memory module
AU2003223892A1 (en) * 2002-03-21 2003-10-08 Pact Xpp Technologies Ag Method and device for data processing
US8103497B1 (en) 2002-03-28 2012-01-24 Cypress Semiconductor Corporation External interface for event architecture
US6918068B2 (en) * 2002-04-08 2005-07-12 Harris Corporation Fault-tolerant communications system and associated methods
US7113488B2 (en) * 2002-04-24 2006-09-26 International Business Machines Corporation Reconfigurable circular bus
US7046536B2 (en) * 2002-05-29 2006-05-16 Micron Technology, Inc. Programable identification circuitry
US7466160B2 (en) * 2002-11-27 2008-12-16 Inapac Technology, Inc. Shared memory bus architecture for system with processor and memory units
US7362697B2 (en) * 2003-01-09 2008-04-22 International Business Machines Corporation Self-healing chip-to-chip interface
DE602004007532T2 (de) * 2003-09-04 2008-03-20 Nxp B.V. Integrierte schaltung und verfahren zum cache-umabbilden
TWI266191B (en) * 2003-09-05 2006-11-11 Realtek Semiconductor Corp Clock pulse adjusting device and method thereof
US8775112B2 (en) * 2003-09-15 2014-07-08 Nvidia Corporation System and method for increasing die yield
US8732644B1 (en) 2003-09-15 2014-05-20 Nvidia Corporation Micro electro mechanical switch system and method for testing and configuring semiconductor functional circuits
US8775997B2 (en) * 2003-09-15 2014-07-08 Nvidia Corporation System and method for testing and configuring semiconductor functional circuits
WO2005029329A2 (en) * 2003-09-15 2005-03-31 Nvidia Corporation A system and method for testing and configuring semiconductor functional circuits
US7623894B2 (en) * 2003-10-09 2009-11-24 Freescale Semiconductor, Inc. Cellular modem processing
US20050099832A1 (en) * 2003-11-12 2005-05-12 Agere Systems, Incorporated System and method for securing an integrated circuit as against subsequent reprogramming
US8711161B1 (en) 2003-12-18 2014-04-29 Nvidia Corporation Functional component compensation reconfiguration system and method
FR2864320A1 (fr) * 2003-12-19 2005-06-24 St Microelectronics Sa Nouvelle architecture de memoire fifo et procede de gestion d'une telle memoire.
JP2005190036A (ja) * 2003-12-25 2005-07-14 Hitachi Ltd 記憶制御装置及び記憶制御装置の制御方法
KR100598097B1 (ko) * 2003-12-29 2006-07-07 삼성전자주식회사 듀얼 칩 패키지
US20050018495A1 (en) * 2004-01-29 2005-01-27 Netlist, Inc. Arrangement of integrated circuits in a memory module
US7295049B1 (en) 2004-03-25 2007-11-13 Cypress Semiconductor Corporation Method and circuit for rapid alignment of signals
US20060045123A1 (en) * 2004-07-14 2006-03-02 Sundar Gopalan Method of forming a communication system, a communication card with increased bandwidth, and a method of forming a communication device
US7389375B2 (en) * 2004-07-30 2008-06-17 International Business Machines Corporation System, method and storage medium for a multi-mode memory buffer device
US7539800B2 (en) * 2004-07-30 2009-05-26 International Business Machines Corporation System, method and storage medium for providing segment level sparing
US7224595B2 (en) * 2004-07-30 2007-05-29 International Business Machines Corporation 276-Pin buffered memory module with enhanced fault tolerance
US7296129B2 (en) 2004-07-30 2007-11-13 International Business Machines Corporation System, method and storage medium for providing a serialized memory interface with a bus repeater
US20060036826A1 (en) * 2004-07-30 2006-02-16 International Business Machines Corporation System, method and storage medium for providing a bus speed multiplier
DE102004041731B3 (de) * 2004-08-28 2006-03-16 Infineon Technologies Ag Speichermodul zum Bereitstellen einer Speicherkapazität
US8723231B1 (en) 2004-09-15 2014-05-13 Nvidia Corporation Semiconductor die micro electro-mechanical switch management system and method
US8711156B1 (en) 2004-09-30 2014-04-29 Nvidia Corporation Method and system for remapping processing elements in a pipeline of a graphics processing unit
US7263027B2 (en) * 2004-10-14 2007-08-28 Broadcom Corporation Integrated circuit chip having non-volatile on-chip memories for providing programmable functions and features
KR100634439B1 (ko) * 2004-10-26 2006-10-16 삼성전자주식회사 퓨즈프리 회로, 퓨즈프리 반도체 집적회로 및 퓨즈프리불휘발성 메모리 장치, 그리고 퓨즈프리 방법
US7356737B2 (en) * 2004-10-29 2008-04-08 International Business Machines Corporation System, method and storage medium for testing a memory module
US7277988B2 (en) 2004-10-29 2007-10-02 International Business Machines Corporation System, method and storage medium for providing data caching and data compression in a memory subsystem
US7331010B2 (en) * 2004-10-29 2008-02-12 International Business Machines Corporation System, method and storage medium for providing fault detection and correction in a memory subsystem
US7395476B2 (en) 2004-10-29 2008-07-01 International Business Machines Corporation System, method and storage medium for providing a high speed test interface to a memory subsystem
US7512762B2 (en) * 2004-10-29 2009-03-31 International Business Machines Corporation System, method and storage medium for a memory subsystem with positional read data latency
US7299313B2 (en) * 2004-10-29 2007-11-20 International Business Machines Corporation System, method and storage medium for a memory subsystem command interface
US7305574B2 (en) * 2004-10-29 2007-12-04 International Business Machines Corporation System, method and storage medium for bus calibration in a memory subsystem
US7441060B2 (en) * 2004-10-29 2008-10-21 International Business Machines Corporation System, method and storage medium for providing a service interface to a memory system
US20060095620A1 (en) * 2004-10-29 2006-05-04 International Business Machines Corporation System, method and storage medium for merging bus data in a memory subsystem
US8256147B2 (en) 2004-11-22 2012-09-04 Frampton E. Eliis Devices with internal flexibility sipes, including siped chambers for footwear
US20060164909A1 (en) * 2005-01-24 2006-07-27 International Business Machines Corporation System, method and storage medium for providing programmable delay chains for a memory system
US7332976B1 (en) * 2005-02-04 2008-02-19 Cypress Semiconductor Corporation Poly-phase frequency synthesis oscillator
US7380161B2 (en) * 2005-02-11 2008-05-27 International Business Machines Corporation Switching a defective signal line with a spare signal line without shutting down the computer system
US9582449B2 (en) 2005-04-21 2017-02-28 Violin Memory, Inc. Interconnection system
US9286198B2 (en) 2005-04-21 2016-03-15 Violin Memory Method and system for storage of data in non-volatile media
US9384818B2 (en) 2005-04-21 2016-07-05 Violin Memory Memory power management
US8452929B2 (en) 2005-04-21 2013-05-28 Violin Memory Inc. Method and system for storage of data in non-volatile media
US8112655B2 (en) 2005-04-21 2012-02-07 Violin Memory, Inc. Mesosynchronous data bus apparatus and method of data transmission
KR101331569B1 (ko) 2005-04-21 2013-11-21 바이올린 메모리 인코포레이티드 상호접속 시스템
US8021193B1 (en) * 2005-04-25 2011-09-20 Nvidia Corporation Controlled impedance display adapter
US7400183B1 (en) 2005-05-05 2008-07-15 Cypress Semiconductor Corporation Voltage controlled oscillator delay cell and method
US20060253726A1 (en) * 2005-05-06 2006-11-09 Vikas Kukshya Fault-tolerant architecture for a distributed control system
US7793029B1 (en) 2005-05-17 2010-09-07 Nvidia Corporation Translation device apparatus for configuring printed circuit board connectors
US7831882B2 (en) 2005-06-03 2010-11-09 Rambus Inc. Memory system with error detection and retry modes of operation
US9459960B2 (en) * 2005-06-03 2016-10-04 Rambus Inc. Controller device for use with electrically erasable programmable memory chip with error detection and retry modes of operation
US9092170B1 (en) 2005-10-18 2015-07-28 Nvidia Corporation Method and system for implementing fragment operation processing across a graphics bus interconnect
US7478259B2 (en) * 2005-10-31 2009-01-13 International Business Machines Corporation System, method and storage medium for deriving clocks in a memory system
GB2432759B (en) * 2005-11-26 2008-07-02 Wolfson Ltd Audio device
GB2432765B (en) * 2005-11-26 2008-04-30 Wolfson Microelectronics Plc Audio device
GB2443990B (en) * 2005-11-26 2009-01-28 Wolfson Microelectronics Plc Audio device
US7685392B2 (en) 2005-11-28 2010-03-23 International Business Machines Corporation Providing indeterminate read data latency in a memory system
US8417838B2 (en) * 2005-12-12 2013-04-09 Nvidia Corporation System and method for configurable digital communication
US8412872B1 (en) 2005-12-12 2013-04-02 Nvidia Corporation Configurable GPU and method for graphics processing using a configurable GPU
US9098641B1 (en) * 2006-01-30 2015-08-04 Cypress Semiconductor Corporation Configurable bus
US8067948B2 (en) 2006-03-27 2011-11-29 Cypress Semiconductor Corporation Input/output multiplexer bus
WO2007130640A2 (en) * 2006-05-04 2007-11-15 Inapac Technology, Inc. Memory device including multiplexed inputs
US7636813B2 (en) 2006-05-22 2009-12-22 International Business Machines Corporation Systems and methods for providing remote pre-fetch buffers
US7640386B2 (en) * 2006-05-24 2009-12-29 International Business Machines Corporation Systems and methods for providing memory modules with multiple hub devices
US7594055B2 (en) * 2006-05-24 2009-09-22 International Business Machines Corporation Systems and methods for providing distributed technology independent memory controllers
US7584336B2 (en) 2006-06-08 2009-09-01 International Business Machines Corporation Systems and methods for providing data modification operations in memory subsystems
US20070297146A1 (en) * 2006-06-27 2007-12-27 Campbell John E Data Communications with an Integrated Circuit
KR100809690B1 (ko) * 2006-07-14 2008-03-07 삼성전자주식회사 저속 테스트 동작이 가능한 반도체 메모리 장치 및 반도체메모리 장치의 테스트 방법
US7493439B2 (en) * 2006-08-01 2009-02-17 International Business Machines Corporation Systems and methods for providing performance monitoring in a memory system
US7669086B2 (en) * 2006-08-02 2010-02-23 International Business Machines Corporation Systems and methods for providing collision detection in a memory system
US7581073B2 (en) 2006-08-09 2009-08-25 International Business Machines Corporation Systems and methods for providing distributed autonomous power management in a memory system
US7587559B2 (en) * 2006-08-10 2009-09-08 International Business Machines Corporation Systems and methods for memory module power management
US7539842B2 (en) 2006-08-15 2009-05-26 International Business Machines Corporation Computer memory system for selecting memory buses according to physical memory organization information stored in virtual address translation tables
US7490217B2 (en) 2006-08-15 2009-02-10 International Business Machines Corporation Design structure for selecting memory busses according to physical memory organization information stored in virtual address translation tables
US7739545B2 (en) * 2006-09-13 2010-06-15 International Business Machines Corporation System and method to support use of bus spare wires in connection modules
WO2008042403A2 (en) * 2006-10-03 2008-04-10 Inapac Technologies, Inc. Memory accessing circuit system
US7539811B2 (en) * 2006-10-05 2009-05-26 Unity Semiconductor Corporation Scaleable memory systems using third dimension memory
US7870459B2 (en) * 2006-10-23 2011-01-11 International Business Machines Corporation High density high reliability memory module with power gating and a fault tolerant address and command bus
US8028186B2 (en) 2006-10-23 2011-09-27 Violin Memory, Inc. Skew management in an interconnection system
US7477522B2 (en) * 2006-10-23 2009-01-13 International Business Machines Corporation High density high reliability memory module with a fault tolerant address and command bus
US8012761B2 (en) * 2006-12-14 2011-09-06 Kimberly-Clark Worldwide, Inc. Detection of formaldehyde in urine samples
US7935538B2 (en) * 2006-12-15 2011-05-03 Kimberly-Clark Worldwide, Inc. Indicator immobilization on assay devices
US7846383B2 (en) * 2006-12-15 2010-12-07 Kimberly-Clark Worldwide, Inc. Lateral flow assay device and absorbent article containing same
US7721140B2 (en) * 2007-01-02 2010-05-18 International Business Machines Corporation Systems and methods for improving serviceability of a memory system
US7603526B2 (en) * 2007-01-29 2009-10-13 International Business Machines Corporation Systems and methods for providing dynamic memory pre-fetch
US7606988B2 (en) 2007-01-29 2009-10-20 International Business Machines Corporation Systems and methods for providing a dynamic memory bank page policy
US8026739B2 (en) 2007-04-17 2011-09-27 Cypress Semiconductor Corporation System level interconnect with programmable switching
US8040266B2 (en) * 2007-04-17 2011-10-18 Cypress Semiconductor Corporation Programmable sigma-delta analog-to-digital converter
US8130025B2 (en) 2007-04-17 2012-03-06 Cypress Semiconductor Corporation Numerical band gap
US7737724B2 (en) 2007-04-17 2010-06-15 Cypress Semiconductor Corporation Universal digital block interconnection and channel routing
US9720805B1 (en) 2007-04-25 2017-08-01 Cypress Semiconductor Corporation System and method for controlling a target device
US7996710B2 (en) 2007-04-25 2011-08-09 Hewlett-Packard Development Company, L.P. Defect management for a semiconductor memory system
US7694093B2 (en) 2007-04-27 2010-04-06 Hewlett-Packard Development Company, L.P. Memory module and method for mirroring data by rank
US20090027081A1 (en) * 2007-07-25 2009-01-29 International Business Machines Corporation Eight Transistor Tri-State Driver Implementing Cascade Structures To Reduce Peak Current Consumption, Layout Area and Slew Rate
US20090063786A1 (en) * 2007-08-29 2009-03-05 Hakjune Oh Daisy-chain memory configuration and usage
US8049569B1 (en) 2007-09-05 2011-11-01 Cypress Semiconductor Corporation Circuit and method for improving the accuracy of a crystal-less oscillator having dual-frequency modes
US8724483B2 (en) 2007-10-22 2014-05-13 Nvidia Corporation Loopback configuration for bi-directional interfaces
US20090119114A1 (en) * 2007-11-02 2009-05-07 David Alaniz Systems and Methods for Enabling Customer Service
US8453019B2 (en) * 2007-11-06 2013-05-28 Nvidia Corporation Method and system for a free running strobe tolerant interface
US8125796B2 (en) 2007-11-21 2012-02-28 Frampton E. Ellis Devices with faraday cages and internal flexibility sipes
US8467486B2 (en) * 2007-12-14 2013-06-18 Mosaid Technologies Incorporated Memory controller with flexible data alignment to clock
US8781053B2 (en) * 2007-12-14 2014-07-15 Conversant Intellectual Property Management Incorporated Clock reproducing and timing method in a system having a plurality of devices
US20110191646A1 (en) * 2008-04-04 2011-08-04 Arizona Board of Regents, a body Corporate of the State of Arizona, Acting for and Fault-and Variation-Tolerant Energy - and Area-Efficient Links for Network-on-Chips
US8516185B2 (en) * 2009-07-16 2013-08-20 Netlist, Inc. System and method utilizing distributed byte-wise buffers on a memory module
US8234540B2 (en) 2008-07-01 2012-07-31 International Business Machines Corporation Error correcting code protected quasi-static bit communication on a high-speed bus
US20100005335A1 (en) * 2008-07-01 2010-01-07 International Business Machines Corporation Microprocessor interface with dynamic segment sparing and repair
US8144442B1 (en) * 2008-07-03 2012-03-27 Google Inc. Power protection in a multi-level power hierarchy
WO2010013437A1 (ja) * 2008-07-30 2010-02-04 パナソニック株式会社 誤り訂正機能付きコントローラ、誤り訂正機能付き記憶装置、及び誤り訂正機能付きシステム
US7925939B2 (en) * 2008-09-26 2011-04-12 Macronix International Co., Ltd Pre-code device, and pre-code system and pre-coding method thererof
US20100290948A1 (en) * 2009-05-15 2010-11-18 Xuedong Song Absorbent articles capable of indicating the presence of urinary tract infections
US8294396B2 (en) * 2009-07-13 2012-10-23 Hamilton Sundstrand Space Systems International, Inc. Compact FPGA-based digital motor controller
US8464145B2 (en) * 2009-07-16 2013-06-11 Cypress Semiconductor Corporation Serial interface devices, systems and methods
CN101615145B (zh) * 2009-07-24 2011-12-07 中兴通讯股份有限公司 一种提高存储器数据缓存可靠性的方法和装置
US8995333B2 (en) * 2009-07-29 2015-03-31 Qualcomm Incorporated Synchronous interface for multi-radio coexistence manager
JP5478625B2 (ja) * 2009-08-18 2014-04-23 パナソニック株式会社 半導体集積回路
US8429735B2 (en) 2010-01-26 2013-04-23 Frampton E. Ellis Method of using one or more secure private networks to actively configure the hardware of a computer or microchip
JP5314612B2 (ja) * 2010-02-04 2013-10-16 ルネサスエレクトロニクス株式会社 半導体記憶装置
US9331869B2 (en) * 2010-03-04 2016-05-03 Nvidia Corporation Input/output request packet handling techniques by a device specific kernel mode driver
WO2012024699A1 (en) 2010-08-20 2012-02-23 Mosys, Inc. Data synchronization for circuit resources without using a resource buffer
US8615690B2 (en) * 2011-05-05 2013-12-24 Mediatek Inc. Controller of memory device and method for operating the same
US9183082B2 (en) * 2013-01-29 2015-11-10 Qualcomm Incorporated Error detection and correction of one-time programmable elements
US9189438B2 (en) 2013-03-13 2015-11-17 Qualcomm Incorporated Method and apparatus for dynamic power saving with flexible gating in a cross-bar architecture
US9454419B2 (en) * 2013-07-18 2016-09-27 Advanced Micro Devices, Inc. Partitionable data bus
US9244799B2 (en) * 2014-01-06 2016-01-26 International Business Machines Corporation Bus interface optimization by selecting bit-lanes having best performance margins
US9934179B2 (en) 2015-02-17 2018-04-03 Mediatek Inc. Wafer-level package with at least one input/output port connected to at least one management bus
US10152445B2 (en) 2015-02-17 2018-12-11 Mediatek Inc. Signal count reduction between semiconductor dies assembled in wafer-level package
KR102339780B1 (ko) * 2015-10-29 2021-12-15 삼성전자주식회사 칩 아이디(id) 발생 회로를 갖는 반도체 장치
EP3279796B1 (de) * 2016-08-02 2020-07-15 NXP USA, Inc. Ressourcenzugangsverwaltungskomponente und verfahren dafür
US10860786B2 (en) 2017-06-01 2020-12-08 Global Tel*Link Corporation System and method for analyzing and investigating communication data from a controlled environment
US10277268B2 (en) * 2017-06-02 2019-04-30 Psemi Corporation Method and apparatus for switching of shunt and through switches of a transceiver
CN108536017B (zh) * 2018-05-03 2021-01-08 山东师范大学 基于动态反馈控制的随机分布互联系统协作容错控制方法
WO2020051921A1 (en) * 2018-09-15 2020-03-19 Intel Corporation Runtime cell row replacement in a memory
US11070218B2 (en) * 2019-04-17 2021-07-20 Texas Instruments Incorporated Real time counter-based method for the determination and measurement of frequency lock time in phase-locked loops
US11508375B2 (en) 2019-07-03 2022-11-22 Samsung Electronics Co., Ltd. Electronic apparatus including control command identification tool generated by using a control command identified by voice recognition identifying a control command corresponding to a user voice and control method thereof
US11036581B2 (en) 2019-08-08 2021-06-15 Apple Inc. Non-volatile memory control circuit with parallel error detection and correction
US11217323B1 (en) * 2020-09-02 2022-01-04 Stmicroelectronics International N.V. Circuit and method for capturing and transporting data errors
US11656958B2 (en) * 2021-04-29 2023-05-23 Mellanox Technologies, Ltd. Redundancy data bus inversion sharing
US11698833B1 (en) 2022-01-03 2023-07-11 Stmicroelectronics International N.V. Programmable signal aggregator

Family Cites Families (184)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3835530A (en) * 1967-06-05 1974-09-17 Texas Instruments Inc Method of making semiconductor devices
US3579196A (en) * 1969-02-14 1971-05-18 Bunker Ramo Data storage and display system
FR2050036A5 (de) * 1969-06-06 1971-03-26 Licentia Gmbh
US3585378A (en) * 1969-06-30 1971-06-15 Ibm Error detection scheme for memories
US3651473A (en) * 1970-03-27 1972-03-21 Burroughs Corp Expandable interlock exchange for multiprocessing systems
NL7106491A (de) * 1971-05-12 1972-11-14
US3766529A (en) * 1972-03-17 1973-10-16 Racal Thermionic Ltd Computer-compatible tape and reading system therefor
CA948705A (en) * 1972-07-28 1974-06-04 Robert C. Cook Method for making an integrated circuit apparatus
US3849872A (en) * 1972-10-24 1974-11-26 Ibm Contacting integrated circuit chip terminal through the wafer kerf
US3803562A (en) * 1972-11-21 1974-04-09 Honeywell Inf Systems Semiconductor mass memory
US3803560A (en) 1973-01-03 1974-04-09 Honeywell Inf Systems Technique for detecting memory failures and to provide for automatically for reconfiguration of the memory modules of a memory system
GB1461245A (en) * 1973-01-28 1977-01-13 Hawker Siddeley Dynamics Ltd Reliability of random access memory systems
US3859640A (en) 1973-10-01 1975-01-07 Sperry Rand Corp Concurrent data address and refresh control for a volatile lsi memory system
US4038648A (en) * 1974-06-03 1977-07-26 Chesley Gilman D Self-configurable circuit structure for achieving wafer scale integration
US4052698A (en) * 1975-03-17 1977-10-04 Burroughs Corporation Multi-parallel-channel error checking
US4007452A (en) * 1975-07-28 1977-02-08 Intel Corporation Wafer scale integration system
JPS5230095A (en) 1975-09-03 1977-03-07 Hitachi Ltd Washer for medical appliances and like
US4071887A (en) * 1975-10-30 1978-01-31 Motorola, Inc. Synchronous serial data adaptor
US4063225A (en) * 1976-03-08 1977-12-13 Rca Corporation Memory cell and array
US4092733A (en) * 1976-05-07 1978-05-30 Mcdonnell Douglas Corporation Electrically alterable interconnection
US4105995A (en) * 1976-06-16 1978-08-08 Hewlett-Packard Company Digitally controlled transmission impairment measuring apparatus
GB1569298A (en) * 1976-06-25 1980-06-11 Knox J H Intercommunication systems
US4200916A (en) * 1976-07-01 1980-04-29 Gulf & Western Industries, Inc. Programmable controller using microprocessor
US4107785A (en) * 1976-07-01 1978-08-15 Gulf & Western Industries, Inc. Programmable controller using microprocessor
US4188670A (en) * 1978-01-11 1980-02-12 Mcdonnell Douglas Corporation Associative interconnection circuit
US4227045A (en) * 1978-06-28 1980-10-07 Honeywell Inc. Data processing protocol system
US4215430A (en) * 1978-09-26 1980-07-29 Control Data Corporation Fast synchronization circuit for phase locked looped decoder
US4236087A (en) * 1978-10-30 1980-11-25 Sperry Corporation Programmable bus driver isolation
FR2450008A1 (fr) * 1979-02-21 1980-09-19 Portejoie Jean Francois Circuit de synchronisation de signaux numeriques plesiochrones par justification
US4319356A (en) * 1979-12-19 1982-03-09 Ncr Corporation Self-correcting memory system
US4438352A (en) 1980-06-02 1984-03-20 Xerox Corporation TTL Compatible CMOS input buffer
US4329685A (en) * 1980-06-09 1982-05-11 Burroughs Corporation Controlled selective disconnect system for wafer scale integrated circuits
US4345328A (en) * 1980-06-30 1982-08-17 Sperry Corporation ECC Check bit generation using through checking parity bits
US4379327A (en) * 1980-07-21 1983-04-05 Motorola, Inc. Universal interface circuit for synchronous and asynchronous buses
US4407014A (en) * 1980-10-06 1983-09-27 Honeywell Information Systems Inc. Communications subsystem having a direct connect clock
US4467400A (en) * 1981-01-16 1984-08-21 Burroughs Corporation Wafer scale integrated circuit
US4458297A (en) * 1981-01-16 1984-07-03 Mosaic Systems, Inc. Universal interconnection substrate
US4494196A (en) * 1981-05-19 1985-01-15 Wang Laboratories, Inc. Controller for peripheral data storage units
US4400794A (en) * 1981-11-17 1983-08-23 Burroughs Corporation Memory mapping unit
US4414480A (en) 1981-12-17 1983-11-08 Storage Technology Partners CMOS Circuit using transmission line interconnections
CA1191022A (en) * 1981-12-29 1985-07-30 Eiichi Asada Resistor compositions and resistors produced therefrom
JPS594798A (ja) 1982-06-30 1984-01-11 日本基礎技術株式会社 管体推進装置
IT1161467B (it) * 1983-01-21 1987-03-18 Cselt Centro Studi Lab Telecom Interfaccia di tipo parallelo per la gestione del colloquio tra un bus asincrono e un bus sincrono collegato a piu terminali dotati ognuno di un proprio segnale di sincronizzazione
JPS59180871A (ja) 1983-03-31 1984-10-15 Fujitsu Ltd 半導体メモリ装置
JPS59200326A (ja) 1983-04-26 1984-11-13 Nec Corp データ処理装置
US4612613A (en) 1983-05-16 1986-09-16 Data General Corporation Digital data bus system for connecting a controller and disk drives
JPS59212962A (ja) * 1983-05-18 1984-12-01 Mitsubishi Electric Corp 多要素処理装置
US4615017A (en) 1983-09-19 1986-09-30 International Business Machines Corporation Memory controller with synchronous or asynchronous interface
US4649384A (en) * 1983-10-07 1987-03-10 Dialogic Systems Corp. Method and apparatus for fault tolerant serial communication of digital information
US4605928A (en) * 1983-10-24 1986-08-12 International Business Machines Corporation Fault-tolerant array of cross-point switching matrices
US4639933A (en) * 1983-12-14 1987-01-27 General Electric Company Steering logic circuit for a digital data transceiver
US4897818A (en) * 1983-12-30 1990-01-30 Texas Instruments Incorporated Dual-port memory with inhibited random access during transfer cycles
JPS60186940A (ja) 1984-01-27 1985-09-24 Pioneer Electronic Corp 符号誤り訂正方法
US4627058A (en) * 1984-01-27 1986-12-02 Pioneer Electronic Corporation Code error correction method
US4703436A (en) * 1984-02-01 1987-10-27 Inova Microelectronics Corporation Wafer level integration technique
US4599722A (en) * 1984-04-03 1986-07-08 Canadian Patents And Development Limited-Societe Canadienne Des Brevets Et D'exploitation Limitee Apparatus for encoding and decoding digital data to permit error correction
US4646298A (en) * 1984-05-01 1987-02-24 Texas Instruments Incorporated Self testing data processing system with system test master arbitration
US4637073A (en) * 1984-06-25 1987-01-13 Raytheon Company Transmit/receive switch
IT1179081B (it) * 1984-08-28 1987-09-16 Cselt Centro Studi Lab Telecom Sistema di comunicazione integrato a larga banda in ambito locale
US4796233A (en) * 1984-10-19 1989-01-03 Fujitsu Limited Bipolar-transistor type semiconductor memory device having redundancy configuration
US4736365A (en) * 1984-10-26 1988-04-05 Dialogic Systems Corporation Method and apparatus for controlling access to an asynchronous communication network
US4653050A (en) * 1984-12-03 1987-03-24 Trw Inc. Fault-tolerant memory system
JPS61160898A (ja) 1985-01-05 1986-07-21 Fujitsu Ltd 半導体記憶装置
JPH0648822B2 (ja) * 1985-03-04 1994-06-22 株式会社日立製作所 デイジタル伝送系における異常処理方法
US4630355A (en) * 1985-03-08 1986-12-23 Energy Conversion Devices, Inc. Electric circuits having repairable circuit lines and method of making the same
US4707808A (en) * 1985-04-26 1987-11-17 Rockwell International Corporation Small size, high speed GaAs data latch
US4667328A (en) * 1985-04-29 1987-05-19 Mieczyslaw Mirowski Clocking circuit with back-up clock source
US5206832A (en) * 1985-06-17 1993-04-27 Hitachi, Ltd. Semiconductor memory device
EP0209306B1 (de) * 1985-07-09 1992-06-10 Nec Corporation Phasenverriegelte Taktregenerierschaltung für digitale Übertragungssysteme
US4719621A (en) * 1985-07-15 1988-01-12 Raytheon Company Packet fastbus
JPS6281745A (ja) * 1985-10-05 1987-04-15 Fujitsu Ltd ウエハ−規模のlsi半導体装置とその製造方法
GB2181870B (en) * 1985-10-14 1988-11-23 Anamartic Ltd Control circuit for chained circuit modules
US4906987A (en) * 1985-10-29 1990-03-06 Ohio Associated Enterprises, Inc. Printed circuit board system and method
US4872137A (en) * 1985-11-21 1989-10-03 Jennings Iii Earle W Reprogrammable control circuit
US4748588A (en) 1985-12-18 1988-05-31 International Business Machines Corp. Fast data synchronizer
JP2569478B2 (ja) * 1986-02-19 1997-01-08 ソニー株式会社 デ−タ記録装置
US4876700A (en) * 1986-04-16 1989-10-24 E. F. Johnson Company Data demodulator
US4680780A (en) * 1986-05-01 1987-07-14 Tektronix, Inc. Clock recovery digital phase-locked loop
US4890224A (en) * 1986-06-27 1989-12-26 Hewlett-Packard Company Method and apparatus for fault tolerant communication within a computing system
GB8616852D0 (en) 1986-07-10 1986-08-20 Hughes Microelectronics Ltd Electronic counter
US4782457A (en) 1986-08-18 1988-11-01 Texas Instruments Incorporated Barrel shifter using bit reversers and having automatic normalization
US4785415A (en) 1986-08-29 1988-11-15 Hewlett-Packard Company Digital data buffer and variable shift register
US4866508A (en) * 1986-09-26 1989-09-12 General Electric Company Integrated circuit packaging configuration for rapid customized design and unique test capability
US4937203A (en) * 1986-09-26 1990-06-26 General Electric Company Method and configuration for testing electronic circuits and integrated circuit chips using a removable overlay layer
US4884122A (en) * 1988-08-05 1989-11-28 General Electric Company Method and configuration for testing electronic circuits and integrated circuit chips using a removable overlay layer
JPH0661066B2 (ja) * 1986-10-20 1994-08-10 株式会社日立製作所 記憶制御装置
DE3742514A1 (de) 1986-12-24 1988-07-07 Mitsubishi Electric Corp Variable verzoegerungsschaltung
JP2605271B2 (ja) * 1987-02-10 1997-04-30 ソニー株式会社 エラー訂正及びチエツク装置
JP2690083B2 (ja) 1987-07-22 1997-12-10 株式会社日立製作所 半導体集積回路装置
US5133064A (en) * 1987-04-27 1992-07-21 Hitachi, Ltd. Data processing system generating clock signal from an input clock, phase locked to the input clock and used for clocking logic devices
JPH0693216B2 (ja) 1987-04-27 1994-11-16 株式会社日立製作所 情報処理装置
US4855613A (en) * 1987-05-08 1989-08-08 Mitsubishi Denki Kabushiki Kaisha Wafer scale integration semiconductor device having improved chip power-supply connection arrangement
US5008882A (en) 1987-08-17 1991-04-16 California Institute Of Technology Method and apparatus for eliminating unsuccessful tries in a search tree
US4864496A (en) 1987-09-04 1989-09-05 Digital Equipment Corporation Bus adapter module for interconnecting busses in a multibus computer system
US5179687A (en) * 1987-09-26 1993-01-12 Mitsubishi Denki Kabushiki Kaisha Semiconductor memory device containing a cache and an operation method thereof
US4860285A (en) 1987-10-21 1989-08-22 Advanced Micro Devices, Inc. Master/slave synchronizer
JPH01109599A (ja) 1987-10-22 1989-04-26 Nec Corp 書込み・消去可能な半導体記憶装置
US5226147A (en) * 1987-11-06 1993-07-06 Mitsubishi Denki Kabushiki Kaisha Semiconductor memory device for simple cache system
JP2618938B2 (ja) * 1987-11-25 1997-06-11 株式会社東芝 半導体記憶装置
JPH01251738A (ja) * 1988-03-31 1989-10-06 Toshiba Corp スタンダードセル
US4943966A (en) * 1988-04-08 1990-07-24 Wang Laboratories, Inc. Memory diagnostic apparatus and method
US5055897A (en) * 1988-07-27 1991-10-08 Intel Corporation Semiconductor cell for neural network and the like
US5267200A (en) 1988-08-31 1993-11-30 Mitsubishi Denki Kabushiki Kaisha Semiconductor memory device and operating method thereof with transfer transistor used as a holding means
JP2633645B2 (ja) 1988-09-13 1997-07-23 株式会社東芝 半導体メモリ装置
US5001712A (en) * 1988-10-17 1991-03-19 Unisys Corporation Diagnostic error injection for a synchronous bus system
US4912633A (en) * 1988-10-24 1990-03-27 Ncr Corporation Hierarchical multiple bus computer architecture
US4985895A (en) * 1988-11-14 1991-01-15 Wegener Communications, Inc. Remote controlled receiving system apparatus and method
US4984192A (en) * 1988-12-02 1991-01-08 Ultrasystems Defense Inc. Programmable state machines connectable in a reconfiguration switching network for performing real-time data processing
KR910009444B1 (ko) * 1988-12-20 1991-11-16 삼성전자 주식회사 반도체 메모리 장치
US4970724A (en) 1988-12-22 1990-11-13 Hughes Aircraft Company Redundancy and testing techniques for IC wafers
US5077738A (en) * 1988-12-30 1991-12-31 Intel Corporation Test mode enable scheme for memory
US5262986A (en) * 1989-01-31 1993-11-16 Sharp Kabushiki Kaisha Semiconductor memory device with volatile memory and non-volatile memory in latched arrangement
US5276893A (en) * 1989-02-08 1994-01-04 Yvon Savaria Parallel microprocessor architecture
JP2796329B2 (ja) * 1989-02-08 1998-09-10 株式会社日立製作所 表示メモリとそれを備えた画像処理装置
US4974048A (en) * 1989-03-10 1990-11-27 The Boeing Company Integrated circuit having reroutable conductive paths
JPH02246099A (ja) * 1989-03-20 1990-10-01 Hitachi Ltd 大規模半導体集積回路装置とその欠陥救済法
EP0389203A3 (de) * 1989-03-20 1993-05-26 Fujitsu Limited Halbleiterspeichergerät beinhaltend Information, die die Anwesenheit mangelhafter Speicherzellen anzeigt
US5043820A (en) * 1989-03-27 1991-08-27 Hughes Aircraft Company Focal plane array readout employing one capacitive feedback transimpedance amplifier for each column
US5020020A (en) * 1989-04-07 1991-05-28 Digital Equipment Corporation Computer interconnect system with transmit-abort function
US5257235A (en) * 1989-04-25 1993-10-26 Kabushiki Kaisha Toshiba Semiconductor memory device having serial access mode
US4954854A (en) 1989-05-22 1990-09-04 International Business Machines Corporation Cross-point lightly-doped drain-source trench transistor and fabrication process therefor
JP2837433B2 (ja) * 1989-06-05 1998-12-16 三菱電機株式会社 半導体記憶装置における不良ビット救済回路
US5051938A (en) 1989-06-23 1991-09-24 Hyduke Stanley M Simulation of selected logic circuit designs
JPH0329342A (ja) * 1989-06-26 1991-02-07 Toshiba Corp 半導体装置
US4955020A (en) * 1989-06-29 1990-09-04 Infotron Systems Corporation Bus architecture for digital communications
US5187779A (en) * 1989-08-11 1993-02-16 Micral, Inc. Memory controller with synchronous processor bus and asynchronous i/o bus interfaces
US5077737A (en) * 1989-08-18 1991-12-31 Micron Technology, Inc. Method and apparatus for storing digital data in off-specification dynamic random access memory devices
US5003558A (en) 1989-10-30 1991-03-26 International Business Machines Corporation Data synchronizing buffers for data processing channels
US5218686A (en) * 1989-11-03 1993-06-08 Compaq Computer Corporation Combined synchronous and asynchronous memory controller
US5125006A (en) * 1989-12-08 1992-06-23 Standard Microsystems Corporation Local area network high impedance transceiver
US5161152A (en) * 1989-12-15 1992-11-03 Alcatel Network Systems, Inc. High-speed synchronous transmission line access terminal
US5021985A (en) * 1990-01-19 1991-06-04 Weitek Corporation Variable latency method and apparatus for floating-point coprocessor
DE69123987T2 (de) 1990-01-31 1997-04-30 Hewlett Packard Co Stossbetrieb für Mikroprozessor mit externem Systemspeicher
JPH03227547A (ja) * 1990-02-01 1991-10-08 Mitsubishi Electric Corp 半導体装置
US5128737A (en) * 1990-03-02 1992-07-07 Silicon Dynamics, Inc. Semiconductor integrated circuit fabrication yield improvements
US5118975A (en) * 1990-03-05 1992-06-02 Thinking Machines Corporation Digital clock buffer circuit providing controllable delay
US5103424A (en) * 1990-03-26 1992-04-07 Trw Inc. Memory column interface with fault tolerance
US5252507A (en) * 1990-03-30 1993-10-12 Tactical Fabs, Inc. Very high density wafer scale device architecture
IL96808A (en) * 1990-04-18 1996-03-31 Rambus Inc Introductory / Origin Circuit Agreed Using High-Performance Brokerage
US5243703A (en) * 1990-04-18 1993-09-07 Rambus, Inc. Apparatus for synchronously generating clock signals in a data processing system
US5145645A (en) 1990-06-15 1992-09-08 Spectral Sciences, Inc. Conductive polymer selective species sensor
US5261077A (en) * 1990-06-29 1993-11-09 Digital Equipment Corporation Configurable data path arrangement for resolving data type incompatibility
JPH0469894A (ja) * 1990-07-09 1992-03-05 Fujitsu Ltd 半導体記憶装置
US5359722A (en) * 1990-07-23 1994-10-25 International Business Machines Corporation Method for shortening memory fetch time relative to memory store time and controlling recovery in a DRAM
US5278967A (en) * 1990-08-31 1994-01-11 International Business Machines Corporation System for providing gapless data transfer from page-mode dynamic random access memories
JPH04119597A (ja) * 1990-09-07 1992-04-21 Mitsubishi Electric Corp 不揮発性半導体記憶装置のセンスアンプ
US5214657A (en) * 1990-09-21 1993-05-25 Micron Technology, Inc. Method for fabricating wafer-scale integration wafers and method for utilizing defective wafer-scale integration wafers
US5243623A (en) * 1990-09-25 1993-09-07 National Semiconductor Corporation Switchable multi-mode transceiver interface device
US5159273A (en) * 1990-09-28 1992-10-27 Hughes Aircraft Company Tri-state bus driver to support reconfigurable fault tolerant logic
JP3019869B2 (ja) 1990-10-16 2000-03-13 富士通株式会社 半導体メモリ
US5131015A (en) * 1990-10-22 1992-07-14 Cirrus Logic, Inc. Combined BAUD rate generator and digital phase locked loop
US5204836A (en) * 1990-10-30 1993-04-20 Sun Microsystems, Inc. Method and apparatus for implementing redundancy in parallel memory structures
GB9023867D0 (en) 1990-11-02 1990-12-12 Mv Ltd Improvements relating to a fault tolerant storage system
US5249282A (en) * 1990-11-21 1993-09-28 Benchmarq Microelectronics, Inc. Integrated cache memory system with primary and secondary cache memories
US5247522A (en) * 1990-11-27 1993-09-21 Digital Equipment Corporation Fault tolerant bus
US5168331A (en) 1991-01-31 1992-12-01 Siliconix Incorporated Power metal-oxide-semiconductor field effect transistor
US5317540A (en) 1991-03-14 1994-05-31 Kabushiki Kaisha Toshiba Semiconductor memory device
US5321813A (en) * 1991-05-01 1994-06-14 Teradata Corporation Reconfigurable, fault tolerant, multistage interconnect network and protocol
JP3185248B2 (ja) 1991-05-28 2001-07-09 日本電気株式会社 センスアンプ回路
EP0520634A1 (de) 1991-06-21 1992-12-30 The Whitaker Corporation Kommunikationsmittel mit einem dualen aktiven/passiven Bus
US5265216A (en) * 1991-06-28 1993-11-23 Digital Equipment Corporation High performance asynchronous bus interface
EP0523885A1 (de) * 1991-07-15 1993-01-20 National Semiconductor Corporation Phasendetektor für Hochfrequenz-Takt und Datenrückgewinnungsschaltungen
US5278800A (en) 1991-10-31 1994-01-11 International Business Machines Corporation Memory system and unique memory chip allowing island interlace
DE69226150T2 (de) 1991-11-05 1999-02-18 Hsu Fu Chieh Redundanzarchitektur für Schaltungsmodul
JP2724932B2 (ja) 1991-12-03 1998-03-09 三菱電機株式会社 デュアルポートメモリ
US5465223A (en) 1992-01-09 1995-11-07 Oki Electric Industry Co., Ltd. Barrel shifter
EP0895162A3 (de) * 1992-01-22 1999-11-10 Enhanced Memory Systems, Inc. Verbesserte DRAM mit eingebauten Registern
JPH07506921A (ja) * 1992-03-06 1995-07-27 ランバス・インコーポレーテッド コンピュータ・システムにおける主記憶装置のアクセス時間とキャッシュ・メモリのサイズを最小限にするためのキャッシュへの先取り
US5355391A (en) * 1992-03-06 1994-10-11 Rambus, Inc. High speed bus system
JPH05276004A (ja) 1992-03-30 1993-10-22 Mitsubishi Electric Corp 出力回路
US5254883A (en) * 1992-04-22 1993-10-19 Rambus, Inc. Electrical current source circuitry for a bus
US5297092A (en) * 1992-06-03 1994-03-22 Mips Computer Systems, Inc. Sense amp for bit line sensing and data latching
US5268639A (en) * 1992-06-05 1993-12-07 Rambus, Inc. Testing timing parameters of high speed integrated circuit devices
US5227677A (en) 1992-06-10 1993-07-13 International Business Machines Corporation Zero power transmission line terminator
WO1994003901A1 (en) * 1992-08-10 1994-02-17 Monolithic System Technology, Inc. Fault-tolerant, high-speed bus system and bus interface for wafer-scale integration
US5329174A (en) * 1992-10-23 1994-07-12 Xilinx, Inc. Circuit for forcing known voltage on unconnected pads of an integrated circuit
CA2106271C (en) 1993-01-11 2004-11-30 Joseph H. Steinmetz Single and multistage stage fifo designs for data transfer synchronizers
US5311083A (en) 1993-01-25 1994-05-10 Standard Microsystems Corporation Very low voltage inter-chip CMOS logic signaling for large numbers of high-speed output lines each associated with large capacitive loads
JP3201551B2 (ja) 1993-05-31 2001-08-20 日本電信電話株式会社 シェイピング回路
US5402388A (en) * 1993-12-16 1995-03-28 Mosaid Technologies Incorporated Variable latency scheme for synchronous memory
US5434996A (en) * 1993-12-28 1995-07-18 Intel Corporation Synchronous/asynchronous clock net with autosense
US5561630A (en) * 1995-09-28 1996-10-01 International Business Machines Coporation Data sense circuit for dynamic random access memories
JP3204957B2 (ja) 1999-12-22 2001-09-04 株式会社ナムコ ゲーム装置、ゲーム処理方法および記録媒体
JP3502845B2 (ja) 2001-03-21 2004-03-02 株式会社柏原製袋 閉止弁

Also Published As

Publication number Publication date
JPH08500687A (ja) 1996-01-23
US7634707B2 (en) 2009-12-15
US6483755B2 (en) 2002-11-19
US20040260983A1 (en) 2004-12-23
US20030051091A1 (en) 2003-03-13
US20010039601A1 (en) 2001-11-08
US5592632A (en) 1997-01-07
EP0654168A4 (de) 1998-06-03
EP0654168A1 (de) 1995-05-24
US20080209303A1 (en) 2008-08-28
DE69331061D1 (de) 2001-12-06
WO1994003901A1 (en) 1994-02-17
US6717864B2 (en) 2004-04-06
US6425046B1 (en) 2002-07-23
EP0654168B1 (de) 2001-10-31
AU4798793A (en) 1994-03-03
US5666480A (en) 1997-09-09
US5613077A (en) 1997-03-18

Similar Documents

Publication Publication Date Title
DE69331061T2 (de) Fehlertolerantes hierarchisiertes Bussystem
EP0224681A3 (de) Verfahren zur Verwaltung von veralteten Datenobjekten
DE69722962D1 (de) Strukturiertes datenspeichersystem mit global adressierbarem speicher
GB2313217B (en) Management of memory modules
DE69627240D1 (de) Synchronisierte Datenübermittlung zwischen Einheiten eines Verarbeitungssystems
DE69409602T2 (de) Datenspeicherungssystemarchitektur
EP0226734A3 (de) Verfahren und Gerät zur Verwaltung von veralteten Datenobjekten
TW351878B (en) A system and method for efficiently monitoring information in a network having a plurality of repeaters
HK1072989A1 (en) Method, processor and system for performing operation of data according to instruction
DE69800808D1 (de) Redundantes, verteiltes Netzwerksystem
DE60003292D1 (de) Verteiltes datenbanksystem
ATE282281T1 (de) Verfahren und vorrichtung zum zugriff auf mehrere kommunikationsnetze
MX9803581A (es) Procesamiento de mensajes mejorado en dispositivos para datos bidireccionales.
KR840007190A (ko) 버퍼기억장치의 단일비트 에러처리 시스템
SE9200792D0 (sv) Saett att utoeka funktionaliteten hos ett datorprogram samt satorsystem foer genomfoerande av saettet
DE69618831T2 (de) ECC-geschützte Speicherorganisation mit Lese-Änderungs-Schreib-Pipelinezugriff
CA2110310A1 (en) Bus Monitor Circuit for Switching System
CA2350488A1 (en) Methods and systems for generating interactive information formatted for a device
DE69612855T2 (de) Datenspeichergerät
DE69406922T2 (de) Erweiterbares, unterteilbares datenverarbeitungssystem zu niedriger gemeinkosten
NO20000340L (no) Gjenoppretting av gruppedata mellom mobile systemer
NO982978D0 (no) Brukssikret databehandlingssystem og fremgangsmÕte for aksess til samme
ES2136073T3 (es) Metodo y aparato para la comunicacion de datos.
NO20015975L (no) System og metode for automatisk tilgang og avlevering av skjema
NO960817L (no) Filkrypteringsskjema

Legal Events

Date Code Title Description
8364 No opposition during term of opposition