DE69333604T2 - Durch PVD und DVD gebildete, mit hochschmelzendem Metall abgedeckte Metallleiterbahnen und Durchgangslöcher mit niedrigem spezifischen Widerstand - Google Patents

Durch PVD und DVD gebildete, mit hochschmelzendem Metall abgedeckte Metallleiterbahnen und Durchgangslöcher mit niedrigem spezifischen Widerstand Download PDF

Info

Publication number
DE69333604T2
DE69333604T2 DE69333604T DE69333604T DE69333604T2 DE 69333604 T2 DE69333604 T2 DE 69333604T2 DE 69333604 T DE69333604 T DE 69333604T DE 69333604 T DE69333604 T DE 69333604T DE 69333604 T2 DE69333604 T2 DE 69333604T2
Authority
DE
Germany
Prior art keywords
alloy
metal
refractory
refractory metal
opening
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE69333604T
Other languages
English (en)
Other versions
DE69333604D1 (de
Inventor
Rajiv V. Yorktown Heights Joshi
Jerome J. Lincolndale Cuomo
Hormazdyar M. Milton Dalal
Louis L. Fishkill Hsu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of DE69333604D1 publication Critical patent/DE69333604D1/de
Application granted granted Critical
Publication of DE69333604T2 publication Critical patent/DE69333604T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76847Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned within the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • H01L21/76852Barrier, adhesion or liner layers the layer covering a conductive structure the layer also covering the sidewalls of the conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49866Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers characterised by the materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53233Copper alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/095Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00 with a principal constituent of the material being a combination of two or more materials provided in the groups H01L2924/013 - H01L2924/0715
    • H01L2924/097Glass-ceramics, e.g. devitrified glass
    • H01L2924/09701Low temperature co-fired ceramic [LTCC]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/015Capping layer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S257/00Active solid-state devices, e.g. transistors, solid-state diodes
    • Y10S257/915Active solid-state devices, e.g. transistors, solid-state diodes with titanium nitride portion or region
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/959Mechanical polishing of wafer

Description

  • Die Erfindung betrifft allgemein die Herstellung elektrischer Leiterbahnen und Durchgangslöcher, welche Schaltkreise auf Substraten wie zum Beispiel Halbleitern und ähnlichen Bauelementen miteinander verbinden, und insbesondere ein preiswertes Verfahren zum Ausfüllen von Fugen oder Löchern in Substraten mit einer Kombination aus einem durch physikalische Dampfabscheidung (physical vapor deposition, PVD) abgeschiedenen Metall mit niedrigem spezifischen Widerstand und einem durch chemische Dampfabscheidung (chemical vapor deposition, CVD) abgeschiedenen hochschmelzenden Metall. Die Erfindung ist besonders bei der Herstellung von Submikrometer-Schaltkreisen anwendbar.
  • Metalle mit niedrigem spezifischen Widerstand wie Aluminium und Kupfer und ihre binären und ternären Legierungen sind für dünne Verbindungsleitungen bei der Halbleiterproduktion umfassend untersucht worden. Typische Beispiele für Metalle für dünne Verbindungsleitungen sind AlxCuy, wobei die Summe von x und y gleich eins und sowohl x als auch y größer als oder gleich null und kleiner als oder gleich eins sind, ternäre Legierungen wie Al-Pd-Cu und Al-Pd-Nb, Al-Cu-Si sowie weitere ähnliche Legierungen auf der Basis von Metallen mit niedrigem spezifischen Widerstand. Die aktuellen Bestrebungen nach weiterer Verringerung der Leiterbahnenbreite bei der Fertigung höchstintegrierter Schaltkreise (VLSI-Schaltkreise) hat zu Zuverlässigkeitsproblemen wie unzureichender Isolierung, Elektromigration und Planarisierung geführt.
  • JP-A-60173857 und EP-A-0338636 beschreiben Verfahren zur Erzeugung von Metallisierungsstrukturen in integrierten Schaltkreisen.
  • Im IBM Technical Disclosure Bulletin, Bd. 33, Nr. 5, Oktober 1990, S. 217–218, beschreiben Ahn et al. mit Wolfram umhüllte Kupferleiterbahnen und -durchkontaktierungen, die durch selektives Abscheiden aus einem Gemisch von WF6 und SiH4 in Gegenwart von Wasserstoff hergestellt werden. Solche gekapselten Verbindungsleitungen wie die von Ahn et al. beschriebenen sind gegen Elektromigration wesentlich beständiger und weisen aufgrund der geringen Korngröße einer selektiven Wolframschicht eine geringere Reflexion auf, sodass die Bilder im Fotolack bei fotolithografischen Verfahren besser fokussiert und höher aufgelöst werden können. Die bei den von Ahn et al. beschriebenen niedrigen Temperaturen gebildete Wolframschicht hätte jedoch einen hohen Siliciumgehalt (z. B. 3–4%) und würde für Kupfer keine gute Diffusionsbarriere darstellen, da der spezifische Widerstand des Kupfers durch die Bildung von Kupfersilicid verschlechtert würde. Es ist somit schwierig, bei niedriger Temperatur eine Diffusionsbarriere durch selektive Mittel abzuscheiden. Außerdem beruht das Verfahren von Ahn et al. darauf, dass sich normalerweise durch Reaktion von entweichender Feuchtigkeit mit WF6 an der Unterseite der Leitungen eine Donut-ähnliche Form bildet. Die Bildung dieser Donut-ähnlichen Form gilt als nicht ganz sicher.
  • Im Tagungsband der VMIC-Konferenz vom 12.–13. Juni 1990, S. 289–292, legen Dalton et al. dar, dass eine Hotwall-CVD-Reaktion, bei der WF6 durch SiH4 und H2 reduziert wird, um eine selektive Wolframschicht auf einer Aluminium- oder Legierungsleiterbahn zu bilden, zum Einbau von Fluor in die Aluminium-Wolfram-Grenzfläche führt. Der Einbau von Fluor stellt ein Nebenprodukt der Reaktion von WF6 mit Aluminium gemäß Gleichung 1 dar.
    WF6 + 2Al --> 2AlF3 + W Gl.1
  • Die dünne Aluminiumfluoridschicht erhöht den Reihen-Kontaktwiderstand von Durchkontaktierungen zwischen Metall 1 und Metall 2. Wie Dalton berichtet, wird das Problem der Fluorabsorption durch Aufsputtern einer TiW-Schicht auf das Aluminium vor der CVD-Verkapselung mit Wolfram gelöst.
  • Dalton beschreibt ein herkömmliches Schema zur Bildung von Verbindungsleitungen, bei dem zuerst Aluminium auf einer ebenen Oberfläche abgeschieden, durch die aufgesputterte TiW-Schicht abgedeckt (einziger Unterschied zur herkömmlichen Verarbeitung) und dann mittels Fotolackbelichtung und -entwicklung strukturiert wird, woran sich ein reaktives Ionenätzen (reactive ion etching, RIE) anschließt. Die dabei entstehende Struktur wird dann mit einer dielektrischen Passivierungsschicht wie zum Beispiel SiO2 oder Polyimid abgedeckt, die anschließend strukturiert, einem RIE-Schritt unterzogen und metallisiert wird, um eine mehrschichtige Struktur zu erzeugen. 1 ist dem Bericht von Dalton entnommen und zeigt, dass die nach herkömmlichen Bearbeitungsverfahren hergestellten Mehrschichtbauelemente in den dielektrischen Schichten an der Stelle, wo metallische Leiterbahnen verlaufen, Fugen aufweisen und eine sehr unregelmäßige Oberfläche haben.
  • Mittels RIE lässt sich die Ebenheit des Dielektrikums nur schwer erreichen. Die Ebenheit hängt teilweise von der Dichte der Strukturelemente ab und unebene Oberflächen führen zu Schwierigkeiten bei der anschließenden Metallisierung. Wenn ein RIE-Verfahren auf einer Polyimidschicht eingesetzt wird, ist zum Entfernen des Fotolacks auf Aluminium- oder Kupferleiterbahnen ein Ätzstopp erforderlich, wenn die Leitungen bis zur Polyimidoberfläche durchgeätzt werden, da sonst beim Entfernen des Fotolacks auch Polyimid entfernt würde. Das reaktive Ionenätzen ist bei Aluminium- oder Kupferlegierungen mit hohem Kupfergehalt generell mit großen Schwierigkeiten verbunden. Ein schwerwiegender Nachteil herkömmlicher Verfahren, die reaktives Ionenätzen von Metallen einschließen, besteht darin, dass es bei kleinen geometrischen Abmessungen infolge von Teilchendefekten zu einer großen Anzahl von metallischen Kurzschlüssen kommen kann.
  • In der US-Patentschrift 4,824,802 von Brown et al. wird ein Verfahren zum Auffüllen von dielektrischen Durchkontaktierungen oder Kontaktlöchern zwischen den Ebenen von mehrschichtigen VLSI-Metallisierungsstrukturen beschrieben. Insbesondere wird ein Zwischenmetall wie Wolfram oder Molybdän entweder selektiv in Öffnungen eines Isolators oder nicht selektiv durch CVD auf der gesamten Oberfläche und in den Öffnungen des Isolators abgeschieden und anschließend auf das Zwischenmetall ein Planarisierungs-Fotolack, wie zum Beispiel vom Azochinon-Novolak-Typ, Polymethacrylate, Polyimide oder andere thermoplastische Materialien, aufgebracht. Durch Ätzen bis zu einem Niveau, bei dem das Zwischenmetall genauso hoch ist wie der Fotolack, erhält man dann eine planarisierte Struktur. Das Verfahren von Brown et al. verhindert nicht die Metallkorrosion und weitere mit dem Ätzen verbundene Probleme und eignet sich nicht zur Planarisierung von Al-Cu-Legierungen oder anderen weichen Legierungen, da sich deren Eigenschaften von denen der härteren Metalle wie Wolfram und Molybdän unterscheiden. Außerdem lassen sich Durchgangslöcher und Leiterbahnen mit dem Verfahren von Brown et al. nur schwer vollständig ausfüllen.
  • In der US-Patentschrift 4,944,836 von Beyer et al. wird ein chemisch-mechanisches Polierverfahren beschrieben, das zur Herstellung koplanarer Metall/Isolator-Schichten auf einem Substrat geeignet ist. Insbesondere erwägen Beyer et al. die Strukturierung einer Isolator-Grundschicht, die Abscheidung einer Al-Cu-Schicht und die anschließende Anwendung eines chemisch-mechanischen Polierverfahrens, bei dem die Oberfläche mit einer Aufschlämmung von Aluminiumoxid in verdünnter Salpetersäure mechanisch abgerieben wird, um das Al-Cu zu entfernen. Das Poliermittel weist gegenüber dem Al-Cu eine deutlich höhere Abtragungsrate auf als gegenüber dem darunter liegenden Isolator. Die resultierende Struktur enthält Al-Cu-Leiterbahnen, die, bezogen auf die Isolatorschicht, planarisiert sind, sodass man bei der Herstellung von Mehrschichtstrukturen weitere Schichten leicht aufbringen kann.
  • In der US-Patentschrift 4,956,313 von Cote et al. wird ein Verfahren zum Ausfüllen und Planarisieren von Kontaktlöchern beschrieben, bei dem Leiterbahnen aus Al-Cu-Legierung auf einer ersten Passivierungsschicht auf einem Substrat strukturiert und diese Leiterbahnen dann mit einer zweiten Passivierungsschicht, vorzugsweise aus einem dotierten Glas wie zum Beispiel einem Phosphor-Silicatglas (PSG) oder einem Bor-Phosphor-Silicatglas (BPSG) beschichtet werden, die den Konturen der Al-Cu-Legierungs-Leiterbahnen folgt; dann werden in der zweiten Passivierungsschicht Durchgangslöcher bis zu den Leiterbahnen hindurch gebildet und Wolfram mittels CVD auf die Oberfläche der zweiten Passivierungsschicht und in den Durchgangslöchern aufgebracht. Wie Cote et al. berichten, ist es für das CVD-Wolfram charakteristisch, dass es den Konturen folgt und die Durchgangslöcher ausfüllt, ohne Hohlräume zu bilden. Anschließend wird die Struktur durch Polieren mit einer Schleifsuspension planarisiert.
  • Weder Beyer et al. noch Cote et ak. nehmen zur Kenntnis, dass sich das Polieren für weiche Metalle wie Al-Cu-Legierungen mit niedrigem spezifischen Widerstand nicht eignet. Letzteres liegt daran, dass solche Materialien leicht durch die Poliersuspension zerkratzt, an der Oberfläche verschmiert und korrodiert werden. Außerdem sind zur Herstellung der planarisierten Strukturen nach Cote et al. mehrere Verfahrensschritte erforderlich, wodurch die Kosten steigen und die Produktionsmenge sinkt.
  • Rossnagel et al. beschreiben in J. Vac. Sci. Technol. 2: 261 (März/April 1991) ein Sputterverfahren mit einem kollimierten Magnetron zum Abscheiden von Schichten, die sich mit Strukturierungsverfahren nach der Lift-off-Technik und mit Lochfüllverfahren bearbeiten lassen. Das Verfahren wird auch in der US-Patentschrift 4,824,544 vorgestellt, die durch Bezugnahme Bestandteil des vorliegenden Patents ist.
  • Shiozaki et al. beschreiben in den „Abstracts of the 19th Conference on Solid State Devices and Materials" die Anwendung der selektiven Wolframabscheidung zum Ausfüllen von Löchern auf einem harten Metall wie MoSix mit hohem spezifischen Widerstand, ohne die Kapselung eines weichen Metalls zu erwähnen.
  • Daher besteht eine Aufgabe der vorliegenden Erfindung darin, preiswerte, korrosionsfreie und gegenüber Abrieb und Elektromigration beständige elektrische Leiterbahnen zur Verbindung von Schaltkreisen auf einem Substrat im Submikrometerbereich unter Verwendung eines Verfahren mit hoher Ausbeute bereitzustellen.
  • Eine weitere Aufgabe der Erfindung besteht darin, ein Verfahren zur kostengünstigen Bildung gekapselter dünner elektrischer Leiterbahnen speziell zur Anwendung bei der Fertigung von Schaltkreisen im Submikrometerbereich bereitzustellen, ohne die Leiterbahnen einem RIE-Schritt auszusetzen.
  • Eine weitere Aufgabe der Erfindung besteht darin, eine Leiterbahn oder Durchkontaktierung mit niedrigem spezifischen Widerstand und mit einer abriebbeständigen, harten Abdeckung bereitzustellen, welche die Elektromigration verringert.
  • Eine weitere Aufgabe der vorliegenden Erfindung besteht darin, neuartige Verbindungsleitungen bereitzustellen, die aus PVD-Metall mit niedrigem spezifischen Widerstand bestehen und mit hochschmelzendem CVD-Metall gekapselt sind.
  • Noch eine weitere Aufgabe der vorliegenden Erfindung besteht darin, ein Verfahren zur Verbesserung der Haftung von CVD-Wolfram an Durchgangslöchern oder Verbindungsleitungen mit hohem Aspektverhältnis bereitzustellen, welches das Bereitstellen einer aus einem hochschmelzenden Metall oder dessen Legierungen oder Verbindungen gebildeten Vorbeschichtung in den Durchgangslöchern oder Verbindungsleitungen vor der Abscheidung des CVD-Wolframs beinhaltet.
  • Gemäß der Erfindung wird ein einfaches und kostengünstiges Verfahren nach den Ansprüchen 1 und 8 bereitgestellt, welches zu korrosionsfreien und gegen Abrieb und Elektromigration beständigen elektrischen Leiterbahnen führt und hohe Prozessausbeuten liefert. Von besonderer Bedeutung ist, dass sich durch dieses Verfahren die aufwendigen Planarisierungsschritte dielektrischer Schichten völlig erübrigen, da die Abscheidung nur auf den von Anfang an bereitstehenden ebenen Oberflächen stattfindet. Das Verfahren bedient sich gewöhnlicher Chargen- oder Einzelwafer-PVD-Prozesse wie Aufdampfen, Sputtern oder kollimiertes Sputtern mit nachfolgender konturgetreuer Abscheidung von hochschmelzendem Metall.
  • Die obigen sowie weitere Aufgaben, Aspekte und Vorteile lassen sich durch die nachfolgende ausführliche Beschreibung einer bevorzugten Ausführungsart der Erfindung unter Bezug auf folgende Zeichnungen besser verstehen:
  • 1 ist eine seitliche Querschnittsansicht eines Halbleitersubstrats nach dem Stand der Technik, die eine unebene obere Fläche zeigt;
  • die 2A bis 2E sind aufeinanderfolgende seitliche Querschnittsansichten eines Halbleitersubstrats, die eine Variante der Erfindung darstellen;
  • die 3A und 3B sind aufeinanderfolgende seitliche Querschnittsansichten eines Substrats mit einem darauf befindlichen Bauelement, welches mit einem Isolator beschichtet wurde, der wiederum vor dem Strukturieren planarisiert wurde;
  • die 4A bis 4E sind aufeinanderfolgende seitliche Querschnittsansichten eines Halbleitersubstrats, die eine andere Variante der Erfindung darstellen;
  • die 5A bis 5E sind aufeinanderfolgende seitliche Querschnittsansichten eines Halbleitersubstrats, die eine weitere Variante der Erfindung darstellen;
  • 6 zeigt eine rasterelektronenmikroskopische Aufnahme (scanning electron micrograph, SEM) einer mittels PVD in einem Durchgangsloch abgeschiedenen Vorbeschichtung;
  • die 7A und 7B sind aufeinanderfolgende seitliche Querschnittsansichten eines Halbleitersubstrats;
  • 8 zeigt eine Querschnittsansicht einer exemplarischen Damascene-Mehrschichtstruktur, bei der die PVD-Legierung AlxCuy mit CVD-Wolfram überzogen ist; und
  • die 9A und 9B zeigen SEM-Querschnittsaufnahmen der Strukturen von Al-Cu-Leitungen mit Wolframüberzug vor bzw. nach dem chemisch-mechanischen Polieren.
  • Die Erfindung betrifft allgemein Verfahren zur Bildung von metallgefüllten Durchgangslöchern und Leiterbahnen auf einem Substrat, bei dem die Durchgangslöcher und die Leiterbahnen ein weiches Metall mit niedrigem spezifischen Widerstand enthalten, das durch ein relativ hartes, hochschmelzendes Metall abgedeckt ist, welches gegen Korrosion, Abrieb und Elektromigration beständig ist, und bei dem die Durchkontaktierungen und Leiterbahnen mit der auf dem Substrat abgeschiedenen dielektrischen Schicht eine Ebene bilden. Durch die in Verbindung mit den 2AE, 4AE und 7AB beschriebenen Verfahren werden je nach verwendetem PVD-Abscheidungsverfahren mehrere verschiedene und neuartige Strukturen geschaffen. Es ist klar, dass die Verfahren und die daraus entstehenden Strukturen nicht auf die Verwendung bestimmter Substrate und dielektrischer Beschichtungen beschränkt sind (z. B. kann man sowohl Komposite aus anorganischen und organischen Schichten, wie in den 2AE und 5AE gezeigt, als auch Einzelschichten aus anorganischen oder organischen Isolatormaterialien verwenden). Darüber hinaus ist die Erfindung nicht auf bestimmte Metallkombinationen beschränkt; vielmehr besteht eine Aufgabe der Erfindung darin, ein weiches Metall mit niedrigem spezifischen Widerstand oder eine weiche Metalllegierung mit niedrigem spezifischen Widerstand mit einem harten, hochschmelzenden Metall zu überziehen, das gegen Abrieb, Korrosion und Elektromigration beständig ist. Insbesondere betrifft die Erfindung elektrische Systeme, in denen Aluminium- und Kupferlegierungen verwendet werden, da es sich gezeigt hat, dass man in Durchgangslöchern und Gräben im Submikrometerbereich mit zuverlässig gesichertem hohen Aspektverhältnis durch kollimiertes PVD-Sputtern gleichmäßige formgetreue Schichten eines Vorbeschichtungsmaterials aus hochschmelzendem Metall abscheiden kann. Die Vorbeschichtung aus hochschmelzendem Metall dient während der nachfolgenden Verarbeitung als wirksame Diffusionsbarriere für Kupfer.
  • In 2A wird zuerst ein Dielektrikum auf einem Substrat 10 abgeschieden und anschließend strukturiert. Beim Substrat 10 handelt es sich vorzugsweise um Silicium, Galliumarsenid oder ein anderes zur Herstellung von integrierten Schaltkreisen geeignetes Material. Beim Substrat 10 kann es sich jedoch auch um keramisches Material, Glas oder ein Verbundmaterial handeln, Materialien wie sie üblicherweise zur Einhausung von Halbleitern und zur Herstellung von Dünnschichtverbindungen verwendet werden. Auf dem Substrat 10 ist vorzugsweise eine Vielzahl von Halbleiterbauelementen gebildet, bei denen es sich um Feldeffekttransistoren (field effect transistor, FET), bipolare Transistoren, Widerstände, Schottky-Dioden oder Ähnliches handeln kann. Es sollte klar sein, dass das in den 3AB, 4AE, 5AE, 7AB und 8 gezeigte Substrat 10 jede der oben erörterten Eigenschaften sowie weitere in der Technik bekannte Eigenschaften haben kann.
  • Die in 2A gezeigte dielektrische Kompositschicht enthält eine obere und eine untere anorganische dielektrische Schicht 11 bzw. 13, bei denen es sich um Siliciumdioxid (SiO2), Siliciumnitrid (Si3N4) oder Ähnliches handeln kann. Die beiden anorganischen Schichten 11 und 13 werden vorzugsweise mittels einer plasmaunterstützten chemischen Dampfabscheidung (plasma enhanced chemical vapor deposition, PECVD) aufgebracht, wobei zuerst SiO2 bei einem Druck von 90 Torr auf dem leitenden Substrat 10 abgeschieden und Si3N4 (0,075 bis 0,1 μm) als Diffusionsbarriere für mobile Ionen aufgedampft wird. Zwischen den anorganischen Schichten 11 und 13 wird eine dickere organische dielektrische Schicht 12 wie zum Beispiel ein Polyimid abgeschieden. Als Alternative zu dem durch die Schichten 11, 12 und 13 erzeugten dielektrischen Komposit kann auch eine Einzelschicht aus einem anorganischen Dielektrikum wie zum Beispiel SiO2, PSG oder BPSG oder ein organisches Dielektrikum wie zum Beispiel Polyimid verwenden werden, und durch eines aus einer Vielzahl bekannter Verfahren wie zum Beispiel durch Wachsen in einer oxidierenden Atmosphäre, durch Sputtern oder PECVD abgeschieden werden. Die 2AE und 5AE zeigen zwar die Verwendung des Komposits und die 3AB, 4AE und 7AB die Verwendung einer anorganischen oder dielektrischen Einzelschicht, jedoch sollte klar sein, dass die Anwendung der vorliegenden Erfindung durch die dielektrische Schicht keineswegs einschränkt wird und man bei der Anwendung der vorliegenden Erfindung eine beliebige dielektrische (z. B. anorganische oder organische) Schicht einzeln oder in Kombination verwenden kann.
  • 2A zeigt eine in dem dielektrischen Komposit gebildete Öffnung 14, bei der es sich um ein Durchgangsloch oder einen Graben für eine Leiterbahn handeln kann. Bei VLSI-Anwendungen hat das Substrat 10 normalerweise einige hundert bis einige tausend solcher in 2A gezeigter Öffnungen 14, wobei das entstehende dichte, komplexe Muster letztlich Schaltkreise auf oder in dem Substrat untereinander verbindet. Die Öffnungen 14 werden vorzugsweise mittels Hochkontrastlithografie (contrast enhanced lithography, CEL) und anschließendem Ätzen der Gräben oder Löcher in einer Mehrwaferanlage mittels CHF3 und O2 gebildet, wobei durch optimales Überätzen sichergestellt wird, dass die Öffnung 14 die gewünschten Abmessungen hat und sich bis zu einer Kontaktfläche auf der Oberfläche des Substrats 10 erstreckt, sodass ein Durchkontaktierungszapfenmuster entsteht. Zur Herstellung von Leitungsmustern werden die dielektrischen Schichten vorzugsweise nur zum Teil bis zu einer Tiefe geätzt, die etwa 10% mehr als die verwendete Metalldicke beträgt. Zum Ätzen des Polymimids 12 verwendet man vorzugsweise reaktives Ionenätzen in Sauerstoff bei niedrigen Temperaturen. Es sollte klar sein, dass die Bildung der in den 2AE, 4AE, 5AE und 7AB gezeigten Öffnung 14 in der Technik bekannt ist und mittels zahlreicher verschiedener Verfahren erfolgen kann.
  • Wenn im Gegensatz zu dem in 2A gezeigten ebenen Substrat 10, das keine nach oben hervorstehenden Bauelemente hat, ein Startsubstrat 10 verwendet wird, auf dem ein Bauelement 18 gemäß den 3A und 3B gebildet ist, sollte der auf dem Bauelement 18 abgeschiedene Isolator 20 zunächst planarisiert werden, bevor eine Öffnung 22 erzeugt wird. Das Planarisieren kann mittels RIE, chemisch-mechanischen Polierens oder einer Kombination von RIE und chemisch-mechanischem Polieren oder durch andere Mittel erfolgen.
  • Die 2BE veranschaulichen eine erste Variante der vorliegenden Erfindung, bei der ein ebener strukturierter Schichtaufbau, welcher entweder der in 2A oder der in 3B gezeigte oder ein anderer Schichtaufbau mit einer in einer dielektrischen Deckschicht gebildeten Öffnung 14 sein kann, eine Schicht 15 aus hochschmelzendem Metall auf der anorganischen dielektrischen Schicht 13 und dem am Boden der Öffnung 14 freiliegenden Substrat 10 aufweist. Diese Schicht kann mittels eines PVD-Verdampfungsverfahrens erhalten werden, das in Handbook of Thin Film Technoloay, Hrsg. Maissel und Glen, McGraw-Hill Co., 1983, S. 1–100 sehr gut beschrieben ist. Ein wichtiges Merkmal beim Einsatz der PVD-Verdampfungstechnik besteht dabei darin, dass die Seitenwände der Öffnung 14 im Dielektrikum durch die hochschmelzende Metallschicht 15 nicht beschichtet werden. Es sollte klar sein, dass man zur Realisierung der Erfindung ein kollimiertes PVD-Sputterverfahren ähnlich dem in der US-Patentschrift 4,824,544 beschriebenen Verfahren einsetzen kann, durch dieses kollimierte Sputtern aber eine konturgetreue Schicht entsteht, die im Gegensatz zu der in 2B gezeigten Schicht die Seitenwände der Öffnung 14 bedecken würde. Die Verwendung des kollimierten Sputterns zur Erzeugung einer Vorbeschichtung aus hochschmelzendem Metall wird im Folgenden ausführlicher erörtert. Bei dem hochschmelzendem Metall kann es sich um Titan (Ti), Titanlegierungen oder -verbindungen wie Ti/TiN, Wolfram (W), Titan/Wolfram-Legierungen (Ti/W), Chrom (Cr) oder Tantal (Ta) und deren Legierungen oder um ein anderes geeignetes Material handeln. Wenn Leiterbahnen oder Durchkontaktierungen auf Basis von Kupfer gebildet werden sollen, sollte ein hochschmelzendes Metall verwendet werden, das als Diffusionsbarriere für Kupfer dienen kann, damit eine Diffusion des in der Öffnung 14 abgeschiedenen Kupfers in das Substrat 10 während der weiteren Verarbeitung verhindert wird.
  • Als Nächstes wird auf dem hochschmelzenden Metall 15 durch Aufdampfen mittels PVD eine einfache, binäre oder ternäre Metallisierung 16 abgeschieden. Da es sich dabei um einen Aufdampfprozess handelt, werden auch hier die Seitenwände der Öffnung 14 nicht beschichtet. Es sollte jedoch klar sein, dass man die Metallisierung 16 durch kollimiertes Sputtern aufbringen könnte, wodurch in der Öffnung 14 und oben auf dem dielektrischen Schichtstapel eine konturgetreue Metallisierungsschicht gebildet werden würde. Vorzugsweise handelt es sich bei der Metallisierung um AlxCuy, wobei die Summe von x und y gleich eins und sowohl x als auch y größer als oder gleich null und kleiner als oder gleich eins sind; allerdings eignen sich auch ternäre Legierungen wie zum Beispiel Al-Pd-Cu und Mehrkomponentenlegierungen wie zum Beispiel Al-Pd-Nb-Au. Das Hauptmerkmal der Metallisierung 16 besteht darin, dass es sich im Gegensatz zum hochschmelzenden Metall 15 um ein weiches Material mit niedrigem spezifischen Widerstand handelt. Vorzugsweise wird die Öffnung 14, welche die Struktur der Leiterbahnen oder der Durchkontaktierungen zwischen den Schichten darstellt, bis zu einer Tiefe von 100 bis 400 nm unterhalb der Oberfläche der Leiterbahn oder der Durchkontaktierung durch die Metallisierung 16 ausgefüllt. Es sollte klar sein, dass die in den 4AE, 5AE und 7AB gezeigte Metallisierung 16 von derselben Art ist, wie sie oben beschrieben wurde.
  • 2C zeigt ein hochschmelzendes Metall 17, wie zum Beispiel Wolfram, Cobalt, Nickel, Molybdän, oder eine Legierung/Verbindung wie zum Beispiel Ti/TiN, das bzw. die auf der Struktur abgeschieden wurde. Die Abscheidung des hochschmelzenden Metalls 17 kann durch eine einstufige CVD oder durch einen zweistufigen Prozess erfolgen und wird anhand der 4AE erläutert, wobei der erste Schritt ein kollimiertes Sputtern oder ein ähnliches Verfahren zum Aufbringen eines Haftvermittlers wie zum Beispiel Titan oder Titannitrid zur Erzeugung einer konturgetreuen flächendeckenden Beschichtung und der zweite Schritt die Abscheidung einer dünnen Wolframschicht mittels CVD zur Erzielung einer noch konturgetreueren Beschichtung umfasst. Die CVD-Abscheidung des hochschmelzenden Metalls erfolgt vorzugsweise durch Reduktion von WF6 mit SiH4 oder H2. Bei einem besonders bevorzugten CVD-Verfahren wird das WF6 zuerst mit SiH4 und dann mit H2 reduziert, da die CVD-Abscheidung von Wolfram auf einer TiN-Schicht durch Reduktion von WF6 mit SiH4 gleichmäßiger als durch Reduktion mit H2 erfolgt. Das hochschmelzende Metall 17 bietet eine gegen Abrieb, Korrosion und Elektromigration beständige Beschichtung auf der darunter liegenden weichen Metallisierung 16 mit niedrigem spezifischen Widerstand in der Öffnung 14. Ein besonders großer Vorteil der CVD-Beschichtung durch Reduktion des WF6 mit SiH4 besteht darin, dass man das SiH4/WF6-Verhältnis variieren kann, um unterschiedliche Siliciummengen in das Wolfram einzubauen und so vorteilhafte Eigenschaften zu erhalten. Zum Beispiel kann es von Vorteil sein, das hochschmelzende Metall 17 beim Ausfüllen der Öffnung 14 im Dielektrikum mit einem SiH4/WF6-Verhältnis von 0,5 : 1,0 abzuscheiden, da man hierdurch ein hochschmelzendes Metall mit einem niedrigeren spezifischen Widerstand erhält; erhöht man jedoch das SiH4/WF6-Verhältnis zur oberen Oberfläche des Dielektrikums hin auf 2,0 : 1,0, erhält man infolge eines stärkeren Siliciumeinbaus eine höhere Abriebbeständigkeit. Das nach dem oben beschriebenen CVD-Verfahren erzeugte siliciumdotierte Wolfram kann. man als abriebbeständige Schicht oder als Polierstoppschicht nutzen (diese Schicht ist zum Beispiel beständiger gegenüber einer beim chemisch-mechanischen Polieren verwendeten Aufschlämmung von Aluminiumoxid in Eisen(III)-nitrat). Da die durch Aufdampfen aufgebrachte Metallisierung 16 die Seitenwände der Öffnung 14 nicht bedeckt, erzeugt das durch CVD aufgebrachte hochschmelzende Metall 17 um die Metallisierung 16 herum sich verjüngende Seitenwände und die Metallisierung 16 wird durch das hochschmelzende Metall 17 und das darunter liegende hochschmelzende Metall 15 vollständig eingekapselt.
  • Die 2D und 2E zeigen, dass die nach der CVD-Abscheidung des hochschmelzenden Metalls 17 erhaltene Struktur planarisiert wird, um eine Struktur zu erhalten, bei der eine zweiteilige leitende Durchkontaktierung oder Leiterbahn mit einer mittleren weichen Metallisierung 16 niedrigen spezifischen Widerstands, die in ein hartes und abriebbeständiges hochschmelzendes Metall 17 eingekapselt ist, eine obere Fläche aufweist, die mit der oberen Fläche des dielektrischen Komposits auf dem Substrat 10 bündig abschließt. Die Planarisierung kann in einem oder in zwei Schritten durch chemisch-mechanisches Polieren mit einer Aluminiumoxid-Aufschlämmung in verdünntem Eisen(III)-nitrat oder durch reaktives Ionenätzen in Gegenwart von SF6 oder Cl2 erfolgen. Wenn chemisch-mechanisches Polieren angewendet wird, kann man die Poliersuspensionen so wählen, dass sie verschiedene Metallschichten des Schichtstapels entfernen. Zum Beispiel kann Ti/TiN/W auf Kupfer mittels der Aluminiumoxid-Suspension in verdünntem Eisen(III)-nitrat und anschließend das verbliebene Kupfer mittels einer aluminiumoxidfreien Suspension entfernt werden. Es sind auch Kombinationen aus Abtragung der hochschmelzenden Metallschicht 17 mittels reaktivem Ionenätzens und anschließendem chemisch-mechanischen Polieren der verbliebenen Metallisierung 16 und des verbliebenen hochschmelzenden Metalls 17 auf der organischen oder anorganischen Schicht 13 denkbar. Bei einem bestimmten denkbaren Planarisierungsverfahren wird das hochschmelzende Metall Wolfram 17 entweder durch chemisch-mechanisches Polieren oder durch reaktives Ionenätzen mit SF6 oder Cl2 bis zu einer in 2D gezeigten Fläche der Metallisierung 16 aus Al-Cu-Legierung abgetragen, anschließend unter Nutzung des Wolframs als Maske die Al-Cu-CVD-Schicht bis zur Fläche der anorganischen Schicht 13 abgeätzt und abschließend das auf der Fläche des Dielektrikums verbleibende Wolfram 17 entweder abpoliert, nass oder mittels reaktiven Ionenätzens in Cl2 abgeätzt, sodass man die in 2E gezeigte Struktur erhält.
  • Die 4AE zeigen eine weitere Variante der Erfindung, bei der Elemente, die den oben genannten entsprechen, in allen Figuren durch dieselben Bezugsnummern gekennzeichnet sind. 4A zeigt ein Substrat 10, auf dem ein anorganisches oder organisches Dielektrikum 15 mit einer darin erzeugten Öffnung 14 gebildet ist, in welcher eine Kupfermetallisierung 16 abgeschieden wurde. Der wesentliche Unterschied zwischen den in 4A und in 2B gezeigten Strukturen besteht darin, dass vor der Abscheidung der Kupfermetallisierung durch kollimiertes Sputtern eine dünne Schicht eines hochschmelzenden Metalls 24 wie zum Beispiel Titan, Titannitrid (TiN), Wolfram, Tantal oder deren Legierungen und Verbindungen auf der Oberfläche des anorganischen oder organischen Dielektrikums 15 und im Innern der Öffnung 14 abgeschieden wurde.
  • Das kollimierte Sputtern wird, wie oben erwähnt, in der US-Patentschrift 4,824,544 von Rossnagel et al., in der ein Lift-off-Verfahren erörtert wird, allgemein beschrieben. Bei der vorliegenden Erfindung hat sich gezeigt, dass sich durch Verwendung des kollimierten Sputterns bei höheren Drücken – bei denen die Streuung überwiegt, im Gegensatz zu niedrigeren Drücken mit überwiegend gerichteter Abscheidung – konturgetreue Beschichtungen von Gräben oder Durchkontaktierungen mit hohem Aspektverhältnis im Submikrometerbereich erhalten lassen (z. B. werden sowohl die Seitenwände als auch die Bodenfläche beschichtet). Unter dem Aspektverhältnis ist allgemein das Verhältnis der Höhe eines Grabens oder eines Durchgangslochs zur Breite eines Grabens bzw. zum Durchmesser eines Durchgangslochs zu verstehen. Bei Gräben und Durchgangslöchern mit Aspektverhältnissen größer als zwei spricht man im Allgemeinen von einem hohen Aspektverhältnis. Beim kollimierten Sputtern überwiegt bei Drücken oberhalb 1 mTorr (vorzugsweise etwa 3 mTorr) die Streuung und unterhalb 1 mTorr die gerichtete Abscheidung. Das kollimierte Sputtern wird in Verbindung mit den 5AE und 6 ausführlicher beschrieben.
  • Das vollständige Beschichten der Bodenfläche und der Seitenwände der Öffnung 14 mit einem hochschmelzenden Metall 24 gemäß 4A ist von besonderer Bedeutung, wenn eine Metallisierung 16 auf Kupferlegierungsbasis verwendet wird, da Kupfer während der anschließenden Hochtemperaturbehandlung in das Substrat 10 diffundiert und so das Bauelement verdirbt, wenn keine Diffusionsbarriere vorhanden ist. Die Kupfermetallisierung 16 kann durch PVD entweder mittels Aufdampfen oder kollimiertes Sputtern oder durch andere Verfahren abgeschieden werden. Wenn Löcher mit hohem Aspektverhältnis im Submikrometerbereich ausgefüllt werden sollen, haben sich CVD-Verfahren nach dem Stand der Technik zum Ausfüllen der Löcher mit Legierungen auf Basis von Aluminium und Kupfer als unbrauchbar erwiesen; aus diesem Grund sind zum Ausfüllen PVD-Verfahren vorzuziehen.
  • Nach dem Abscheiden der Metallisierung 16 wird als Haftvermittler auf die Fläche der Kupfermetallisierung 16 eine dünne Schicht 26 aus Titan, Ti/TiN, Tantal oder Chrom aufgebracht. Dann wird ein hochschmelzendes Metall wie Wolfram mittels CVD durch Reduktion von WF6 mit SiH4 oder H2 abgeschieden, um die in 4B gezeigte Struktur zu erzeugen. Das Variieren des SiH4/WF6-Verhältnisses im Verlauf der CVD kann man wie oben erläutert vorteilhaft zur Herstellung einer härteren, abriebbeständigeren Wolframschicht mit eingebautem Silicium in der Nähe der oberen Fläche des Dielektrikums 15 nutzen. Die dünne Grenzflächenschicht 26 ist dabei so zu wählen, dass die darunter liegende Kupfermetallisierung 16 nicht durch sie angegriffen wird.
  • 4C zeigt, wie zuerst Wolfram durch Polieren oder mittels RIE planarisiert wird, und 4D zeigt, wie Kupfer durch Nassätzen selektiv abgetragen wird. Wenn Leiterbahnen aus Kupfer oder Kupferlegierungen erzeugt werden, ist zum Planarisieren des Kupfers die Verwendung einer Nassätzlösung auf der Basis von Wasserstoffperoxid (H2O2) und Wasserstofftetroxid (H2O4) von Vorteil. Bei Raumtemperatur wird durch eine solche Lösung das gesamte auf dem Dielektrikum befindliche Kupfer, nicht aber das Wolfram oder das Dielektrikum abgeätzt, da das Kupfer nicht vor der Nassätzlösung geschützt ist (H2O2 z. B. hat bei Raumtemperatur nahezu unbegrenzte Selektivität). 4E zeigt, wie das Wolfram 17 nach dem Nassätzen selektiv durch reaktives Ionenätzen, Polieren oder andere Verfahren abgetragen werden kann. Ein bevorzugtes Verfahren zum Entfernen des hochschmelzenden Wolframs 17, das über die Oberfläche des Dielektrikums 15 hinausragt, ist das chemisch-mechanische Polieren mit einer Aluminiumoxid-Suspension in Eisen(III)-nitrat oder Ähnlichem. Da Wolfram ein relativ hartes Material ist, wird es beim chemisch-mechanischen Polieren weder zerkratzt noch korrodiert. Im Gegensatz zu dem oben erörterten dreistufigen Ansatz kann man einem einstufigen chemisch-mechanischen Polieren den Vorzug geben, um das hochschmelzende Wolfram 17, die dünne Haftvermittlerschicht 26 und die auf der Oberfläche des Isolators 15 befindliche Kupfermetallisierung 16 zu entfernen.
  • Die 5A bis 5E zeigen noch eine weitere Variante der Erfindung, bei der gleiche Bezugsnummern gleiche Elemente bezeichnen. 5A zeigt eine Vorbeschichtung 28 aus hochschmelzendem Metall, die vor der Metallisierung komplett oder teilweise konturgetreu in der Öffnung 14 abgeschieden wurde, indem ein kollimiertes Sputtern wie das in J. Vac. Sci. Technol. 2: 261 (März/April 1991) von Rossnagel et al. und in der US-Patentschrift 4,824,544 von Rossnagel et al. beschriebene Verfahren angewendet wird, welches durch Bezugnahme in das vorliegende Patent einbezogen ist. Beim kollimierten Sputtern treten die Atome des hochschmelzenden Metalls bei einem Druck über 0,5 mTorr durch eine wabenähnliche Struktur mit einem Aspektverhältnis von Tiefe zu Querschnitt größer als eins. Tabelle 1 zeigt die bei der Abscheidung der Vorbeschichtung angewendeten Bedingungen.
  • TABELLE 1 ABSCHEIDUNG DER VORBESCHICHTUNG DURCH KOLLIMATION
    Figure 00190001
  • Bei den in Tabelle 1 dargestellten Untersuchungen wurden der Druck zwischen 0,5 mTorr und 15 mTorr und die elektrische Leistung zwischen 0,5 kW und 12 kW variiert. Tabelle 1 zeigt deutlich die schlechte Stufenüberdeckung, wenn keine Kollimation eingesetzt wird. Bei höheren Drücken (z. B. 3 mTorr) und einem Kollimator mit Aspektverhältnissen von mindestens 1 : 1 wurden die Durchgangslöcher oder Kontakte mit Aspektverhältnissen von über 7 bis 8 mit Doppelschichten aus Ti/TiN oder Ti/W beschichtet, was zu einer Stufenüberdeckung von mehr als 40% an der Bodenfläche und mehr als 30% an der Seitenwand führt. Dies stellt einen entscheidenden Fortschritt gegenüber dem Stand der Technik dar, da Halbleiterhersteller hierdurch in der Lage sind, im Innern eines Grabens oder eines Durchgangslochs mit hohem Aspektverhältnis eine konturgetreue Schicht aufzubringen, die als Haftvermittler für das CVD-Wolfram dient. Darüber hinaus wird, wie im Folgenden ausführlicher erörtert, durch eine konturgetreue Schicht aus TiN oder anderen geeigneten Materialien eine wirksame Diffusionsbarriere für auf Kupfer basierende Legierungen bereitgestellt. Um die Seitenwände und die Bodenfläche eines Grabens oder eines Durchgangslochs gründlich zu beschichten, sollten wie oben beschrieben Drücke angewendet werden, bei denen die gestreute Abscheidung überwiegt (z. B. größer als 1 mTorr), anstelle von niedrigeren Drücken, bei denen die gerichtete Abscheidung überwiegt.
  • 6 zeigt eine rasterelektronenmikroskopische Aufnahme einer Haftvermittlerschicht aus hochschmelzendem Metall in einem Durchgangsloch, die wie oben beschrieben durch kollimiertes Sputtern erzeugt wurde. 6 zeigt, dass man eine vollständige Bedeckung der Bodenfläche und der Seitenwände des Durchgangsloch erreichen kann. Zur In-situ-Abscheidung von TiN wurde ein N2-Plasma mit Argon in Gegenwart eines Titantargets verwendet. Der Haftvermittler kann die Haftung verbessern und das darunter liegende Substrat vor Angriffen durch das CVD-Wolfram schützen. Die Konturentreue eines Haftvermittlers nimmt in dem Maße zu, wie das Aspektverhältnis des Kollimators zunimmt.
  • Wenn in der Struktur Kupferleiterbahnen oder -durchkontaktierungen verwendet werden sollen, wird ein widerstandsfähiger Haftvermittler benötigt, der als Diffusionsbarriere dienen kann. Durch Kollimation eines hochschmelzenden Haftvermittlers (z. B. Ta, Ti/TiN oder Ti/W usw.) bei niedrigen Drücken entsteht an der Seitenwand der Öffnung 14 im Dielektrikum eine poröse Struktur. Um die Bildung dieser porösen Struktur zu verhindern und an der Seitenwand eine dichte Struktur zu erzeugen, wurde ein zweistufiger Kollimationsprozess verwendet. Insbesondere wurde im ersten Schritt eine dünne Haftvermittlerschicht bei einem Druck unterhalb 0,8 mTorr abgeschieden, um eine Bedeckung der Bodenfläche von mehr als 60% zu erreichen; dann wurde im zweiten Schritt in situ der Druck auf 3 bis 4 mTorr erhöht, um unter Verwendung desselben Kollimators an der Seitenwand eine dichte Mikrostruktur zu erzeugen. Vor der vorliegenden Erfindung verfügte man über keinerlei Mittel zur Bildung einer Haftvermittlerschicht in Löchern mit großem Aspektverhältnis im Submikrometerbereich, insbesondere bei niedrigen Temperaturen. Die Ergebnisse für Doppelschicht-Haftvermittler aus Ti/W und Ti/TiN, die als Diffusionsbarriere für hochschmelzendes CVD-Metall 17 oder weiches Metall mit niedrigem spezifischen Widerstand verwendet wurden, waren ähnlich.
  • Die 5B5E zeigen ähnliche Schritte wie die 2B2E und die 4A4E, werden jedoch als andere Variante der Erfindung ausgeführt. 5B zeigt ebenso wie 4B eine Haftvermittlerschicht 26 wie zum Beispiel Ti, Cr, Ta, Ti/W oder Ähnliches, die durch PVD-Verdampfung auf der Vorbeschichtung aus hochschmelzendem Metall und auf der Bodenfläche der Öffnung 14 abgeschieden wird. Ebenso wie 2B zeigt 5B eine Al-Cu-Legierung oder eine andere Metallisierung 16, die bis zu einer Höhe von 100 bis 400 nm unterhalb der Oberfläche des Dielektrikums durch ein PVD-Verdampfungsverfahren abgeschieden wird. Die 5C5E zeigen jeweils die Abscheidung einer konturgetreuen Schicht aus Wolfram oder einem anderen hochschmelzenden Material zum Abdecken der Metallisierung 16 mit niedrigem spezifischen Widerstand, die Planarisierung des Wolframs durch reaktives Ionenätzen, Polieren oder ähnliche Verfahren sowie die Planarisierung der Struktur durch das zweistufige Nassätzen der Aluminium-Kupfer-Legierung mittels H2O2 mit anschließendem chemisch-mechanischen Polieren des Wolframs entsprechend den 4C und 4D oder durch einfaches Planarisieren mittels reaktiven Ionenätzens oder chemisch-mechanischen Polierens in einem Schritt. Zum einstufigen chemisch-mechanischen Polieren kann eine Aufschlämmung ähnlich der zum Polieren des Wolframs verwendeten eingesetzt werden. Bei der in 5E gezeigten Struktur wird ebenso wie bei der in 2E gezeigten Struktur eine Metallisierung 16 mit niedrigem spezifischen Widerstand durch ein hochschmelzendes CVD-Metall 17 eingekapselt, wobei es eine Zone eines sich verjüngenden hochschmelzenden Metalls 17 gibt.
  • Die 7A und 7B zeigen ein Beispiel, bei welchem gleiche Elemente durch gleiche Bezugsnummern bezeichnet werden. 7A zeigt, dass in der Öffnung in dem organischen oder anorganischen Dielektrikum 15 auf dem Substrat 10 durch kollimierte PVD-Sputterverfahren sowohl eine konturgetreue Vorbeschichtung/Diffusionsbarriere 28, vorzugsweise aus hochschmelzendem Metall, als auch eine konturgetreue Legierungs- oder Metallisierungsschicht 16 mit niedrigem spezifischen Widerstand aus AlxCuy oder einem anderen geeigneten Material abgeschieden werden. Anschließend wird mittels CVD eine flächendeckende Beschichtung 17 aus einem hochschmelzendem Metall wie Wolfram, Titan, Tantal oder Ähnlichem zum Abdecken der Struktur abgeschieden. 7B zeigt, dass die Struktur dann mittels RIE, chemisch-mechanischen Polierens oder anderer Verfahren planarisiert wird. Beim Vergleich der Struktur von 7B mit der Struktur von 5E wird ersichtlich, dass sie sich bezüglich der Form der Durchkontaktierung oder der Leiterbahn deutlich voneinander unterscheiden. Obwohl beide Strukturen ein durch ein hochschmelzendes Metall 17 abgedecktes Metall 16 mit niedrigem spezifischen Widerstand enthalten, sind die beiden Metallisierungen für unterschiedliche Umgebungen vorgesehen.
  • Die mittels der oben erörterten Verfahren hergestellten und durch hochschmelzendes Metall abgedeckten Leiterbahnen wurden experimentellen Tests unterzogen. Bei diesen Experimenten variierten die Leiterlängen zwischen 13,5 cm und 50 cm auf einer Chip-Fläche von etwa 1,6 mm2. Die Leiterbreiten variierten zwischen 1 μm und 2 μm. Das Aspektverhältnis betrug bei den ausgefüllten Löchern zwischen 2 und 8 und bei den Leiterbahnen zwischen 2 und 4.
  • TABELLE 2 WIDERSTAND DURCH WOLFRAM ÜBERDECKTER METALLLEITER MIT NIEDRIGEM SPEZIFISCHEN WIDERSTAND NACH DEM POLIERENa (A) DURCH VERDAMPFUNG HERGESTELLTb
    Figure 00230001
  • (B) DURCH KOLLIMIERTES SPUTTERN ERZEUGTc
    Figure 00230002
  • Figure 00240001
  • (C) DURCH SPUTTERN OHNE KOLLIMATION HERGESTELLTd
    Figure 00240002
  • Die Ergebnisse in Tabelle 2 zeigen, dass die Prozessausbeute des neuen Verfahrens sehr gut ist und sich der Widerstand der Leiterbahnen durch das Vorhandensein der Wolframabdeckung nicht wesentlich ändert. Einige der obigen Daten zeigen, dass der Widerstand zunimmt, wenn das Titan direkt unter der Al-Cu-Legierung vorliegt. Das wird durch die Bildung von TiAl3 an der Grenzfläche verursacht. Es zeigte sich, dass durch das Einbringen einer Titanlegierung oder -verbindung (z. B. TiN) zwischen dem Titan und der Al-Cu-Schicht die Bildung von TiAl3 verhindert wird und dadurch der Widerstand niedriger bleibt. Der letzte Wert in Tabelle 2 zeigt, dass beim Sputtern ohne Kollimation bei niedrigeren Drücken (z. B. unter 1 mTorr) mit überwiegend gerichteter Abscheidung gearbeitet werden sollte.
  • Es wird erwartet, dass es von Vorteil ist, vor dem chemisch-mechanischen Polieren die hochschmelzende Metallabdeckung einem RIE- oder Nassätzprozess unter Verwendung von H2O2 oder H2O4 zu unterziehen, wenn man die Dicke der Deckschicht bis zum absoluten Minimalwert verringern will. Eine dicke Schicht aus hochschmelzendem Metall würde den kapazitiven Widerstand erhöhen, was nicht wünschenswert ist. Wenn man nach dem Polierprozess einen Nassätz- oder RIE-Schritt (z. B. Ätzen mit SF6) einkalkuliert, ist der Chipentwickler in der Lage, dicke Schichten aus hochschmelzendem Metall einzusetzen, um die darunter befindliche Al-Cu-Leiterbahn oder das Durchgangsloch mit niedrigem spezifischen Widerstand während des chemisch-mechanischen Polierens möglichst gut zu schützen, und dennoch anschließend den gesamten Überschuss an hochschmelzendem Metall zu entfernen, um eine Struktur zu erhalten, auf der sich nur sehr wenig hochschmelzendes Metall befindet. Zum Beispiel kann man zum Schutz vor Beschädigungen durch Polierprozesse eine 500–600 nm dicke Schicht aus hochschmelzendem Metall aufbringen und diese später durch Nassätzen oder reaktives Ionenätzen bis auf eine Dicke von 50 nm verringern.
  • 8 zeigt ein Beispiel eines Mehrschicht-Halbleiterbauelements, auf dessen oberster Fläche sich durch Wolfram abgedeckte AlxCuy-Legierungs-Leiterbahnen befinden, die mit der obersten Isolatorschicht bündig abschließen. Die mit CVD-Wolfram versehenen Durchgangslöcher oder Gräben enthalten, wie oben ausführlich erörtert, zur Verbesserung der Haftung eine durch kollimiertes Sputtern gebildete TiN-Vorbeschichtung. Durch die Anwendung der vorliegenden Erfindung können noch viele andere Halbleiterbauelemente hergestellt werden.
  • Die 9A und 9B sind rasterelektronenmikroskopische Aufnahmen eines Querschnitts eines Halbleiterbauelements. 9A zeigt einzelne aus einer Siliciumoberfläche herausragende SiO2-Bereiche, wobei sich zwischen den SiO2-Bereichen und auf dem SiO2 eine Al-Cu-Legierung befindet. Zwischen den Bereichen der Al-Cu-Legierung und auf dem Al-Cu befindet sich eine CVD-Wolframschicht. 9A zeigt eine Struktur mit abgedeckten Leiterbahnen vor dem Polieren. 9B zeigt eine rasterelektronenmikroskopische Aufnahme des Querschnitts einer Mehrschichtstruktur nach dem Entfernen des Wolframs und der Al-Cu-Legierung von der obersten Fläche der herausragenden SiO2-Bereiche durch chemisch-mechanisches Polieren.

Claims (20)

  1. Bauelement, welches Folgendes umfasst: ein Substrat (10); mindestens eine auf dem Substrat angeordnete dielektrische Schicht (11, 12, 13); eine in einer Öffnung (14) in der mindestens einen dielektrischen Schicht angeordnete Metallisierung (16), die sich vom Substrat aus nach oben erstreckt; wobei die Metallisierung eine zur Oberfläche der mindestens einen dielektrischen Schicht im Wesentlichen koplanare obere Fläche aufweist; wobei die Metallisierung aus einem Metall oder einer Legierung besteht und durch mindestens ein hochschmelzendes Metall oder eine hochschmelzende Legierung (17) eingekapselt ist, wobei das Metall oder die Legierung einen im Vergleich zum hochschmelzenden Metall oder zur hochschmelzenden Legierung niedrigen spezifischen Widerstand besitzt; wobei das Metall oder die Legierung mit niedrigem spezifischen Widerstand Seitenwände aufweist, die sich von der Oberfläche des Substrats zur oberen Fläche hin verjüngen, dadurch gekennzeichnet, dass das mindestens eine hochschmelzende Metall oder die hochschmelzende Legierung (17) eine Legierung umfasst, die Silicium einer definierten und abgestuften Zusammensetzung enthält und deren Gehalt an eingebautem Silicium an einer Stelle in der Nähe der Oberfläche der Metallisierung höher ist als an einer näher am Substrat gelegenen Stelle.
  2. Bauelement nach Anspruch 1, bei dem das mindestens eine hochschmelzende Metall oder die hochschmelzende Legierung aus der aus Titan, Wolfram, Tantal, Chrom sowie deren Oxide, Nitride und Silicide enthaltenden Legierungen bestehenden Gruppe ausgewählt wird.
  3. Bauelement nach Anspruch 1 oder 2, bei dem das Metall oder die Legierung mit niedrigem spezifischen Widerstand eine binäre oder ternäre Legierung aus Aluminium oder Kupfer ist.
  4. Bauelement nach Anspruch 3, bei dem das Metall mit niedrigem spezifischen Widerstand eine Legierung aus Aluminium und Kupfer der Formel AlxCuy ist, wobei die Summe von x und y gleich eins und sowohl x als auch y größer als oder gleich null sind.
  5. Bauelement nach Anspruch 1, bei dem die mindestens eine dielektrische Schicht (11, 12, 13) mindestens eine anorganische Schicht (11, 13) oder mindestens eine organische Schicht (12) oder sowohl anorganische (11, 13) als auch organische (12) Schichten enthält.
  6. Bauelement nach einem der vorangehenden Ansprüche, bei dem das Substrat ein Halbleiter ist.
  7. Bauelement nach einem der vorangehenden Ansprüche, bei dem sich die Metallisierung vollständig durch die mindestens eine dielektrische Schicht erstreckt und Kontakt mit dem Substrat hat.
  8. Verfahren zum Herstellen von Metallisierungsbahnen und Durchgangslöchern auf einem Substrat, wobei das Verfahren die folgenden Schritte umfasst: Erzeugen einer Öffnung in einem auf einem Substrat angeordneten Dielektrikum, wobei die Öffnung eine Ober- und eine Unterseite hat; Abscheiden eines ersten hochschmelzenden Metalls oder einer hochschmelzenden Legierung oder Verbindung auf einer oberen Fläche des Dielektrikums und auf einer unteren Fläche der Öffnung; Abscheiden eines Metalls oder einer Legierung mit niedrigem spezifischen Widerstand auf dem hochschmelzenden Metall auf der oberen Fläche des Dielektrikums und auf der unteren Fläche der Öffnung bis zu einem Punkt unterhalb der oberen Seite der Öffnung; Abscheiden eines zweiten hochschmelzenden Metalls oder einer hochschmelzenden Legierung auf dem Metall oder der Legierung mit niedrigem spezifischen Widerstand, welche(s) sich auf dem hochschmelzenden Metall auf der oberen Fläche des Dielektrikums und auf der unteren Fläche der Öffnung befindet; und Entfernen des ersten hochschmelzenden Metalls oder der hochschmelzenden Legierung oder Verbindung, des Metalls oder der Legierung mit niedrigem spezifischen Widerstand und des zweiten hochschmelzenden Metalls oder der hochschmelzenden Legierung von allen Punkten oberhalb der oberen Fläche des Dielektrikums, wodurch eine ebene Struktur erzeugt wird, die eine Metallisierungsstelle mit einem Metall oder einer Legierung mit niedrigem spezifischen Widerstand einschließt, welche(s) durch ein hochschmelzendes Metall oder eine hochschmelzende Legierung eingekapselt ist, welche(s) wiederum koplanar zum Dielektrikum ist; dadurch gekennzeichnet, dass der Schritt des Abscheidens des zweiten hochschmelzenden Metalls oder der hochschmelzenden Legierung so gestaltet ist, dass eine Legierung entsteht, welche Silicium mit einer definierten und abgestuften Zusammensetzung enthält, wobei diese Legierung in der Nähe der Oberfläche der Metallisierung eine größere Menge an eingebautem Silicium enthält als an einer näher am Substrat gelegenen Stelle.
  9. Verfahren nach Anspruch 8, bei dem der Schritt des Abscheidens des ersten hochschmelzenden Metalls oder der hochschmelzenden Legierung oder Verbindung durch kollimiertes Sputtern erfolgt und eine Vorbeschichtung erzeugt wird, welche sich an alle Innenseiten der Öffnung und an die obere Fläche des Dielektrikums anpasst.
  10. Verfahren nach Anspruch 9, bei dem das kollimierte Sputtern in folgenden Schritten durchgeführt wird: Sputtern einer ersten dünnen Schicht des hochschmelzenden Metalls oder der hochschmelzenden Legierung oder Verbindung durch einen Kollimator in die Öffnung bei einem ersten Druck, bei welchem eine gerichtete Abscheidung überwiegt; und Sputtern einer zweiten dünnen Schicht des hochschmelzenden Metalls oder der hochschmelzenden Legierung oder Verbindung durch einen Kollimator in die Öffnung auf die erste dünne Schicht bei einem zweiten Druck, bei welchem eine gestreute Abscheidung überwiegt.
  11. Verfahren nach Anspruch 10, bei dem der erste Druck beim ersten Sputterschritt unterhalb 1 mTorr und der zweite Druck beim zweiten Sputterschritt oberhalb 1 mTorr liegt.
  12. Verfahren nach Anspruch 8, bei dem der Schritt des Abscheidens des Metalls oder der Legierung mit niedrigem spezifischen Widerstand durch physikalische Dampfabscheidung und der Schritt des Abscheidens des zweiten hochschmelzenden Metalls oder der hochschmelzenden Legierung durch chemische Dampfabscheidung erfolgt.
  13. Verfahren nach Anspruch 12, bei dem die physikalische Dampfabscheidung durch Aufdampfen erfolgt, wobei das Metall oder die Legierung mit niedrigem spezifischen Widerstand in der Öffnung sich verjüngende Seitenwände hat und das zweite hochschmelzende Metall oder die hochschmelzende Legierung die Bereiche zwischen der Vorbeschichtung und dem Metall oder der Legierung mit niedrigem spezifischen Widerstand ausfüllt, oder durch kollimiertes Sputtern, wobei das Metall oder die Legierung mit niedrigem spezifischen Widerstand ein erstes Volumen der Öffnung und das hochschmelzende Metall ein zweites Volumen der Öffnung ausfüllt, um so eine ebene Struktur zu erzeugen.
  14. Verfahren nach Anspruch 8, bei dem der Schritt des Abscheidens des ersten hochschmelzenden Metalls oder der hochschmelzenden Legierung durch Aufdampfen erfolgt, wobei das hochschmelzende Metall nicht die Seitenwände der Öffnung, sondern nur die Bodenfläche der Öffnung beschichtet.
  15. Verfahren nach Anspruch 12, bei dem der Schritt des Abscheidens des zweiten hochschmelzenden Metalls durch chemische Dampfabscheidung von Wolfram mittels Reduktion von WF6 durch SiH4 erfolgt.
  16. Verfahren nach Anspruch 15, bei dem sich an die Reduktion von WF6 durch SiH4 die Reduktion von WF6 durch H2 anschließt.
  17. Verfahren nach Anspruch 8, welches ferner den Schritt des Abscheidens einer Haftvermittlerschicht nach dem Schritt des Abscheidens des ersten hochschmelzenden Metalls oder der hochschmelzenden Legierung oder Verbindung und vor dem Schritt des Abscheidens des Metalls oder der Legierung mit niedrigem spezifischen Widerstand umfasst.
  18. Verfahren nach Anspruch 8, bei dem der Schritt des Entfernens des ersten hochschmelzenden Metalls oder der hochschmelzenden Legierung oder Verbindung, des Metalls oder der Legierung mit niedrigem spezifischen Widerstand und des zweiten hochschmelzenden Metalls oder der hochschmelzenden Legierung den Schritt des reaktiven Ionenätzens und/oder des chemisch-mechanischen Polierens des Materials oberhalb einer oberen Fläche des Dielektrikums beinhaltet.
  19. Verfahren nach Anspruch 18, bei dem der Schritt des Entfernens des ersten hochschmelzenden Metalls oder der hochschmelzenden Legierung oder Verbindung, des Metalls oder der Legierung mit niedrigem spezifischen Widerstand und des zweiten hochschmelzenden Metalls oder der hochschmelzenden Legierung den Schritt des Nassätzens mit Wasserstoffperoxid oder Wasserstofftetroxid beinhaltet.
  20. Verfahren nach Anspruch 19, welches ferner den Schritt des Entfernens von überschüssigem hochschmelzendem Metall oder überschüssiger hochschmelzender Legierung von dem Metall oder der Legierung mit niedrigem spezifischen Widerstand nach dem Schritt des reaktiven Ionenätzens umfasst.
DE69333604T 1992-02-26 1993-02-01 Durch PVD und DVD gebildete, mit hochschmelzendem Metall abgedeckte Metallleiterbahnen und Durchgangslöcher mit niedrigem spezifischen Widerstand Expired - Lifetime DE69333604T2 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US07/841,967 US5300813A (en) 1992-02-26 1992-02-26 Refractory metal capped low resistivity metal conductor lines and vias
US841967 1992-02-26

Publications (2)

Publication Number Publication Date
DE69333604D1 DE69333604D1 (de) 2004-09-30
DE69333604T2 true DE69333604T2 (de) 2005-09-15

Family

ID=25286205

Family Applications (3)

Application Number Title Priority Date Filing Date
DE69329663T Expired - Lifetime DE69329663T2 (de) 1992-02-26 1993-02-01 Verfahren zur Herstellung einer konformen Feuerfestmetallschicht in einer submikronischen Öffnung
DE69333604T Expired - Lifetime DE69333604T2 (de) 1992-02-26 1993-02-01 Durch PVD und DVD gebildete, mit hochschmelzendem Metall abgedeckte Metallleiterbahnen und Durchgangslöcher mit niedrigem spezifischen Widerstand
DE69332917T Expired - Lifetime DE69332917T2 (de) 1992-02-26 1993-02-01 Mit feuerfestem Metall aus PVD und CVD bedeckte Metallleiterbahnen und Durchgangsleitungen niedrigen Widerstandes

Family Applications Before (1)

Application Number Title Priority Date Filing Date
DE69329663T Expired - Lifetime DE69329663T2 (de) 1992-02-26 1993-02-01 Verfahren zur Herstellung einer konformen Feuerfestmetallschicht in einer submikronischen Öffnung

Family Applications After (1)

Application Number Title Priority Date Filing Date
DE69332917T Expired - Lifetime DE69332917T2 (de) 1992-02-26 1993-02-01 Mit feuerfestem Metall aus PVD und CVD bedeckte Metallleiterbahnen und Durchgangsleitungen niedrigen Widerstandes

Country Status (8)

Country Link
US (8) US5300813A (de)
EP (3) EP0966037B1 (de)
JP (1) JP2516307B2 (de)
KR (4) KR0128264B1 (de)
CN (5) CN1044649C (de)
DE (3) DE69329663T2 (de)
SG (8) SG70046A1 (de)
TW (1) TW291576B (de)

Families Citing this family (328)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5300813A (en) * 1992-02-26 1994-04-05 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
DE69327600T2 (de) * 1992-02-28 2000-06-21 St Microelectronics Inc Herstellungsverfahren von Submikronkontakten
US5739579A (en) * 1992-06-29 1998-04-14 Intel Corporation Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections
US5612254A (en) * 1992-06-29 1997-03-18 Intel Corporation Methods of forming an interconnect on a semiconductor substrate
US5561082A (en) * 1992-07-31 1996-10-01 Kabushiki Kaisha Toshiba Method for forming an electrode and/or wiring layer by reducing copper oxide or silver oxide
US5596172A (en) * 1993-05-07 1997-01-21 Motorola, Inc. Planar encapsulation process
US5412250A (en) * 1993-09-24 1995-05-02 Vlsi Technology, Inc. Barrier enhancement at the salicide layer
JP3297220B2 (ja) * 1993-10-29 2002-07-02 株式会社東芝 半導体装置の製造方法および半導体装置
JP2699839B2 (ja) * 1993-12-03 1998-01-19 日本電気株式会社 半導体装置の製造方法
KR0179677B1 (ko) * 1993-12-28 1999-04-15 사토 후미오 반도체장치 및 그 제조방법
US5430328A (en) * 1994-05-31 1995-07-04 United Microelectronics Corporation Process for self-align contact
EP0915501B1 (de) * 1994-08-05 2003-02-26 International Business Machines Corporation Verfahren zur Herstellung einer Damaszenstruktur mit einer WGe Polierstoppschicht
US5472913A (en) * 1994-08-05 1995-12-05 Texas Instruments Incorporated Method of fabricating porous dielectric material with a passivation layer for electronics applications
US5686356A (en) 1994-09-30 1997-11-11 Texas Instruments Incorporated Conductor reticulation for improved device planarity
KR0171069B1 (ko) * 1994-10-27 1999-03-30 문정환 반도체 장치의 접촉부 형성방법
US5602423A (en) * 1994-11-01 1997-02-11 Texas Instruments Incorporated Damascene conductors with embedded pillars
WO1996016436A1 (en) * 1994-11-18 1996-05-30 Advanced Micro Devices, Inc. Method of making a chemical-mechanical polishing slurry and the polishing slurry
US5580823A (en) * 1994-12-15 1996-12-03 Motorola, Inc. Process for fabricating a collimated metal layer and contact structure in a semiconductor device
US5550405A (en) * 1994-12-21 1996-08-27 Advanced Micro Devices, Incorporated Processing techniques for achieving production-worthy, low dielectric, low interconnect resistance and high performance ICS
EP0720228B1 (de) * 1994-12-29 2002-07-10 STMicroelectronics, Inc. Verfahren zur Herstellung einer Halbleiterverbindungsstruktur
DE69418206T2 (de) * 1994-12-30 1999-08-19 Cons Ric Microelettronica Verfahren zur Spannungsschwelleextraktierung und Schaltung nach dem Verfahren
US6285082B1 (en) 1995-01-03 2001-09-04 International Business Machines Corporation Soft metal conductor
US5920296A (en) * 1995-02-01 1999-07-06 Pixel International Flat screen having individually dipole-protected microdots
US5545592A (en) * 1995-02-24 1996-08-13 Advanced Micro Devices, Inc. Nitrogen treatment for metal-silicide contact
TW290731B (de) * 1995-03-30 1996-11-11 Siemens Ag
US6348708B1 (en) * 1995-04-10 2002-02-19 Lg Semicon Co., Ltd. Semiconductor device utilizing a rugged tungsten film
TW298674B (de) * 1995-07-07 1997-02-21 At & T Corp
US5747879A (en) * 1995-09-29 1998-05-05 Intel Corporation Interface between titanium and aluminum-alloy in metal stack for integrated circuit
JPH11511593A (ja) * 1995-09-29 1999-10-05 インテル・コーポレーション 専用チャンバによる2層のチタン薄層を有する集積回路用金属スタック
US5573633A (en) * 1995-11-14 1996-11-12 International Business Machines Corporation Method of chemically mechanically polishing an electronic component
KR0175410B1 (ko) * 1995-11-21 1999-02-01 김광호 액정 표시 장치용 박막 트랜지스터 기판 및 그 제조 방법
US6726776B1 (en) 1995-11-21 2004-04-27 Applied Materials, Inc. Low temperature integrated metallization process and apparatus
US6077781A (en) 1995-11-21 2000-06-20 Applied Materials, Inc. Single step process for blanket-selective CVD aluminum deposition
JPH09148431A (ja) * 1995-11-21 1997-06-06 Nec Corp 半導体装置の製造方法
US5877087A (en) 1995-11-21 1999-03-02 Applied Materials, Inc. Low temperature integrated metallization process and apparatus
US6066358A (en) * 1995-11-21 2000-05-23 Applied Materials, Inc. Blanket-selective chemical vapor deposition using an ultra-thin nucleation layer
US5776836A (en) * 1996-02-29 1998-07-07 Micron Technology, Inc. Self aligned method to define features smaller than the resolution limit of a photolithography system
US5950099A (en) * 1996-04-09 1999-09-07 Kabushiki Kaisha Toshiba Method of forming an interconnect
US5654234A (en) * 1996-04-29 1997-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming a void-free tungsten-plug contact in the presence of a contact opening overhang
US5756396A (en) * 1996-05-06 1998-05-26 Taiwan Semiconductor Manufacturing Company Ltd Method of making a multi-layer wiring structure having conductive sidewall etch stoppers and a stacked plug interconnect
US5993686A (en) * 1996-06-06 1999-11-30 Cabot Corporation Fluoride additive containing chemical mechanical polishing slurry and method for use of same
US6429120B1 (en) 2000-01-18 2002-08-06 Micron Technology, Inc. Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals
JPH1064902A (ja) * 1996-07-12 1998-03-06 Applied Materials Inc アルミニウム材料の成膜方法及び成膜装置
US6077768A (en) * 1996-07-19 2000-06-20 Motorola, Inc. Process for fabricating a multilevel interconnect
US5783485A (en) * 1996-07-19 1998-07-21 Motorola, Inc. Process for fabricating a metallized interconnect
US6001420A (en) 1996-09-23 1999-12-14 Applied Materials, Inc. Semi-selective chemical vapor deposition
US5965459A (en) * 1996-10-11 1999-10-12 International Business Machines Corporation Method for removing crevices induced by chemical-mechanical polishing
US6020263A (en) * 1996-10-31 2000-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method of recovering alignment marks after chemical mechanical polishing of tungsten
US5849367A (en) * 1996-12-11 1998-12-15 Texas Instruments Incorporated Elemental titanium-free liner and fabrication process for inter-metal connections
KR100339670B1 (ko) * 1996-12-12 2002-06-05 야마모토 카즈모토 반도체 장치의 제조 방법
US6110828A (en) * 1996-12-30 2000-08-29 Applied Materials, Inc. In-situ capped aluminum plug (CAP) process using selective CVD AL for integrated plug/interconnect metallization
US6537905B1 (en) 1996-12-30 2003-03-25 Applied Materials, Inc. Fully planarized dual damascene metallization using copper line interconnect and selective CVD aluminum plug
JPH10209279A (ja) * 1997-01-27 1998-08-07 Matsushita Electron Corp 金属プラグの形成方法
US6139697A (en) * 1997-01-31 2000-10-31 Applied Materials, Inc. Low temperature integrated via and trench fill process and apparatus
US6268661B1 (en) * 1999-08-31 2001-07-31 Nec Corporation Semiconductor device and method of its fabrication
US5916855A (en) * 1997-03-26 1999-06-29 Advanced Micro Devices, Inc. Chemical-mechanical polishing slurry formulation and method for tungsten and titanium thin films
JP3111924B2 (ja) * 1997-04-11 2000-11-27 日本電気株式会社 半導体装置の製造方法
US6080665A (en) * 1997-04-11 2000-06-27 Applied Materials, Inc. Integrated nitrogen-treated titanium layer to prevent interaction of titanium and aluminum
US6139905A (en) * 1997-04-11 2000-10-31 Applied Materials, Inc. Integrated CVD/PVD Al planarization using ultra-thin nucleation layers
US5981374A (en) * 1997-04-29 1999-11-09 International Business Machines Corporation Sub-half-micron multi-level interconnection structure and process thereof
US6849557B1 (en) * 1997-04-30 2005-02-01 Micron Technology, Inc. Undoped silicon dioxide as etch stop for selective etch of doped silicon dioxide
US6149974A (en) * 1997-05-05 2000-11-21 Applied Materials, Inc. Method for elimination of TEOS/ozone silicon oxide surface sensitivity
US6605197B1 (en) * 1997-05-13 2003-08-12 Applied Materials, Inc. Method of sputtering copper to fill trenches and vias
US6069068A (en) 1997-05-30 2000-05-30 International Business Machines Corporation Sub-quarter-micron copper interconnections with improved electromigration resistance and reduced defect sensitivity
US6130161A (en) * 1997-05-30 2000-10-10 International Business Machines Corporation Method of forming copper interconnections with enhanced electromigration resistance and reduced defect sensitivity
US5904565A (en) * 1997-07-17 1999-05-18 Sharp Microelectronics Technology, Inc. Low resistance contact between integrated circuit metal levels and method for same
US6240199B1 (en) 1997-07-24 2001-05-29 Agere Systems Guardian Corp. Electronic apparatus having improved scratch and mechanical resistance
US5989623A (en) * 1997-08-19 1999-11-23 Applied Materials, Inc. Dual damascene metallization
US6080655A (en) 1997-08-21 2000-06-27 Micron Technology, Inc. Method for fabricating conductive components in microelectronic devices and substrate structures thereof
US6096576A (en) 1997-09-02 2000-08-01 Silicon Light Machines Method of producing an electrical interface to an integrated circuit device having high density I/O count
US5994775A (en) * 1997-09-17 1999-11-30 Lsi Logic Corporation Metal-filled via/contact opening with thin barrier layers in integrated circuit structure for fast response, and process for making same
US5990011A (en) * 1997-09-18 1999-11-23 Micron Technology, Inc. Titanium aluminum alloy wetting layer for improved aluminum filling of damescene trenches
JP3545177B2 (ja) * 1997-09-18 2004-07-21 株式会社荏原製作所 多層埋め込みCu配線形成方法
SG70654A1 (en) * 1997-09-30 2000-02-22 Ibm Copper stud structure with refractory metal liner
US6133139A (en) * 1997-10-08 2000-10-17 International Business Machines Corporation Self-aligned composite insulator with sub-half-micron multilevel high density electrical interconnections and process thereof
US6060388A (en) * 1997-10-29 2000-05-09 International Business Machines Corporation Conductors for microelectronic circuits and method of manufacture
US7253109B2 (en) 1997-11-26 2007-08-07 Applied Materials, Inc. Method of depositing a tantalum nitride/tantalum diffusion barrier layer system
JP4947834B2 (ja) * 1997-11-26 2012-06-06 アプライド マテリアルズ インコーポレイテッド ダメージフリー被覆刻設堆積法
TW374946B (en) * 1997-12-03 1999-11-21 United Microelectronics Corp Definition of structure of dielectric layer patterns and the manufacturing method
US6129613A (en) * 1998-01-30 2000-10-10 Philips Electronics North America Corp. Semiconductor manufacturing apparatus and method for measuring in-situ pressure across a wafer
US6424040B1 (en) * 1998-02-04 2002-07-23 Texas Instruments Incorporated Integration of fluorinated dielectrics in multi-level metallizations
KR100275728B1 (ko) 1998-02-24 2001-01-15 윤종용 반도체장치의 장벽 금속막의 제조방법 및 이를 이용한 반도체장치의 금속배선막의 제조방법
US6287436B1 (en) 1998-02-27 2001-09-11 Innovent, Inc. Brazed honeycomb collimator
US6211073B1 (en) 1998-02-27 2001-04-03 Micron Technology, Inc. Methods for making copper and other metal interconnections in integrated circuits
US6281121B1 (en) * 1998-03-06 2001-08-28 Advanced Micro Devices, Inc. Damascene metal interconnects using highly directional deposition of barrier and/or seed layers including (III) filling metal
JP3116897B2 (ja) * 1998-03-18 2000-12-11 日本電気株式会社 微細配線形成方法
US6598291B2 (en) 1998-03-20 2003-07-29 Viasystems, Inc. Via connector and method of making same
US6303881B1 (en) 1998-03-20 2001-10-16 Viasystems, Inc. Via connector and method of making same
US6455937B1 (en) * 1998-03-20 2002-09-24 James A. Cunningham Arrangement and method for improved downward scaling of higher conductivity metal-based interconnects
US6140236A (en) * 1998-04-21 2000-10-31 Kabushiki Kaisha Toshiba High throughput A1-Cu thin film sputtering process on small contact via for manufacturable beol wiring
US6218306B1 (en) 1998-04-22 2001-04-17 Applied Materials, Inc. Method of chemical mechanical polishing a metal layer
US6111301A (en) * 1998-04-24 2000-08-29 International Business Machines Corporation Interconnection with integrated corrosion stop
US6022800A (en) * 1998-04-29 2000-02-08 Worldwide Semiconductor Manufacturing Corporation Method of forming barrier layer for tungsten plugs in interlayer dielectrics
US6015749A (en) * 1998-05-04 2000-01-18 Taiwan Semiconductor Manufacturing Company Method to improve adhesion between copper and titanium nitride, for copper interconnect structures, via the use of an ion implantation procedure
US6218288B1 (en) * 1998-05-11 2001-04-17 Micron Technology, Inc. Multiple step methods for forming conformal layers
US6127276A (en) * 1998-06-02 2000-10-03 United Microelectronics Corp Method of formation for a via opening
US6056869A (en) * 1998-06-04 2000-05-02 International Business Machines Corporation Wafer edge deplater for chemical mechanical polishing of substrates
US6153521A (en) * 1998-06-04 2000-11-28 Advanced Micro Devices, Inc. Metallized interconnection structure and method of making the same
JPH11354637A (ja) * 1998-06-11 1999-12-24 Oki Electric Ind Co Ltd 配線の接続構造及び配線の接続部の形成方法
US6211087B1 (en) * 1998-06-29 2001-04-03 Vlsi Technology, Inc. Chemical wet etch removal of underlayer material after performing chemical mechanical polishing on a primary layer
US6391771B1 (en) * 1998-07-23 2002-05-21 Applied Materials, Inc. Integrated circuit interconnect lines having sidewall layers
US6303986B1 (en) 1998-07-29 2001-10-16 Silicon Light Machines Method of and apparatus for sealing an hermetic lid to a semiconductor die
US6287977B1 (en) 1998-07-31 2001-09-11 Applied Materials, Inc. Method and apparatus for forming improved metal interconnects
US6284656B1 (en) 1998-08-04 2001-09-04 Micron Technology, Inc. Copper metallurgy in integrated circuits
US6060383A (en) * 1998-08-10 2000-05-09 Nogami; Takeshi Method for making multilayered coaxial interconnect structure
TW436366B (en) * 1998-08-21 2001-05-28 United Microelectronics Corp Method of fabricating a plug
US6048787A (en) * 1998-09-08 2000-04-11 Winbond Electronics Corp. Borderless contacts for dual-damascene interconnect process
US6288442B1 (en) 1998-09-10 2001-09-11 Micron Technology, Inc. Integrated circuit with oxidation-resistant polymeric layer
US6150269A (en) * 1998-09-11 2000-11-21 Chartered Semiconductor Manufacturing Company, Ltd. Copper interconnect patterning
US6180506B1 (en) 1998-09-14 2001-01-30 International Business Machines Corporation Upper redundant layer for damascene metallization
US6174803B1 (en) 1998-09-16 2001-01-16 Vsli Technology Integrated circuit device interconnection techniques
US6057230A (en) * 1998-09-17 2000-05-02 Taiwan Semiconductor Manufacturing Company, Ltd. Dry etching procedure and recipe for patterning of thin film copper layers
US6245668B1 (en) 1998-09-18 2001-06-12 International Business Machines Corporation Sputtered tungsten diffusion barrier for improved interconnect robustness
US6221775B1 (en) * 1998-09-24 2001-04-24 International Business Machines Corp. Combined chemical mechanical polishing and reactive ion etching process
JP3169907B2 (ja) * 1998-09-25 2001-05-28 日本電気株式会社 多層配線構造およびその製造方法
US6069082A (en) * 1998-10-13 2000-05-30 Chartered Semiconductor Manufacturing Ltd. Method to prevent dishing in damascene CMP process
US6149776A (en) * 1998-11-12 2000-11-21 Applied Materials, Inc. Copper sputtering target
US6909114B1 (en) 1998-11-17 2005-06-21 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device having LDD regions
US6184137B1 (en) 1998-11-25 2001-02-06 Applied Materials, Inc. Structure and method for improving low temperature copper reflow in semiconductor features
KR100493013B1 (ko) * 1998-11-30 2005-08-01 삼성전자주식회사 반도체소자의 금속 배선층 형성방법_
US6140240A (en) * 1999-01-07 2000-10-31 Vanguard International Semiconductor Corporation Method for eliminating CMP induced microscratches
US6114246A (en) * 1999-01-07 2000-09-05 Vlsi Technology, Inc. Method of using a polish stop film to control dishing during copper chemical mechanical polishing
US6358790B1 (en) 1999-01-13 2002-03-19 Agere Systems Guardian Corp. Method of making a capacitor
US6323537B1 (en) * 1999-01-13 2001-11-27 Agere Systems Guardian Corp. Capacitor for an integrated circuit
US20020127845A1 (en) * 1999-03-01 2002-09-12 Paul A. Farrar Conductive structures in integrated circuits
US6157081A (en) * 1999-03-10 2000-12-05 Advanced Micro Devices, Inc. High-reliability damascene interconnect formation for semiconductor fabrication
US6350690B1 (en) * 1999-04-09 2002-02-26 Advanced Micro Devices, Inc. Process for achieving full global planarization during CMP of damascene semiconductor structures
US6235633B1 (en) 1999-04-12 2001-05-22 Taiwan Semiconductor Manufacturing Company Method for making tungsten metal plugs in a polymer low-K intermetal dielectric layer using an improved two-step chemical/mechanical polishing process
US6329280B1 (en) 1999-05-13 2001-12-11 International Business Machines Corporation Interim oxidation of silsesquioxane dielectric for dual damascene process
US6071808A (en) * 1999-06-23 2000-06-06 Lucent Technologies Inc. Method of passivating copper interconnects in a semiconductor
US6046108A (en) * 1999-06-25 2000-04-04 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby
US6130157A (en) * 1999-07-16 2000-10-10 Taiwan Semiconductor Manufacturing Company Method to form an encapsulation layer over copper interconnects
US6521532B1 (en) 1999-07-22 2003-02-18 James A. Cunningham Method for making integrated circuit including interconnects with enhanced electromigration resistance
US6551872B1 (en) 1999-07-22 2003-04-22 James A. Cunningham Method for making integrated circuit including interconnects with enhanced electromigration resistance using doped seed layer and integrated circuits produced thereby
JP2001036080A (ja) 1999-07-26 2001-02-09 Mitsubishi Electric Corp 半導体装置及びその製造方法
US6413854B1 (en) 1999-08-24 2002-07-02 International Business Machines Corp. Method to build multi level structure
JP2001135168A (ja) * 1999-08-26 2001-05-18 Sharp Corp 金属配線の製造方法
US6433429B1 (en) * 1999-09-01 2002-08-13 International Business Machines Corporation Copper conductive line with redundant liner and method of making
US7071557B2 (en) * 1999-09-01 2006-07-04 Micron Technology, Inc. Metallization structures for semiconductor device interconnects, methods for making same, and semiconductor devices including same
US6441492B1 (en) 1999-09-10 2002-08-27 James A. Cunningham Diffusion barriers for copper interconnect systems
US6734559B1 (en) 1999-09-17 2004-05-11 Advanced Micro Devices, Inc. Self-aligned semiconductor interconnect barrier and manufacturing method therefor
US6207558B1 (en) * 1999-10-21 2001-03-27 Applied Materials, Inc. Barrier applications for aluminum planarization
US6348736B1 (en) 1999-10-29 2002-02-19 International Business Machines Corporation In situ formation of protective layer on silsesquioxane dielectric for dual damascene process
JP4236778B2 (ja) * 1999-11-01 2009-03-11 株式会社ルネサステクノロジ 半導体装置
US6417106B1 (en) 1999-11-01 2002-07-09 Taiwan Semiconductor Manufacturing Company Underlayer liner for copper damascene in low k dielectric
US6551924B1 (en) 1999-11-02 2003-04-22 International Business Machines Corporation Post metalization chem-mech polishing dielectric etch
US6114243A (en) * 1999-11-15 2000-09-05 Chartered Semiconductor Manufacturing Ltd Method to avoid copper contamination on the sidewall of a via or a dual damascene structure
US6344419B1 (en) 1999-12-03 2002-02-05 Applied Materials, Inc. Pulsed-mode RF bias for sidewall coverage improvement
US6403465B1 (en) 1999-12-28 2002-06-11 Taiwan Semiconductor Manufacturing Company Method to improve copper barrier properties
US7211512B1 (en) 2000-01-18 2007-05-01 Micron Technology, Inc. Selective electroless-plated copper metallization
US6420262B1 (en) 2000-01-18 2002-07-16 Micron Technology, Inc. Structures and methods to enhance copper metallization
US6376370B1 (en) 2000-01-18 2002-04-23 Micron Technology, Inc. Process for providing seed layers for using aluminum, copper, gold and silver metallurgy process for providing seed layers for using aluminum, copper, gold and silver metallurgy
US7262130B1 (en) * 2000-01-18 2007-08-28 Micron Technology, Inc. Methods for making integrated-circuit wiring from copper, silver, gold, and other metals
JP2001223460A (ja) * 2000-02-08 2001-08-17 Fujitsu Ltd 実装回路基板及びその製造方法
US6633083B2 (en) * 2000-02-28 2003-10-14 Advanced Micro Devices Inc. Barrier layer integrity test
EP1143506A3 (de) * 2000-04-04 2004-02-25 Nippon Telegraph and Telephone Corporation Verfahren zur Herstellung eines Musters
JP2001319928A (ja) * 2000-05-08 2001-11-16 Hitachi Ltd 半導体集積回路装置およびその製造方法
US6423629B1 (en) * 2000-05-31 2002-07-23 Kie Y. Ahn Multilevel copper interconnects with low-k dielectrics and air gaps
US6674167B1 (en) * 2000-05-31 2004-01-06 Micron Technology, Inc. Multilevel copper interconnect with double passivation
US6554979B2 (en) 2000-06-05 2003-04-29 Applied Materials, Inc. Method and apparatus for bias deposition in a modulating electric field
US6501180B1 (en) * 2000-07-19 2002-12-31 National Semiconductor Corporation Structure and method for controlling copper diffusion and for utilizing low K materials for copper interconnects in integrated circuit structures
US6218301B1 (en) 2000-07-31 2001-04-17 Applied Materials, Inc. Deposition of tungsten films from W(CO)6
JP2002050595A (ja) * 2000-08-04 2002-02-15 Hitachi Ltd 研磨方法、配線形成方法及び半導体装置の製造方法
JP2002050767A (ja) * 2000-08-04 2002-02-15 Mitsubishi Electric Corp 半導体装置及びその製造方法
US6617689B1 (en) 2000-08-31 2003-09-09 Micron Technology, Inc. Metal line and method of suppressing void formation therein
US7518284B2 (en) * 2000-11-02 2009-04-14 Danfoss A/S Dielectric composite and a method of manufacturing a dielectric composite
DE10054247C2 (de) * 2000-11-02 2002-10-24 Danfoss As Betätigungselement und Verfahren zu seiner Herstellung
US7400080B2 (en) * 2002-09-20 2008-07-15 Danfoss A/S Elastomer actuator and a method of making an actuator
US8181338B2 (en) * 2000-11-02 2012-05-22 Danfoss A/S Method of making a multilayer composite
US7548015B2 (en) * 2000-11-02 2009-06-16 Danfoss A/S Multilayer composite and a method of making such
US6436814B1 (en) * 2000-11-21 2002-08-20 International Business Machines Corporation Interconnection structure and method for fabricating same
US6503641B2 (en) * 2000-12-18 2003-01-07 International Business Machines Corporation Interconnects with Ti-containing liners
US6680514B1 (en) * 2000-12-20 2004-01-20 International Business Machines Corporation Contact capping local interconnect
US6388327B1 (en) 2001-01-09 2002-05-14 International Business Machines Corporation Capping layer for improved silicide formation in narrow semiconductor structures
JP2002208633A (ja) * 2001-01-10 2002-07-26 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
JP2002217292A (ja) * 2001-01-23 2002-08-02 Hitachi Ltd 半導体集積回路装置および半導体集積回路装置の製造方法
US6524929B1 (en) 2001-02-26 2003-02-25 Advanced Micro Devices, Inc. Method for shallow trench isolation using passivation material for trench bottom liner
US7087997B2 (en) * 2001-03-12 2006-08-08 International Business Machines Corporation Copper to aluminum interlayer interconnect using stud and via liner
US6486038B1 (en) 2001-03-12 2002-11-26 Advanced Micro Devices Method for and device having STI using partial etch trench bottom liner
US6521510B1 (en) 2001-03-23 2003-02-18 Advanced Micro Devices, Inc. Method for shallow trench isolation with removal of strained island edges
US6534379B1 (en) 2001-03-26 2003-03-18 Advanced Micro Devices, Inc. Linerless shallow trench isolation method
US6504225B1 (en) * 2001-04-18 2003-01-07 Advanced Micro Devices, Inc. Teos seaming scribe line monitor
US6743666B1 (en) * 2001-04-27 2004-06-01 Advanced Micro Devices, Inc. Selective thickening of the source-drain and gate areas of field effect transistors
JP4350337B2 (ja) * 2001-04-27 2009-10-21 富士通マイクロエレクトロニクス株式会社 半導体装置
US7372160B2 (en) * 2001-05-31 2008-05-13 Stmicroelectronics, Inc. Barrier film deposition over metal for reduction in metal dishing after CMP
JP2002367998A (ja) * 2001-06-11 2002-12-20 Ebara Corp 半導体装置及びその製造方法
US6521523B2 (en) 2001-06-15 2003-02-18 Silicon Integrated Systems Corp. Method for forming selective protection layers on copper interconnects
US6747781B2 (en) 2001-06-25 2004-06-08 Silicon Light Machines, Inc. Method, apparatus, and diffuser for reducing laser speckle
US6782205B2 (en) 2001-06-25 2004-08-24 Silicon Light Machines Method and apparatus for dynamic equalization in wavelength division multiplexing
TW591089B (en) * 2001-08-09 2004-06-11 Cheil Ind Inc Slurry composition for use in chemical mechanical polishing of metal wiring
US6953389B2 (en) * 2001-08-09 2005-10-11 Cheil Industries, Inc. Metal CMP slurry compositions that favor mechanical removal of oxides with reduced susceptibility to micro-scratching
US6829092B2 (en) 2001-08-15 2004-12-07 Silicon Light Machines, Inc. Blazed grating light valve
JP2003068848A (ja) * 2001-08-29 2003-03-07 Fujitsu Ltd 半導体装置及びその製造方法
US6989108B2 (en) * 2001-08-30 2006-01-24 Micron Technology, Inc. Etchant gas composition
US6930364B2 (en) * 2001-09-13 2005-08-16 Silicon Light Machines Corporation Microelectronic mechanical system and methods
US6746591B2 (en) 2001-10-16 2004-06-08 Applied Materials Inc. ECP gap fill by modulating the voltate on the seed layer to increase copper concentration inside feature
JP4198906B2 (ja) * 2001-11-15 2008-12-17 株式会社ルネサステクノロジ 半導体装置および半導体装置の製造方法
US6815342B1 (en) * 2001-11-27 2004-11-09 Lsi Logic Corporation Low resistance metal interconnect lines and a process for fabricating them
ES2299614T3 (es) * 2001-12-21 2008-06-01 Danfoss A/S Estructura de dispositivo dielectrico de accionamiento o deteccion y metodo para fabricar el mismo.
KR100435784B1 (ko) * 2001-12-21 2004-06-12 동부전자 주식회사 반도체 소자의 금속배선 형성 방법
US6800238B1 (en) 2002-01-15 2004-10-05 Silicon Light Machines, Inc. Method for domain patterning in low coercive field ferroelectrics
US6770566B1 (en) 2002-03-06 2004-08-03 Cypress Semiconductor Corporation Methods of forming semiconductor structures, and articles and devices formed thereby
US7294567B2 (en) * 2002-03-11 2007-11-13 Micron Technology, Inc. Semiconductor contact device and method
US6797620B2 (en) 2002-04-16 2004-09-28 Applied Materials, Inc. Method and apparatus for improved electroplating fill of an aperture
US6767751B2 (en) 2002-05-28 2004-07-27 Silicon Light Machines, Inc. Integrated driver process flow
US6822797B1 (en) 2002-05-31 2004-11-23 Silicon Light Machines, Inc. Light modulator structure for producing high-contrast operation using zero-order light
US6829258B1 (en) 2002-06-26 2004-12-07 Silicon Light Machines, Inc. Rapidly tunable external cavity laser
US6714337B1 (en) 2002-06-28 2004-03-30 Silicon Light Machines Method and device for modulating a light beam and having an improved gamma response
US6813059B2 (en) 2002-06-28 2004-11-02 Silicon Light Machines, Inc. Reduced formation of asperities in contact micro-structures
US6801354B1 (en) 2002-08-20 2004-10-05 Silicon Light Machines, Inc. 2-D diffraction grating for substantially eliminating polarization dependent losses
US6712480B1 (en) 2002-09-27 2004-03-30 Silicon Light Machines Controlled curvature of stressed micro-structures
US6713873B1 (en) * 2002-11-27 2004-03-30 Intel Corporation Adhesion between dielectric materials
EP1570415B1 (de) * 2002-12-12 2009-08-19 Danfoss A/S Berührungssensorelement und sensorgruppe
US6975032B2 (en) * 2002-12-16 2005-12-13 International Business Machines Corporation Copper recess process with application to selective capping and electroless plating
ATE398988T1 (de) 2003-02-24 2008-07-15 Danfoss As Elektroaktive elastische kompressionsbandage
US6829077B1 (en) 2003-02-28 2004-12-07 Silicon Light Machines, Inc. Diffractive light modulator with dynamically rotatable diffraction plane
US6806997B1 (en) 2003-02-28 2004-10-19 Silicon Light Machines, Inc. Patterned diffractive light modulator ribbon for PDL reduction
US20040248405A1 (en) * 2003-06-02 2004-12-09 Akira Fukunaga Method of and apparatus for manufacturing semiconductor device
US20040245636A1 (en) * 2003-06-06 2004-12-09 International Business Machines Corporation Full removal of dual damascene metal level
US7220665B2 (en) * 2003-08-05 2007-05-22 Micron Technology, Inc. H2 plasma treatment
KR100528069B1 (ko) * 2003-09-02 2005-11-15 동부아남반도체 주식회사 반도체 소자 및 그 제조 방법
JP4130621B2 (ja) * 2003-10-30 2008-08-06 株式会社東芝 半導体装置およびその製造方法
KR100561523B1 (ko) * 2003-12-31 2006-03-16 동부아남반도체 주식회사 알루미늄 배선 형성 방법
KR100590205B1 (ko) * 2004-01-12 2006-06-15 삼성전자주식회사 반도체 장치의 배선 구조체 및 그 형성 방법
US7090516B2 (en) * 2004-02-09 2006-08-15 Adc Telecommunications, Inc. Protective boot and universal cap
JP2005235860A (ja) * 2004-02-17 2005-09-02 Sanyo Electric Co Ltd 半導体装置及びその製造方法
US7956672B2 (en) * 2004-03-30 2011-06-07 Ricoh Company, Ltd. Reference voltage generating circuit
US7067409B2 (en) * 2004-05-10 2006-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma treatment at film layer to reduce sheet resistance and to improve via contact resistance
US7378744B2 (en) * 2004-05-10 2008-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma treatment at film layer to reduce sheet resistance and to improve via contact resistance
KR20050114784A (ko) * 2004-06-01 2005-12-07 동부아남반도체 주식회사 반도체 소자의 구리배선 형성방법
KR100628242B1 (ko) * 2004-06-24 2006-09-26 동부일렉트로닉스 주식회사 반도체 소자의 베리어층 형성 방법
KR100602087B1 (ko) * 2004-07-09 2006-07-14 동부일렉트로닉스 주식회사 반도체 소자 및 그 제조방법
KR101101192B1 (ko) * 2004-08-26 2012-01-03 동부일렉트로닉스 주식회사 반도체 소자의 금속 배선 형성 방법
JP4503401B2 (ja) * 2004-09-08 2010-07-14 株式会社荏原製作所 金属膜の成膜方法及び配線の形成方法
KR100552857B1 (ko) * 2004-10-25 2006-02-22 동부아남반도체 주식회사 반도체 소자의 콘택 형성 방법
US20060113675A1 (en) * 2004-12-01 2006-06-01 Chung-Liang Chang Barrier material and process for Cu interconnect
US7105445B2 (en) * 2005-01-14 2006-09-12 International Business Machines Corporation Interconnect structures with encasing cap and methods of making thereof
US7335588B2 (en) * 2005-04-15 2008-02-26 International Business Machines Corporation Interconnect structure and method of fabrication of same
US7323410B2 (en) 2005-08-08 2008-01-29 International Business Machines Corporation Dry etchback of interconnect contacts
US7563704B2 (en) * 2005-09-19 2009-07-21 International Business Machines Corporation Method of forming an interconnect including a dielectric cap having a tensile stress
US20070080455A1 (en) * 2005-10-11 2007-04-12 International Business Machines Corporation Semiconductors and methods of making
US7253100B2 (en) * 2005-11-17 2007-08-07 International Business Machines Corporation Reducing damage to ulk dielectric during cross-linked polymer removal
US7863183B2 (en) * 2006-01-18 2011-01-04 International Business Machines Corporation Method for fabricating last level copper-to-C4 connection with interfacial cap structure
TWI293499B (en) 2006-01-25 2008-02-11 Advanced Semiconductor Eng Three dimensional package and method of making the same
TWI287273B (en) * 2006-01-25 2007-09-21 Advanced Semiconductor Eng Three dimensional package and method of making the same
US8193087B2 (en) * 2006-05-18 2012-06-05 Taiwan Semiconductor Manufacturing Co., Ltd. Process for improving copper line cap formation
CA2653447A1 (en) * 2006-06-01 2008-05-08 Igeacare Systems, Inc. Remote health care diagnostic tool
WO2008020325A2 (en) * 2006-06-01 2008-02-21 Rajiv Muradia Home based healthcare system and method
WO2008035211A2 (en) * 2006-06-01 2008-03-27 Rajiv Muradia Remote health care system with stethoscope
WO2007138489A2 (en) * 2006-06-01 2007-12-06 Rajiv Muradia Remote health care system with treatment verification
EP2051287A4 (de) * 2006-08-10 2014-05-21 Ulvac Inc Verfahren zur bildung eines leitfähigen films, dünnfilmtransistor, panel mit dünnfilmtransistor und verfahren zur herstellung eines dünnfilmtransistors
US7732999B2 (en) * 2006-11-03 2010-06-08 Danfoss A/S Direct acting capacitive transducer
US7880371B2 (en) * 2006-11-03 2011-02-01 Danfoss A/S Dielectric composite and a method of manufacturing a dielectric composite
US7569475B2 (en) * 2006-11-15 2009-08-04 International Business Machines Corporation Interconnect structure having enhanced electromigration reliability and a method of fabricating same
US7576003B2 (en) * 2006-11-29 2009-08-18 International Business Machines Corporation Dual liner capping layer interconnect structure and method
DE102007004884A1 (de) * 2007-01-31 2008-08-14 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer Metallschicht über einem strukturierten Dielektrikum durch stromlose Abscheidung unter Anwendung einer selektiv vorgesehenen Aktivierungsschicht
US7859113B2 (en) * 2007-02-27 2010-12-28 International Business Machines Corporation Structure including via having refractory metal collar at copper wire and dielectric layer liner-less interface and related method
US7655556B2 (en) 2007-03-23 2010-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures for semiconductor devices
US7790599B2 (en) * 2007-04-13 2010-09-07 International Business Machines Corporation Metal cap for interconnect structures
US8502272B2 (en) 2007-05-16 2013-08-06 Avago Technologies General Ip (Singapore) Pte. Ltd. Metal-oxide-semiconductor high electron mobility transistors and methods of fabrication
TWI339444B (en) * 2007-05-30 2011-03-21 Au Optronics Corp Conductor structure, pixel structure, and methods of forming the same
JP4637872B2 (ja) * 2007-06-12 2011-02-23 シャープ株式会社 配線構造およびその製造方法
US7732924B2 (en) 2007-06-12 2010-06-08 International Business Machines Corporation Semiconductor wiring structures including dielectric cap within metal cap layer
US7884018B2 (en) * 2007-06-21 2011-02-08 International Business Machines Corporation Method for improving the selectivity of a CVD process
US8138604B2 (en) * 2007-06-21 2012-03-20 International Business Machines Corporation Metal cap with ultra-low k dielectric material for circuit interconnect applications
US7927990B2 (en) * 2007-06-29 2011-04-19 Sandisk Corporation Forming complimentary metal features using conformal insulator layer
KR100905872B1 (ko) * 2007-08-24 2009-07-03 주식회사 하이닉스반도체 반도체 소자의 금속배선 형성 방법
US7615831B2 (en) * 2007-10-26 2009-11-10 International Business Machines Corporation Structure and method for fabricating self-aligned metal contacts
US7964923B2 (en) * 2008-01-07 2011-06-21 International Business Machines Corporation Structure and method of creating entirely self-aligned metallic contacts
US7998864B2 (en) * 2008-01-29 2011-08-16 International Business Machines Corporation Noble metal cap for interconnect structures
US7834457B2 (en) * 2008-02-28 2010-11-16 International Business Machines Corporation Bilayer metal capping layer for interconnect applications
US7830010B2 (en) 2008-04-03 2010-11-09 International Business Machines Corporation Surface treatment for selective metal cap applications
CN102084133A (zh) * 2008-04-30 2011-06-01 丹佛斯强力聚合公司 由聚合物换能器提供动力的泵
WO2009132650A2 (en) * 2008-04-30 2009-11-05 Danfoss A/S A power actuated valve
US7956466B2 (en) 2008-05-09 2011-06-07 International Business Machines Corporation Structure for interconnect structure containing various capping materials for electrical fuse and other related applications
US8772156B2 (en) * 2008-05-09 2014-07-08 International Business Machines Corporation Methods of fabricating interconnect structures containing various capping materials for electrical fuse and other related applications
US8354751B2 (en) * 2008-06-16 2013-01-15 International Business Machines Corporation Interconnect structure for electromigration enhancement
US8013446B2 (en) * 2008-08-12 2011-09-06 International Business Machines Corporation Nitrogen-containing metal cap for interconnect structures
US8232645B2 (en) 2008-08-14 2012-07-31 International Business Machines Corporation Interconnect structures, design structure and method of manufacture
US7939911B2 (en) * 2008-08-14 2011-05-10 International Business Machines Corporation Back-end-of-line resistive semiconductor structures
US7977201B2 (en) * 2008-08-14 2011-07-12 International Business Machines Corporation Methods for forming back-end-of-line resistive semiconductor structures
US8823176B2 (en) 2008-10-08 2014-09-02 International Business Machines Corporation Discontinuous/non-uniform metal cap structure and process for interconnect integration
JP5406556B2 (ja) * 2009-02-23 2014-02-05 関東化学株式会社 金属積層膜用エッチング液組成物
US20100276764A1 (en) 2009-05-04 2010-11-04 Yi-Jen Lo Semiconductor structure with selectively deposited tungsten film and method for making the same
TWI459507B (zh) * 2009-06-18 2014-11-01 United Microelectronics Corp 一種製作矽貫通電極的方法
US8039966B2 (en) * 2009-09-03 2011-10-18 International Business Machines Corporation Structures of and methods and tools for forming in-situ metallic/dielectric caps for interconnects
KR101604054B1 (ko) * 2009-09-03 2016-03-16 삼성전자주식회사 반도체 소자 및 그 형성방법
US8411970B2 (en) * 2010-03-16 2013-04-02 Pixia Corp. Method and system for determining statistical data for image pixels having a higher bit depth per band
US9425146B2 (en) 2010-09-28 2016-08-23 Infineon Technologies Ag Semiconductor structure and method for making same
US8124525B1 (en) * 2010-10-27 2012-02-28 International Business Machines Corporation Method of forming self-aligned local interconnect and structure formed thereby
DE102010063294B4 (de) * 2010-12-16 2019-07-11 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Herstellung von Metallisierungssystemen von Halbleiterbauelementen, die eine Kupfer/Silizium-Verbindung als ein Barrierenmaterial aufweisen
KR20140021628A (ko) * 2011-03-30 2014-02-20 도쿄엘렉트론가부시키가이샤 Cu 배선의 형성 방법
EP2535441A1 (de) * 2011-06-14 2012-12-19 Atotech Deutschland GmbH Kupfergefüllte Öffnung mit einer Deckschicht
JP5949294B2 (ja) * 2011-08-31 2016-07-06 日亜化学工業株式会社 半導体発光素子
US8877645B2 (en) 2011-09-15 2014-11-04 International Business Machines Corporation Integrated circuit structure having selectively formed metal cap
US8492274B2 (en) 2011-11-07 2013-07-23 International Business Machines Corporation Metal alloy cap integration
US8891222B2 (en) 2012-02-14 2014-11-18 Danfoss A/S Capacitive transducer and a method for manufacturing a transducer
US8692442B2 (en) 2012-02-14 2014-04-08 Danfoss Polypower A/S Polymer transducer and a connector for a transducer
US8796853B2 (en) 2012-02-24 2014-08-05 International Business Machines Corporation Metallic capped interconnect structure with high electromigration resistance and low resistivity
KR101907694B1 (ko) * 2012-03-06 2018-10-12 에스케이하이닉스 주식회사 반도체 소자 및 그 제조방법
CN103390647A (zh) * 2012-05-10 2013-11-13 无锡华润上华半导体有限公司 一种功率mos器件结构
US9034664B2 (en) * 2012-05-16 2015-05-19 International Business Machines Corporation Method to resolve hollow metal defects in interconnects
KR101992352B1 (ko) * 2012-09-25 2019-06-24 삼성전자주식회사 반도체 장치
US9312203B2 (en) 2013-01-02 2016-04-12 Globalfoundries Inc. Dual damascene structure with liner
US8883020B2 (en) * 2013-01-30 2014-11-11 GlobalFoundries, Inc. Achieving greater planarity between upper surfaces of a layer and a conductive structure residing therein
US9305879B2 (en) 2013-05-09 2016-04-05 Globalfoundries Inc. E-fuse with hybrid metallization
US9536830B2 (en) 2013-05-09 2017-01-03 Globalfoundries Inc. High performance refractory metal / copper interconnects to eliminate electromigration
US9171801B2 (en) 2013-05-09 2015-10-27 Globalfoundries U.S. 2 Llc E-fuse with hybrid metallization
US8962479B2 (en) 2013-05-10 2015-02-24 International Business Machines Corporation Interconnect structures containing nitrided metallic residues
US9558999B2 (en) 2013-09-12 2017-01-31 Globalfoundries Inc. Ultra-thin metal wires formed through selective deposition
US9202749B2 (en) 2014-02-06 2015-12-01 International Business Machines Corporation Process methods for advanced interconnect patterning
US9281211B2 (en) 2014-02-10 2016-03-08 International Business Machines Corporation Nanoscale interconnect structure
US10079174B2 (en) 2014-04-30 2018-09-18 Taiwan Semiconductor Manufacturing Company, Ltd. Composite contact plug structure and method of making same
US9379221B1 (en) 2015-01-08 2016-06-28 International Business Machines Corporation Bottom-up metal gate formation on replacement metal gate finFET devices
US9913382B2 (en) * 2015-04-23 2018-03-06 Viasystems Technologies Corp. L.L.C. Method for anchoring a conductive cap on a filled via in a printed circuit board and printed circuit board with an anchored conductive cap
US9595473B2 (en) 2015-06-01 2017-03-14 International Business Machines Corporation Critical dimension shrink through selective metal growth on metal hardmask sidewalls
US9588298B2 (en) 2015-06-04 2017-03-07 Elenion Technologies, Llc Edge coupler
JP6738556B2 (ja) * 2015-06-26 2020-08-12 三菱マテリアル株式会社 表面被覆切削工具
US9748169B1 (en) 2016-04-04 2017-08-29 International Business Machines Corporation Treating copper interconnects
CN107564850B (zh) * 2016-07-01 2020-07-07 中芯国际集成电路制造(北京)有限公司 互连结构及其制造方法
US10593563B2 (en) * 2017-04-13 2020-03-17 Invensas Corporation Fan-out wafer level package with resist vias
KR102378672B1 (ko) * 2017-05-17 2022-03-24 이매진 코퍼레이션 고정밀 섀도 마스크 증착 시스템 및 그 방법
CN107170788A (zh) * 2017-06-06 2017-09-15 武汉华星光电技术有限公司 一种显示屏
DE102017216937A1 (de) * 2017-09-25 2019-03-28 Robert Bosch Gmbh Verfahren zum Herstellen zumindest einer Durchkontaktierung in einem Wafer
US10886225B2 (en) 2018-03-05 2021-01-05 International Business Machines Corporation BEOL alternative metal interconnects: integration and process
US11018087B2 (en) 2018-04-25 2021-05-25 International Business Machines Corporation Metal interconnects
CN109003767B (zh) * 2018-07-18 2023-11-28 昆山万盛电子有限公司 一种横卧安装的压敏电阻器及其制备方法
CN116013853B (zh) * 2023-03-27 2023-06-02 合肥晶合集成电路股份有限公司 互连结构的制备方法

Family Cites Families (114)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1399163A (en) * 1972-11-08 1975-06-25 Ferranti Ltd Methods of manufacturing semiconductor devices
US3911562A (en) * 1974-01-14 1975-10-14 Signetics Corp Method of chemical polishing of planar silicon structures having filled grooves therein
CH611938A5 (de) * 1976-05-19 1979-06-29 Battelle Memorial Institute
DE2705225C2 (de) * 1976-06-07 1983-03-24 Nobuo Tokyo Nishida Ornamentteil für Uhren usw.
JPS5425178A (en) * 1977-07-27 1979-02-24 Fujitsu Ltd Manufacture for semiconductor device
US4244775A (en) * 1979-04-30 1981-01-13 Bell Telephone Laboratories, Incorporated Process for the chemical etch polishing of semiconductors
US4293374A (en) * 1980-03-10 1981-10-06 International Business Machines Corporation High aspect ratio, high resolution mask fabrication
US4367119A (en) * 1980-08-18 1983-01-04 International Business Machines Corporation Planar multi-level metal process with built-in etch stop
US4339305A (en) * 1981-02-05 1982-07-13 Rockwell International Corporation Planar circuit fabrication by plating and liftoff
JPS5815250A (ja) * 1981-07-21 1983-01-28 Fujitsu Ltd 半導体装置の製造方法
JPS5821844A (ja) * 1981-07-31 1983-02-08 Nippon Telegr & Teleph Corp <Ntt> 配線構造体の製造方法
JPS5830147A (ja) 1981-08-18 1983-02-22 Toshiba Corp 半導体装置
DE3141567C2 (de) * 1981-10-20 1986-02-06 Siemens AG, 1000 Berlin und 8000 München Verfahren zum Herstellen von aus Tantal, Wolfram oder Molybdän bestehenden Schichten bei niedrigen Temperaturen und Verwendung dieser Schichten
US4386116A (en) * 1981-12-24 1983-05-31 International Business Machines Corporation Process for making multilayer integrated circuit substrate
JPS58210634A (ja) * 1982-05-31 1983-12-07 Toshiba Corp 半導体装置の製造方法
JPS5982746A (ja) * 1982-11-04 1984-05-12 Toshiba Corp 半導体装置の電極配線方法
JPS59121835A (ja) * 1982-12-28 1984-07-14 Fujitsu Ltd 半導体装置及びその製造方法
JPS59175763A (ja) * 1983-03-25 1984-10-04 Fujitsu Ltd 半導体装置
US4565157A (en) * 1983-03-29 1986-01-21 Genus, Inc. Method and apparatus for deposition of tungsten silicides
KR910006249B1 (ko) * 1983-04-01 1991-08-17 가부시기가이샤 히다찌세이사꾸쇼 반도체 장치
GB2137808A (en) * 1983-04-06 1984-10-10 Plessey Co Plc Integrated circuit processing method
US4486946A (en) * 1983-07-12 1984-12-11 Control Data Corporation Method for using titanium-tungsten alloy as a barrier metal in silicon semiconductor processing
US4600624A (en) * 1983-09-20 1986-07-15 International Business Machines Corporation Composite insulator structure
US4532702A (en) * 1983-11-04 1985-08-06 Westinghouse Electric Corp. Method of forming conductive interconnection between vertically spaced levels in VLSI devices
JPS60115245A (ja) * 1983-11-28 1985-06-21 Toshiba Corp 半導体装置の製造方法
JPS60117719A (ja) * 1983-11-30 1985-06-25 Fujitsu Ltd 半導体装置の製造方法
CA1260754A (en) * 1983-12-26 1989-09-26 Teiji Majima Method for forming patterns and apparatus used for carrying out the same
JPS60142545A (ja) * 1983-12-27 1985-07-27 インタ−ナショナル ビジネス マシ−ンズ コ−ポレ−ション 多層複合構造体
JPS60173857A (ja) * 1984-02-20 1985-09-07 Toshiba Corp 半導体装置の製造方法
US4851295A (en) * 1984-03-16 1989-07-25 Genus, Inc. Low resistivity tungsten silicon composite film
US4845050A (en) * 1984-04-02 1989-07-04 General Electric Company Method of making mo/tiw or w/tiw ohmic contacts to silicon
US4640004A (en) * 1984-04-13 1987-02-03 Fairchild Camera & Instrument Corp. Method and structure for inhibiting dopant out-diffusion
FR2566181B1 (fr) * 1984-06-14 1986-08-22 Commissariat Energie Atomique Procede d'autopositionnement d'une ligne d'interconnexion sur un trou de contact electrique d'un circuit integre
US4545852A (en) * 1984-06-20 1985-10-08 Hewlett-Packard Company Planarization of dielectric films on integrated circuits
US4526631A (en) * 1984-06-25 1985-07-02 International Business Machines Corporation Method for forming a void free isolation pattern utilizing etch and refill techniques
US4560436A (en) * 1984-07-02 1985-12-24 Motorola, Inc. Process for etching tapered polyimide vias
US4720908A (en) * 1984-07-11 1988-01-26 Texas Instruments Incorporated Process for making contacts and interconnects for holes having vertical sidewalls
US4751197A (en) * 1984-07-18 1988-06-14 Texas Instruments Incorporated Make-link programming of semiconductor devices using laser enhanced thermal breakdown of insulator
JPH0713295B2 (ja) * 1985-02-22 1995-02-15 株式会社日立製作所 スパツタリング装置
US4560435A (en) * 1984-10-01 1985-12-24 International Business Machines Corporation Composite back-etch/lift-off stencil for proximity effect minimization
KR900001825B1 (ko) * 1984-11-14 1990-03-24 가부시끼가이샤 히다찌세이사꾸쇼 성막 지향성을 고려한 스퍼터링장치
JPH0697693B2 (ja) * 1984-12-05 1994-11-30 株式会社東芝 Mos型fetのゲート構造の製造方法
JPS61137367A (ja) * 1984-12-10 1986-06-25 Hitachi Ltd 半導体集積回路装置の製造方法
US4659427A (en) * 1984-12-31 1987-04-21 Gte Laboratories Incorporated Via formation for multilayered metalization
US5045916A (en) * 1985-01-22 1991-09-03 Fairchild Semiconductor Corporation Extended silicide and external contact technology
DE3650077T2 (de) * 1985-03-15 1995-02-23 Hewlett Packard Co Metallisches Verbindungssystem mit einer ebenen Fläche.
FR2583220B1 (fr) * 1985-06-11 1987-08-07 Thomson Csf Procede de realisation d'au moins deux metallisations d'un composant semi-conducteur, recouvertes d'une couche de dielectrique et composant obtenu par ce dielectrique
US4617087A (en) * 1985-09-27 1986-10-14 International Business Machines Corporation Method for differential selective deposition of metal for fabricating metal contacts in integrated semiconductor circuits
US4944836A (en) * 1985-10-28 1990-07-31 International Business Machines Corporation Chem-mech polishing method for producing coplanar metal/insulator films on a substrate
US4789648A (en) * 1985-10-28 1988-12-06 International Business Machines Corporation Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive lines simultaneously with stud vias
US4702792A (en) * 1985-10-28 1987-10-27 International Business Machines Corporation Method of forming fine conductive lines, patterns and connectors
US4824802A (en) * 1986-02-28 1989-04-25 General Electric Company Method of filling interlevel dielectric via or contact holes in multilevel VLSI metallization structures
US4746219A (en) * 1986-03-07 1988-05-24 Texas Instruments Incorporated Local interconnect
US4689113A (en) * 1986-03-21 1987-08-25 International Business Machines Corporation Process for forming planar chip-level wiring
US4849079A (en) * 1986-05-23 1989-07-18 International Business Machines Corp. Process for preparing low electrical contact resistance composition
US4756927A (en) * 1986-05-29 1988-07-12 Massachusetts Institute Of Technology Method and apparatus for refractory metal deposition
DE3772659D1 (de) * 1986-06-28 1991-10-10 Ulvac Corp Verfahren und vorrichtung zum beschichten unter anwendung einer cvd-beschichtungstechnik.
DE3783405T2 (de) * 1986-08-19 1993-08-05 Fujitsu Ltd Halbleiteranordnung mit einer duennschicht-verdrahtung und verfahren zum herstellen derselben.
EP0261846B1 (de) * 1986-09-17 1992-12-02 Fujitsu Limited Verfahren zur Ausbildung einer Kupfer enthaltenden Metallisierungsschicht auf der Oberfläche eines Halbleiterbauelementes
US4924295A (en) * 1986-11-28 1990-05-08 Siemens Aktiengesellschaft Integrated semi-conductor circuit comprising at least two metallization levels composed of aluminum or aluminum compounds and a method for the manufacture of same
US4732658A (en) * 1986-12-03 1988-03-22 Honeywell Inc. Planarization of silicon semiconductor devices
US4756810A (en) * 1986-12-04 1988-07-12 Machine Technology, Inc. Deposition and planarizing methods and apparatus
US4855798A (en) * 1986-12-19 1989-08-08 Texas Instruments Incorporated Semiconductor and process of fabrication thereof
US4795722A (en) * 1987-02-05 1989-01-03 Texas Instruments Incorporated Method for planarization of a semiconductor device prior to metallization
US4753709A (en) * 1987-02-05 1988-06-28 Texas Instuments Incorporated Method for etching contact vias in a semiconductor device
US4783248A (en) * 1987-02-10 1988-11-08 Siemens Aktiengesellschaft Method for the production of a titanium/titanium nitride double layer
US4884123A (en) * 1987-02-19 1989-11-28 Advanced Micro Devices, Inc. Contact plug and interconnect employing a barrier lining and a backfilled conductor material
JPS63269546A (ja) * 1987-04-27 1988-11-07 Nec Corp 半導体装置の製造方法
JPS63299251A (ja) * 1987-05-29 1988-12-06 Toshiba Corp 半導体装置の製造方法
JPH0660391B2 (ja) * 1987-06-11 1994-08-10 日電アネルバ株式会社 スパッタリング装置
US4956313A (en) * 1987-08-17 1990-09-11 International Business Machines Corporation Via-filling and planarization technique
EP0305143B1 (de) * 1987-08-24 1993-12-08 Fujitsu Limited Verfahren zur selektiven Ausbildung einer Leiterschicht
JPS6460011A (en) * 1987-08-31 1989-03-07 Nec Corp High frequency transistor amplifier
EP0312986A1 (de) * 1987-10-22 1989-04-26 Siemens Aktiengesellschaft Verfahren zum Rückätzen von Wolfram mit Titannitrid als Unterlage in Kontaktlöchern von höchstintegrierten Halbleiterschaltungen
US4824544A (en) * 1987-10-29 1989-04-25 International Business Machines Corporation Large area cathode lift-off sputter deposition device
US4873565A (en) * 1987-11-02 1989-10-10 Texas Instruments Incorporated Method and apparatus for providing interconnection between metallization layers on semiconductor devices
JPH0654774B2 (ja) * 1987-11-30 1994-07-20 株式会社東芝 半導体装置及びその製造方法
US4962414A (en) * 1988-02-11 1990-10-09 Sgs-Thomson Microelectronics, Inc. Method for forming a contact VIA
US4926237A (en) * 1988-04-04 1990-05-15 Motorola, Inc. Device metallization, device and method
JP2776826B2 (ja) * 1988-04-15 1998-07-16 株式会社日立製作所 半導体装置およびその製造方法
FR2630587A1 (fr) * 1988-04-22 1989-10-27 Philips Nv Procede pour etablir des contacts electriques de petites dimensions sur un dispositif semiconducteur
US4822753A (en) * 1988-05-09 1989-04-18 Motorola, Inc. Method for making a w/tin contact
DE3881032T2 (de) * 1988-05-26 1993-11-25 Fairchild Semiconductor Verbindungssystem von hoher Leistungsfähigkeit für eine integrierte Schaltung.
US5027185A (en) * 1988-06-06 1991-06-25 Industrial Technology Research Institute Polycide gate FET with salicide
US4847111A (en) * 1988-06-30 1989-07-11 Hughes Aircraft Company Plasma-nitridated self-aligned tungsten system for VLSI interconnections
US5112693A (en) * 1988-10-03 1992-05-12 Ppg Industries, Inc. Low reflectance, highly saturated colored coating for monolithic glazing
US5008730A (en) * 1988-10-03 1991-04-16 International Business Machines Corporation Contact stud structure for semiconductor devices
JPH02170424A (ja) * 1988-12-22 1990-07-02 Nec Corp 半導体装置の製造方法
US5084417A (en) * 1989-01-06 1992-01-28 International Business Machines Corporation Method for selective deposition of refractory metals on silicon substrates and device formed thereby
JP2537413B2 (ja) * 1989-03-14 1996-09-25 三菱電機株式会社 半導体装置およびその製造方法
US4920073A (en) * 1989-05-11 1990-04-24 Texas Instruments, Incorporated Selective silicidation process using a titanium nitride protective layer
US5169685A (en) * 1989-06-12 1992-12-08 General Electric Company Method for forming non-columnar deposits by chemical vapor deposition
US4994162A (en) * 1989-09-29 1991-02-19 Materials Research Corporation Planarization method
JP2732539B2 (ja) * 1989-10-06 1998-03-30 日本電気株式会社 真空成膜装置
US5070391A (en) * 1989-11-30 1991-12-03 Sgs-Thomson Microelectronics, Inc. Semiconductor contact via structure and method
US5026470A (en) * 1989-12-19 1991-06-25 International Business Machines Sputtering apparatus
DE69129081T2 (de) * 1990-01-29 1998-07-02 Varian Associates Gerät und Verfahren zur Niederschlagung durch einen Kollimator
US5008217A (en) * 1990-06-08 1991-04-16 At&T Bell Laboratories Process for fabricating integrated circuits having shallow junctions
US4992135A (en) * 1990-07-24 1991-02-12 Micron Technology, Inc. Method of etching back of tungsten layers on semiconductor wafers, and solution therefore
US5138432A (en) * 1990-08-30 1992-08-11 Cornell Research Foundation, Inc. Selective deposition of tungsten on TiSi2
KR100228259B1 (ko) * 1990-10-24 1999-11-01 고지마 마따오 박막의 형성방법 및 반도체장치
JP2841976B2 (ja) * 1990-11-28 1998-12-24 日本電気株式会社 半導体装置およびその製造方法
JP2660359B2 (ja) * 1991-01-30 1997-10-08 三菱電機株式会社 半導体装置
US5187119A (en) * 1991-02-11 1993-02-16 The Boeing Company Multichip module and integrated circuit substrates having planarized patterned surfaces
US5143867A (en) * 1991-02-13 1992-09-01 International Business Machines Corporation Method for depositing interconnection metallurgy using low temperature alloy processes
US5243222A (en) * 1991-04-05 1993-09-07 International Business Machines Corporation Copper alloy metallurgies for VLSI interconnection structures
CA2061119C (en) * 1991-04-19 1998-02-03 Pei-Ing P. Lee Method of depositing conductors in high aspect ratio apertures
JPH05160070A (ja) * 1991-05-31 1993-06-25 Texas Instr Inc <Ti> 半導体装置の接点とその製法
US5171412A (en) * 1991-08-23 1992-12-15 Applied Materials, Inc. Material deposition method for integrated circuit manufacturing
US5244836A (en) * 1991-12-30 1993-09-14 North American Philips Corporation Method of manufacturing fusible links in semiconductor devices
US5262354A (en) * 1992-02-26 1993-11-16 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
US5300813A (en) * 1992-02-26 1994-04-05 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
US5300812A (en) * 1992-12-09 1994-04-05 General Electric Company Plasticized polyetherimide adhesive composition and usage
US5817574A (en) * 1993-12-29 1998-10-06 Intel Corporation Method of forming a high surface area interconnection structure

Also Published As

Publication number Publication date
DE69332917D1 (de) 2003-05-28
DE69333604D1 (de) 2004-09-30
CN1081390C (zh) 2002-03-20
EP0966037B1 (de) 2003-04-23
SG105511A1 (en) 2004-08-27
SG111047A1 (en) 2005-05-30
EP0788156A3 (de) 1998-04-15
EP0966037A2 (de) 1999-12-22
SG70045A1 (en) 2000-01-25
SG70044A1 (en) 2000-01-25
KR0134121B1 (ko) 1998-04-20
US6147402A (en) 2000-11-14
CN1192050A (zh) 1998-09-02
CN1120241A (zh) 1996-04-10
KR0128264B1 (ko) 1998-04-07
SG70046A1 (en) 2000-01-25
DE69329663D1 (de) 2000-12-21
US6323554B1 (en) 2001-11-27
JP2516307B2 (ja) 1996-07-24
EP0966037A3 (de) 2000-03-08
CN1150597C (zh) 2004-05-19
TW291576B (de) 1996-11-21
EP0788156A2 (de) 1997-08-06
KR930018660A (ko) 1993-09-22
JPH05343532A (ja) 1993-12-24
CN1192040A (zh) 1998-09-02
EP0788156B1 (de) 2004-08-25
EP0561132A1 (de) 1993-09-22
KR0134120B1 (ko) 1998-04-20
US5976975A (en) 1999-11-02
CN1076548A (zh) 1993-09-22
CN1112730C (zh) 2003-06-25
CN1044649C (zh) 1999-08-11
CN1111908C (zh) 2003-06-18
US5585673A (en) 1996-12-17
KR0134122B1 (ko) 1998-04-20
US5403779A (en) 1995-04-04
US5889328A (en) 1999-03-30
US5300813A (en) 1994-04-05
SG70043A1 (en) 2000-01-25
DE69332917T2 (de) 2003-12-24
SG115407A1 (en) 2005-10-28
SG44450A1 (en) 1997-12-19
US5426330A (en) 1995-06-20
CN1192049A (zh) 1998-09-02
DE69329663T2 (de) 2001-05-03
EP0561132B1 (de) 2000-11-15

Similar Documents

Publication Publication Date Title
DE69333604T2 (de) Durch PVD und DVD gebildete, mit hochschmelzendem Metall abgedeckte Metallleiterbahnen und Durchgangslöcher mit niedrigem spezifischen Widerstand
DE102016100766B4 (de) Strukturierung von durchkontaktierungen durch mehrfachfotolithografie und mehrfachätzung
DE69836114T2 (de) Kupferverdrahtung mit verbessertem Elektromigrationswiderstand und reduzierter Defektempfindlichkeit
DE102008033395B3 (de) Verfahren zur Herstellung eines Halbleiterbauelementes und Halbleiterbauelement
DE60022857T2 (de) Verfahren zur Herstellung eines Halbleiterbauelements
DE69531244T2 (de) Vereinfachter doppel-damaszenen prozess für die herstellung einer mehrlagen-metallisierung und einer verbindungsstruktur
DE10244570B4 (de) Liner-Schicht mit geringer Stufenüberdeckung zur Verbesserung des Kontaktwiderstands bei W-Kontakten
DE102005046975A1 (de) Technik zur Herstellung einer kupferbasierten Metallisierungsschicht mit einer leitenden Deckschicht
DE19834917A1 (de) Verfahren zum Bilden von selbstausrichtenden Durchgängen in integrierten Schaltungen mit mehreren Metallebenen
DE102004042169B4 (de) Technik zur Erhöhung des Füllvermögens in einem elektrochemischen Abscheideprozess durch Verrundung der Kanten und Gräben
DE102006051491A1 (de) Metallisierungsschichtstapel mit einer Aluminiumabschlussmetallschicht
DE102010045055B4 (de) Verfahren zur Herstellung eines Halbleiterbauelementes mit einer Durchkontaktierung
DE3414781A1 (de) Vielschicht-verbindungsstruktur einer halbleitereinrichtung
DE102007043710B4 (de) Tiefe Durchkontaktierungskonstruktion für eine Halbleitereinrichtung und ein Verfahren zu Ihrer Herstellung
DE102011050953B4 (de) Halbleitervorrichtung und Verfahren zu deren Herstellung
DE102004001853B3 (de) Verfahren zum Herstellen von Kontaktierungsanschlüssen
DE60132707T2 (de) Niedrigtemperaturverfahren zur Unterdrückung von Hügeln in Verbindungsleitungen von integrierten Schaltkreisen
DE10313793A1 (de) Halbleiterbauelement mit MIM-Kondensatorstruktur und Herstellungsverfahren
DE102007009912B4 (de) Verfahren zur Herstellung einer kupferbasierten Metallisierungsschicht mit einer leitenden Deckschicht durch ein fortschrittliches Integrationsschema
DE10351005B4 (de) Barrierenschicht mit einer Titannitridbeschichtung für eine Kupfermetallisierungsschicht, die ein Dielektrikum mit kleinem ε aufweist
DE102007035837A1 (de) Halbleiterbauelement mit einer Kornorientierungsschicht
DE112019003036B4 (de) Aluminiumkompatibler dünnfilmwiderstand (tfr) und herstellungsverfahren
DE102008049720B4 (de) Verfahren zum Passivieren freigelegter Kupferoberflächen in einer Metallisierungsschicht eines Halbleiterbauelements
DE102018200438B4 (de) Voll ausgerichtete Via-Strukturen
DE19719909A1 (de) Zweifaches Damaszierverfahren

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8320 Willingness to grant licences declared (paragraph 23)
8328 Change in the person/name/address of the agent

Representative=s name: DUSCHER, R., DIPL.-PHYS. DR.RER.NAT., PAT.-ANW., 7

R071 Expiry of right

Ref document number: 788156

Country of ref document: EP