DE69433124T2 - Befehlsspeicher mit assoziativem Kreuzschienenschalter - Google Patents

Befehlsspeicher mit assoziativem Kreuzschienenschalter Download PDF

Info

Publication number
DE69433124T2
DE69433124T2 DE69433124T DE69433124T DE69433124T2 DE 69433124 T2 DE69433124 T2 DE 69433124T2 DE 69433124 T DE69433124 T DE 69433124T DE 69433124 T DE69433124 T DE 69433124T DE 69433124 T2 DE69433124 T2 DE 69433124T2
Authority
DE
Germany
Prior art keywords
command
commands
instruction
instructions
frame
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE69433124T
Other languages
English (en)
Other versions
DE69433124D1 (de
Inventor
Howard G. Sachs
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intergraph Corp
Original Assignee
Intergraph Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=22522934&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=DE69433124(T2) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Intergraph Corp filed Critical Intergraph Corp
Application granted granted Critical
Publication of DE69433124D1 publication Critical patent/DE69433124D1/de
Publication of DE69433124T2 publication Critical patent/DE69433124T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3818Decoding for concurrent execution
    • G06F9/382Pipelined decoding, e.g. using predecoding
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3802Instruction prefetching
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3802Instruction prefetching
    • G06F9/3814Implementation provisions of instruction buffers, e.g. prefetch buffer; banks
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3836Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution
    • G06F9/3853Instruction issuing, e.g. dynamic instruction scheduling or out of order instruction execution of compound instructions
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/30Arrangements for executing machine instructions, e.g. instruction decode
    • G06F9/38Concurrent instruction execution, e.g. pipeline, look ahead
    • G06F9/3885Concurrent instruction execution, e.g. pipeline, look ahead using a plurality of independent parallel functional units

Description

  • Die Erfindung betrifft ein Verfahren zum Betreiben eines Prozessors gemäß Anspruch 1, einen Prozessor gemäß Anspruch 11 sowie einen Cache-Speicher gemäß Anspruch 21 und somit eine Architektur, in der einzelne Befehle parallel ausgeführt werden können, sowie Verfahren und Vorrichtungen, um dies zu erreichen.
  • Ein übliches Ziel beim Entwurf von Computerarchitekturen besteht in der Erhöhung der Ausführungsgeschwindigkeit einer gegebenen Menge von Befehlen. Ein Zugang zum Erhöhen der Befehlsausführungsraten besteht in der Ausgabe mehr als eines Befehls pro Taktzyklus, mit anderen Worten, in der parallelen Ausgabe von Befehlen. Dies ermöglicht, dass die Befehlsausführungsrate die Taktrate übersteigt. Computersysteme, die während jedes Taktzyklus mehrere unabhängige Befehle ausgeben, müssen das Problem lösen, die parallel abgesendeten einzelnen Befehle an ihre jeweiligen Ausführungseinheiten zu lenken. Ein Mechanismus, der zum Erreichen dieses parallelen Lenkens von Befehlen verwendet wird, wird allgemein "Kreuzschienenschalter" genannt.
  • In Computern des derzeitigen Standes der Technik, z. B. in der Digital Equipment Alpha, in der Sun Microsystems SuperSparc und im Intel Pentium, ist der Kreuzschienenschalter als Teil der Befehlspipeline realisiert. In diesen Maschinen ist die Kreuzschiene zwischen der Befehlsdecodierungs- und der Befehlsausführungsstufe angeordnet. Dies liegt daran, dass der herkömmliche Zugang erfordert, dass die Befehle decodiert werden müssen, bevor die Pipeline bestimmt werden kann, an die sie abzusenden sind. Leider verlangsamt die Decodierung auf diese Weise die Systemgeschwindigkeit und erfordert zusätzliche Fläche auf der integrierten Schaltung, auf der der Prozessor ausgebildet ist. Diese Nachteile werden unten weiter erläutert.
  • De Gloria u. a. "A programmable instruction format extension to VLIW architectures", Proceedings of the 6th annual European Conference on Computer Systems and software Engineering", 4. Mai 1992 (04. 05. 1992), S. 35–40, XP000344165, ISBN 0-8186-2760-3, offenbart eine VLIW-Prozessorarchitektur mit einer Übersetzungsprozedur, die mehreren Funktionseinheiten der Maschine unter Verwendung eines Formatübersetzungsspeichers, der die Entsprechung zwischen dem Steuerfeld und den Operandenadressen enthält, Befehlsfelder zuweist, um so die Befehlsbreite zu verringern.
  • EPA 0 496 928 offenbart einen Vorprozessor, der Verbundinformationen verwendet, um zu bestimmen, ob skalare Befehle eines Befehlsstroms parallel mit Nachbarbefehlen verarbeitet werden können. Diese Verbundinformationen spezifizieren tatsächlich die Grenzen der Gruppen zusammenhängender Befehle, die parallel auszuführen sind.
  • Die Erfindung ist in den Ansprüchen 1, 11 und 21 definiert.
  • Es wird eine Computersystemarchitektur geschaffen, die ermöglicht, Befehle schneller, mit niedrigerer Leistung und einfacherer Schaltungsanordnung als zuvor möglich an eine geeignete Pipeline zu lenken. Die Erfindung ordnet den Kreuzschienenschalter früher in der Pipeline an, was ihn zu einem Teil der Anfangs-Befehlsholoperation macht. Dies ermöglicht, dass die Kreuzschiene anstelle einer Stufe in der Befehlspipeline Teil des Caches selbst ist. Außerdem ermöglicht es, dass die Kreuzschiene anstelle einer zufälligen Logik Schaltungsentwurtsparameter nutzt, die typisch für reguläre Speicherstrukturen sind. Diese Vorteile umfassen: Niedrigere Schaltspannungen (200–300 Millivolt anstelle von 3–5 Volt); kompakterer Entwurt und höhere Schaltgeschwindigkeiten. Außerdem wird, wenn die Kreuzschiene in dem Cache angeordnet wird, die Notwendigkeit vieler Leseverstärker beseitigt, was die in dem System als Ganzes benötigte Schaltungsanordnung verringert.
  • Zur Realisierung des Kreuzschienenschalters müssen die aus dem Cache oder auf andere Weise bei dem Schalter eintreffenden Befehle gekennzeichnet werden oder muss ihnen auf andere Weise ein Pipeline-Identifizierer zugeordnet werden, um die Befehle zur Ausführung an die geeignete Pipeline zu leiten. Mit anderen Worten, die Pipeline-Absendeinformationen müssen bei dem Kreuzschienenschalter zur Befehlsholzeit verfügbar sein, bevor die herkömmliche Befehlsdecodierung stattgefunden hat. Es gibt mehrere Möglichkeiten, wie diese Fähigkeit erfüllt sein kann: In einer Ausführungsform enthält dieses System einen Mechanismus, der jeden Befehl in einer Menge von parallel auszuführenden Befehlen gemäß der Bestimmung durch ein Pipeline-Identifizierungskennzeichen, das während der Kompilierung an jeden Befehl angebracht wird oder das in einem getrennten Identifizierungsbefehl, der den ursprünglichen Befehl begleitet, angeordnet wird, an eine geeignete Pipeline lenkt. Alternativ kann die Pipeline-Zuschreibung unter Verwendung einer Spezialvordecodierereinheit nach der Kompilierung zu der Zeit, zu der die Befehle aus dem Speicher in den Cache geholt werden, bestimmt werden.
  • Somit enthält dieses System in einer Realisierung ein Register oder andere Mittel, beispielsweise die Speicherzellen, die die Speicherung einer Zeile im Cache schaffen, um parallel auszuführende Befehle zu halten. Jedem Befehl ist ein Pipeline-Identifizierer zugeordnet, der die Pipeline angibt, an die dieser Befehl ausgegeben werden soll. Es ist ein Kreuzschienenschalter vorgesehen, der eine erste Menge von Verbindern besitzt, die zum Empfang der Befehle gekoppelt sind, und der eine zweite Menge von Verbindern besitzt, die mit den Verarbeitungspipelines gekoppelt sind, an die die Befehle zur Ausführung abgesendet werden sollen. Es sind Mittel vorgesehen, die auf die Pipeline-Identifizierer der einzelnen Befehle in der an die erste Menge von Verbindern gelieferten Gruppe antworten, um diese einzelnen Befehle an die geeigneten Wege der zweiten Menge von Verbindern zu lenken und somit jeden parallel auszuführenden Befehl in der Gruppe an die geeignete Pipeline zu liefern.
  • In einer bevorzugten Ausführungsform dieser Erfindung ist die Assoziativ-Kreuzschiene in dem Befehls-Cache realisiert. Durch Anordnen der Kreuzschiene in dem Cache erfolgt das gesamte Schalten bei niedrigen Signalpegeln (etwa 200–300 Millivolt). Das Schalten bei diesen niedrigen Pegeln ist wesentlich schneller als das Schalten bei höheren Pegeln (5 Volt) nach den Leseverstärkern. Außerdem beseitigt die niedrigere Leistung die Notwendigkeit großer Treiberschaltungen, während sie zahlreiche Leseverstärker beseitigt. Außerdem wird durch Realisieren der Kreuzschiene in dem Cache der Layoutabstand der Kreuzschienenleitungen an den Abstand des Layouts des Caches angepasst.
  • 1 ist ein Blockschaltplan, der eine typische Umgebung für eine bevorzugte Realisierung der Erfindung zeigt;
  • 2 ist ein Diagramm, das die Gesamtstruktur des Befehls-Caches aus
  • 1 zeigt;
  • 3 ist ein Diagramm, das eine Ausführungsform des Assoziativ-Kreuzschienenschalters zeigt;
  • 4 ist ein Diagramm, das eine weitere Ausführungsform des Assoziativ-Kreuzschienenschalters zeigt; und
  • 5 ist ein Diagramm, das eine weitere Ausführungsform des Assoziativ-Kreuzschienenschalters zeigt.
  • 1 zeigt die Organisation des integrierten Schaltungschips, durch den das Computersystem gebildet wird. Wie gezeigt ist, enthält das System eine erste integrierte Schaltung 10, die eine Zentraleinheit, eine Gleitkommaeinheit und einen Befehls-Cache enthält.
  • In der bevorzugten Ausführungsform ist der Befehls-Cache ein 16-Kilo byte-Zweiwege-Teilassoziativ-32-Byte-Zeilen-Cache. Ein Teilassoziativ- oder Setassociative-Cache ist ein Cache, in dem die Zeilen (oder Blöcke) lediglich an einer beschränkten Menge von Stellen angeordnet werden können. Zunächst wird die Zeile in eine Menge abgebildet, wobei sie aber irgendwo innerhalb dieser Menge angeordnet werden kann. In einem Zweiwege-Teilassoziativ-Cache sind zwei Mengen oder Fächer vorgesehen, wobei jede Zeile in dem einen oder in dem anderen Fach angeordnet werden kann.
  • Außerdem enthält das System einen Daten-Cache-Chip 20, der einen 32-Kilobyte-Vierwege-Teilassoziativ-32-Byte-Zeilen-Cache umfasst. Der dritte Chip 30 des Systems enthält einen Vordecodierer, einen Cache-Controller und einen Speicher-Controller. Der Vordecodierer und der Befehls-Cache werden unten weiter erläutert. Für die Zwecke dieser Erfindung können die CPU, die FPU, der Daten-Cache, der Cache-Controller und der Speicher-Controller sämtlich als herkömmlich konstruiert betrachtet werden.
  • Die Kommunikationswege zwischen den Chips sind in 1 durch Pfeile gezeigt. Wie gezeigt ist, kommunizieren die CPU/FPU und der Befehls-Cache-Chip mit dem Vordecodierer-Chip 30 über einen 32 Bits breiten Bus 12. Das Sternchen wird zur Angabe verwendet, dass diese Kommunikationen multiplexiert sind, so dass ein 64-Bit-Wort in zwei Zyklen übermittelt wird. Außerdem empfängt der Chip 10 über die 64 Bits breiten Busse 14, 16 Informationen von dem Daten-Cache 20, während er über die drei 32 Bits breiten Busse 18 Informationen an den Daten-Cache 20 liefert. Der Vordecodierer decodiert einen von dem Sekundär-Cache empfangenen 32-Bit-Befehl in ein 64-Bit-Wort und liefert dieses 64-Bit-Wort an den Befehls-Cache auf dem Chip 10.
  • Der Cache-Controller auf dem Chip 30 wird jedes Mal aktiviert, wenn ein Fehltreffer des Caches der ersten Ebene auftritt. Daraufhin geht der Cache-Controller entweder zum Hauptspeicher oder zum Sekundär-Cache, um die erforderlichen Informationen zu holen. In der bevorzugte Ausführungsform besitzen die Zeilen des Sekundär-Cache 32 Bytes, während der fache eine Seitengröße von 8 Kilobytes besitzt.
  • Der Daten-Cache-Chip 20 kommuniziert über einen weiteren 32 Bits breiten Bus mit dem Cache-Controller-Chip 30. Außerdem kommuniziert der Cache-Controller-Chip 30 über einen 64 Bits breiten Bus 32 mit dem DRAM-Speicher, über einen 128 Bits breiten Bus 34 mit einem Sekundär-Cache und über einen 64 Bits breiten Bus 36 mit Eingabe/Ausgabe-Vorrichtungen.
  • Wie unten weiter beschrieben wird, enthält das in 1 gezeigte System mehrere Pipelines, die getrennte, gleichzeitig an diese parallelen Pipelines abgesendete Befehle parallel bearbeiten können. In einer Ausführungsform müssen die parallelen Befehle durch den Compiler identifiziert und mit einem Pipeline-Identifizierungskennzeichen gekennzeichnet werden, das die besondere Pipeline angibt, an die dieser Befehl abgesendet werden soll.
  • In diesem System können eine beliebige Anzahl von Befehlen parallel ausgeführt werden. In einer Ausführungsform dieses Systems enthält die Zentraleinheit acht Funktionseinheiten, wobei sie acht Befehle parallel ausführen kann. Diese Pipelines sind unter Verwendung der Ziffern 0 bis 7 bezeichnet. Außerdem wird für diese Erläuterung angenommen, dass jedes Befehlswort 32 Bits (4 Bytes) lang ist.
  • Wie oben kurz erwähnt wurde, werden in der bevorzugten Ausführungsform während der Kompilierung einzelnen Befehlen in einer Menge von Befehlen Pipeline-Identifizierer zugeordnet. In der bevorzugten Ausführungsform wird dies dadurch erreicht, dass die auszuführenden Befehle unter Verwendung einer wohlbekannten Compiler-Technologie kompiliert werden. Während der Kompilierung werden die Befehle auf Datenabhängigkeiten, auf eine Abhängigkeit von früheren Verzweigungsbefehlen oder auf andere Bedingungen, die ihre parallele Ausführung mit anderen Befehlen ausschließen, geprüft. Das Ergebnis der Kompilierung ist die Identifizierung einer Menge oder Gruppe von Befehlen, die parallel ausgeführt werden können. Außerdem bestimmt der Compiler in der bevorzugten Ausführungsform die geeignete Pipeline zur Ausführung eines einzelnen Befehls. Diese Bestimmung ist im Wesentlichen eine Bestimmung des Typs des gelieferten Befehls. Beispielsweise werden Falsch-Befehle an die Falsch-Pipeline gesendet, Speicherbefehle an die Speicher-Pipeline gesendet usw. Die Zuordnung des Befehls zu der gegebenen Pipeline kann entweder durch den Compiler oder durch spätere Untersuchung des Befehls selbst, beispielsweise während der Vordecodierung, erreicht werden.
  • Wieder mit Bezug auf 1 führt die CPU im normalen Betrieb die Befehle aus dem Befehls-Cache gemäß wohlbekannten Prinzipien aus. Bei einem Befehls-Cache-Fehltreffer wird aber eine Menge von Befehlen, die den Fehltreffer-Befehl enthalten, aus dem Hauptspeicher in den Sekundär-Cache und daraufhin in den Primär-Befehls-Cache oder aus dem Sekundär-Cache in den Primär-Befehls-Cache übertragen, wo sie eine Zeile des Befehls-Cache-Speichers belegt. Da die Befehle lediglich aus dem Befehls-Cache ausgeführt werden, unterliegen schließlich sämtliche Befehle der folgenden Prozedur.
  • Zu der Zeit, zu der eine Gruppe von Befehlen in den Befehls-Cache übertragen wird, werden die Befehls-Wörter durch den Vordecodierer 30 vordecodiert. Als Teil des Vordecodierungsprozesses wird anhand eines durch den Compiler zu dem Befehl hinzugefügten Identifizierungskennzeichens zu jedem Befehl ein Mehrbitfeld-Präfix hinzugefügt. Dieses Präfix liefert die explizite Pipelineabschnitt-Nummer der Pipeline, an die dieser Befehl gelenkt wird. Somit besitzt jeder Befehl zu der Zeit, zu der ein Befehl von dem Vordecodierer an den Befehls-Cache geliefert wird, einen Pipeline-Identifizierer.
  • Es kann wünschenswert sein, das System dieser Erfindung auf Computersystemen zu realisieren, die bereits existieren und somit Befehlsstrukturen besitzen, die bereits ohne verfügbare freie Felder für die Pipeline-Informationen definiert worden sind. In diesem Fall werden die Pipeline-Identifizierer-Informationen in einer weiteren Ausführungsform dieser Erfindung in einem anderen Taktzyklus geliefert und daraufhin mit den Befehlen in dem Cache vereinigt oder in einem getrennten kleineren Cache angeordnet. Ein solcher Zugang kann dadurch erreicht werden, dass ein "No-Op-Befehl" mit Feldern hinzugefügt wird, die die Pipeline zur Ausführung des Befehls identifizieren, oder dass Informationen geliefert werden, die sich auf andere Weise auf die parallelen Befehle beziehen. Somit ist klar, dass die Art, in der der Befehl und der Pipeline-Identifizierer an der Kreuzschiene zur Verarbeitung eintreffen, etwas beliebig ist. Zur Bezeichnung des Konzepts, dass die Pipeline-Identifizierer keine feste Beziehung zu den Befehlswörtern zu haben brauchen, wird hier das Wort "zugeordnet" verwendet. Somit brauchen die Identifizierer nicht selbst durch den Compiler in die Befehle eingebettet zu werden. Statt dessen können sie von anderen Mitteln oder in einem anderen Zyklus eintreffen.
  • 2 ist ein vereinfachtes Diagramm, das den Sekundär-Cache, den Vordecodierer und den Befehls-Cache zeigt. Diese Figur sowie die 3, 4 und 5 werden zur Erläuterung der Art verwendet, in der die mit dem Pipeline-Identifizierer gekennzeichneten Befehle an ihre bestimmten Befehlspipelines gelenkt werden.
  • In 2 wird zur Erläuterung angenommen, dass Gruppen parallel auszuführender Befehle in einer einzigen Übertragung aus einem Sekundär-Cache 50 über einen 256 Bits (32 Bytes) breiten Weg in den Vordecodierer 60 geholt werden. Wie oben erläutert wurde, fügt der Vordecodierer das Pipeline-Feld "P" als Präfix an den Befehl an. Nach der Vordecodierung wird die resultierende Menge von Befehlen in den Primär-Befehls-Cache 70 übertragen. Gleichzeitig wird in dem Identifizierungskennzeichen-Feld 74 für diese Zeile ein Identifizierungskennzeichen angeordnet.
  • In der bevorzugten Ausführungsform arbeitet der Befehls-Cache als herkömmlicher physikalisch adressierter Befehls-Cache. In dem in 2 gezeigten Beispiel enthält der Befehls-Cache 512-Bit-Mengen an Befehlen mit jeweils acht Befehlen, die in zwei Fächern zu 256 Zeilen organisiert sind.
  • Die Adressenquellen für den Befehls-Cache treffen bei einem Multiplexer 80 ein, der die nächste zu holende Adresse auswählt. Da die Befehle vorzugsweise immer Maschinenwörter sind, werden die zwei niederwertigen Adressenbits < 1 : 0 > des an den Multiplexer 80 gelieferten 32-Bit-Adressenfelds verworfen. Diese zwei Bits bezeichnen Byte- und Halbwort-Grenzen. Von den verbleibenden 30 Bits werden die nächsten drei niederwertigen Adressenbits < 4 : 2 >, die ein besonderes Befehlswort in der Menge bezeichnen, über den Bus 81 direkt an die Assoziativ-Kreuzschiene gesendet. Die nächsten acht niederwertigen Adressenbits < 12 : 5 > werden über den Bus 82 an den Befehls-Cache 70 geliefert, wo sie zur Auswahl einer der 256 Zeilen in dem Befehls-Cache verwendet werden. Schließlich werden die verbleibenden 19 Bits der virtuellen Adresse < 31 : 13 > an den Translation-Look-Aside-Buffer (TLB) 90 gesendet. Der TLB übersetzt diese Bits in die hohen 19 Bits der physikalischen Adresse. Daraufhin liefert sie der TLB über den Bus 84 an den Befehls-Cache. In dem Cache werden sie mit dem Identifizierungskennzeichen der ausgewählten Zeile verglichen, um zu bestimmen, ob es in dem Befehls-Cache einen "Treffer" oder einen "Fehltreffer" gibt.
  • Wenn es in dem Befehls-Cache einen Treffer gibt, was angibt, dass der adressierte Befehl in dem Cache vorhanden ist, wird die ausgewählte Menge von Befehlen über den 512 Bits breiten Bus 73 an die Assoziativ-Kreuzschiene 100 übertragen. Die Assoziativ-Kreuzschiene 100 sendet daraufhin die adressierten Befehle über die Busse 110, 111, ..., 117 an die geeigneten Pipelines ab. Vorzugsweise sind die Bitleitungen von den Speicherzellen, die die Bits des Befehls speichern, selbst mit der Assoziativ-Kreuzschiene gekoppelt. Dies beseitigt die Notwendigkeit zahlreicher Leseverstärker und ermöglicht, dass die Kreuzschiene ohne die normalennreise dazwischenliegende Treiberschaltungsanordnung, die den Systembetrieb verlangsamt, direkt an den Informationen mit niedrigerem Spannungshub von der Cache-Zeile arbeitet.
  • 3 zeigt eine Ausführungsform der Assoziativ-Kreuzschiene ausführlicher. Ein 512 Bits breites Register 130, das die Speicherzellen in einer Zeile des Caches repräsentiert (oder ein physikalisch getrenntes Register sein kann), enthält wenigstens die Menge von Befehlen, die ausgegeben werden können. Für Erläuterungszwecke ist das Register 130 in der Weise gezeigt, dass es bis zu acht Befehlswörter W0 bis W7 enthält. Unter Verwendung der in der obenerwähnten gleichzeitig anhängigen Anwendung beschriebenen Mittel sind die Befehle zur parallelen Ausführung in Gruppen sortiert worden. Zur Erläuterung wird hier angenommen, dass die Befehle in der Gruppe 1 an die Pipelines 1, 2 und 3 abgesendet werden sollen; dass die Befehle in der Gruppe 2 an die Pipelines 1, 3 und 6 abgesendet werden sollen und dass die Befehle in der Gruppe 3 an die Pipeline 1 und 6 abgesendet werden sollen. Das Decodierer-Auswahlsignal ermöglicht, dass lediglich die geeignete Menge von Befehlen parallel ausgeführt wird, wobei es im wesentlichen ermöglicht, dass das Register 130 mehr als nur eine Menge von Befehlen enthält. Natürlich ist dadurch, dass lediglich das Register 130 für lediglich eine Menge von parallelen Befehlen gleichzeitig verwendet wird, das Decodierer-Auswahlsignal nicht erforderlich.
  • Wie in 3 gezeigt ist, enthält der Kreuzschienenschalter selbst zwei Mengen von gekreuzten Parallelwegen. Die Pipeline-Parallelwege 180, 181, ..., 187 liegen in horizontaler Richtung. Die Befehlswortwege 190, 191, ..., 197 liegen in vertikaler Richtung. Jeder dieser Pipeline- und Befehlsparallelwege ist selbst ein Bus zur Übertragung des Befehlsworts. Jeder horizontale Pipeline-Parallelweg ist mit einer Pipeline-Ausführungseinheit 200, 201, 202, ..., 207 gekoppelt. Jeder der vertikalen Befehlswort-Parallelwege 190, 191, ..., 197 ist mit einem geeigneten Abschnitt des Registers oder der Cache-Zeile 130 gekoppelt.
  • Die jedem Befehlswort-Parallelweg zugeordneten Decodierer 170, 171, ..., 177 empfangen den 4-Bit-Pipeline-Code von dem Befehl. Jeder Decodieren, beispielsweise der Decodierer 170, liefert acht 1-Bit-Steuerleitungen als Ausgang. Jeder Pipeline-Parallelwegkreuzung dieses Befehlswort-Parallelwegs ist eine dieser Steuerleitungen zugeordnet. Die Auswahl eines wie mit Bezug auf 3 beschriebenen Decodierers aktiviert die dieser Eingangs-Pipelineabschnittnummer entsprechende Ausgangs-Bitsteuerleitung. Dies signalisiert der Kreuzschiene, den Schalter zwischen dem diesem Decodierer zugeordneten Wortweg und dem durch diese Bitleitung ausgewählten Pipelineabschnittweg zu schließen. Das Herstellen der Kreuzverbindung zwischen diesen zwei Parallelwegen bewirkt, dass ein ausgewähltes Befehlswort in die ausgewählte Pipeline fließt. Beispielsweise hat der Decodierer 173 die Pipeline-Bits für das Wort W3 empfangen. Dem Wort W3 ist der Pipeline-Weg 1 zugeordnet. Die Bits des Pipeline-Weges 1 werden decodiert, um den Schalter 213 zu aktivieren, so dass er das Befehlswort W3 über den Pipeline-Weg 181 an die Pipeline-Ausführungseinheit 201 liefert. Auf ähnliche Weise aktiviert die Identifizierung des Pipeline-Wegs 3 für den Decodierer D4 den Schalter 234, so dass er das Befehlswort W4 an den Pipeline-Weg 3 liefert. Schließlich aktiviert die Identifizierung der Pipeline 6 für das Wort W5 im Decodierer D5 den Schalter 265, so dass das Befehlswort W5 über den Pipeline-Parallelweg 186 an die Pipeline-Ausführungseinheit 206 übertragen wird. Somit werden die Befehle W3, W4 und W5 durch die Pipelineabschnitte 201, 203 bzw. 206 ausgeführt. Die in 3 gezeigten Pipeline-Verarbeitungseinheiten 200, 201, ..., 207 können die gewünschten Operationen ausführen. In einer bevorzugten Ausführungsform der Erfindung enthält jede der acht Pipelines zunächst einen Leseverstärker zum Erfassen des Zustands der Signale auf den Bitleitungen von der Kreuzschiene. In einer Ausführungsform enthalten die Pipelines erste und zweite Arithmetik-Logik-Einheiten; erste und zweite Gleitkommaeinheiten; erste und zweite Ladeeinheiten; eine Speichereinheit und eine Steuereinheit. Die besondere Pipeline, an die ein gegebenes Befehlswort abgesendet wird, hängt von den Hardware-Nebenbedingungen sowie von Datenabhängigkeiten ab.
  • 4 ist ein Diagramm, das eine weitere Ausführungsform der Assoziativ-Kreuzschiene zeigt. In 4 sind neun Pipelines 0–8 an die Kreuzschiene gekoppelt gezeigt. Wie in dem System aus 3 wird die Decodierungsauswahl verwendet, um eine Teilmenge der Befehle in dem Register 130 zur Ausführung freizugeben.
  • Daraufhin werden die Ausführungsports, die mit den durch die Pipeline-Identifizierungsbits der freigegebenen Befehle angegebenen Pipelines verbunden sind, ausgewählt, um die geeigneten Befehle aus dem Inhalt des Registers herauszumultiplexieren. Falls einer oder mehrere der Pipelines nicht zum Empfang eines neuen Befehls bereit ist, verhindert eine Menge von Haltezwischenspeichern am Ausgang der Ausführungsports bis die "belegte" Pipeline frei ist, dass irgendeiner der freigegebenen Befehle ausgegeben wird. Andernfalls werden die Befehle transparent über die Haltezwischenspeicher an ihre jeweiligen Pipelines übergeben. Das Ausgangssignal jedes Ports wird von einem "Port-gültig"-Signal beglei tet, das angibt, ob der Port gültige Informationen zur Ausgabe an den Haltezwischenspeicher besitzt.
  • 5 zeigt eine alternative Ausführungsform für die Erfindung, bei der die Pipeline-Identifizierungskennzeichen nicht bei dem Befehl enthalten sind, sondern getrennt geliefert werden, oder bei der die Cache-Zeile selbst als das Register für die Kreuzschiene verwendet wird. In diesen Situationen können die Pipeline-Identifizierungskennzeichen in einem schnellen getrennten Cache-Speicher 200 angeordnet werden. Das Ausgangssignal von diesem Speicher kann dann die Kreuzschiene auf die gleiche Weise wie in Verbindung mit 3 beschrieben steuern. Dieser Zugang beseitigt die Notwendigkeit von Leseverstärkern zwischen dem Befehls-Cache und der Kreuzschiene. Dies ermöglicht, dass die Kreuzschiene Signale mit sehr niedriger Spannung schneller als Signale mit höherem Pegel schaltet, wobei die Notwendigkeit Hunderter von Leseverstärkern beseitigt wird. Um ein Signal mit höherem Pegel zur Steuerung der Kreuzschiene zu liefern, ist zwischen dem Pipeline-Identifizierungskennzeichen-Cache 200 und der Kreuzschiene 100 der Leseverstärker 205 angeordnet. Da der Pipeline-Identifizierungskennzeichen-Cache ein verhältnismäßig kleiner Speicher ist, kann er aber schneller arbeiten als der Befehls-Cache-Speicher, so dass die Identifizierungskennzeichen trotz des Leseverstärkers zwischen dem Cache 200 und der Kreuzschiene 100 rechtzeitig zur Steuerung der Kreuzschiene verfügbar sind. Wenn das Schalten in der Kreuzschiene stattfindet, werden die Signale, bevor sie zur Ausführung an die verschiedenen Pipelines geliefert werden, durch die Leseverstärker 210 verstärkt.
  • Die obenbeschriebene Architektur schafft viele einzigartige Vorteile für ein System unter Verwendung dieser Kreuzschiene. Die beschriebene Kreuzschiene ist äußerst flexibel, was ermöglicht, Befehle vollständig je nach "Intelligenz" des Compilers aufeinander folgend oder parallel auszuführen. Wichtig ist, dass sich die Assoziativ-Kreuzschiene nicht auf eine externen Steuerschaltung, die unabhängig von den ausgeführten Befehlen wirkt, sondern auf den Inhalt der decodierten Nachricht stützt. Im Wesentlichen ist die Assoziativ-Kreuzschiene selbstbestimmt.
  • Ein weiterer wichtiger Vorteil dieses Systems besteht darin, dass es intelligentere Compiler ermöglicht. Durch den Compiler kann bestimmt werden, dass zwei Befehle, die gegenüber einem Hardware-Decodierer (wie in dem obenbeschrieben Stand der Technik) abhängig voneinander zu sein scheinen, nicht von einander abhängig sind. Beispielsweise würde ein Hardware-Decodierer nicht zulassen, dass die zwei Befehle R1 + R2 = R3 und R3 + R5 = R6 parallel ausgeführt werden. Ein Compiler kann dagegen "intelligent" genug sein, um zu bestimmen, dass das zweite R3 ein früherer Wert von R3 ist, der nicht durch R1 + R2 berechnet zu werden braucht, so dass er erlaubt, dass beide Befehle gleichzeitig ausgegeben werden. Dies ermöglicht, dass die Software flexibler und schneller ist.
  • Obgleich das Vorstehende eine Beschreibung der bevorzugten Ausführungsform der Erfindung war, ist für den Fachmann auf dem Gebiet offensichtlich, dass an der Erfindung zahlreiche Abwandlungen und Änderungen vorgenommen werden können, ohne von dem hier beschriebenen Umfang abzuweichen. Zum Beispiel können beliebige Anzahlen von Pipelines, beliebige Anzahlen von Decodierern und verschiedene Architekturen verwendet werden, die aber dennoch auf dem hier entwickelten System beruhen.

Claims (30)

  1. Verfahren zum Betreiben eines Prozessors, das die folgenden Schritte umfaßt: Speichern mehrerer Befehle (W0–W7, 1017) in einem Speicher (70, 74, 200), wobei jeder Befehl (W0–W7, 1017) einer von mehreren Befehlstypen ist, wobei die Befehle in Rahmen codiert sind, wobei jeder Rahmen mehrere Befehlsschlitze und Lenkungsbits umfaßt, die Befehlsgruppen-Grenzen innerhalb des Rahmens spezifizieren, wobei eine Befehlsgruppe eine Menge statisch aneinandergrenzender Befehle (W0–W7, 1017), die konkurrent ausgeführt werden, umfaßt; wobei jede der mehreren Ausführungseinheiten (0, ..., 7) einer von mehreren Ausführungseinheit-Typen ist; und wobei jeder Befehlstyp in einem Ausführungseinheit-Typ ausgeführt wird, gekennzeichnet durch Verwenden eines Kreuzschienen-Schaltmittels (100), das mit mehreren Ausführungseinheiten (0, ..., 7) gekoppelt ist, um in Reaktion auf die Lenkungsbits Befehle (W0–W7, 1017) in der Befehlsgruppe parallel an Ausführungseinheiten (0, ..., 7) der mehreren Ausführungseinheiten (0, ..., 7) auszugeben.
  2. Verfahren nach Anspruch 1, bei dem das Kreuzschienen-Schaltmittel (100) ferner das Verwenden des Kreuzschienen-Schaltmittels umfaßt, um die Befehle (W0–W7, 1017) mit Ausführungseinheiten geeigneter Typen in Reaktion auf die Lenkungsbits zu koppeln.
  3. Verfahren nach den Ansprüchen 1 oder 2, bei dem die Befehlstypen Ganzzahl-Befehle und Gleitkomma-Befehle umfassen.
  4. Verfahren nach einem der Ansprüche 1 bis 3, bei dem die Befehlstypen Ladebefehle und Speicherbefehle umfassen.
  5. Verfahren nach einem der Ansprüche 1 bis 4, bei dem die Ausführungseinheiten (0, ..., 7) eine Arithmetik-Logik-Einheit und eine Gleitkommaeinheit umfassen.
  6. Verfahren nach einem der Ansprüche 1 bis 5, bei dem die Lenkungsbits 4 Bits umfassen.
  7. Verfahren nach einem der Ansprüche 1 bis 6, bei dem eine Byte-Reihenfolge der Befehle (W0–W7, 1017) im Rahmen in dem Speicher (70, 74, 200) in einem Little-Endian-Format oder in einem Big-Endian-Fonnat vorliegt.
  8. Verfahren nach einem der Ansprüche 1 bis 7, bei dem ein Befehl (W0- W7, 1017) im Rahmen mit der niedrigsten Speicheradresse einem Befehl (W0–W7, 1017) im Rahmen mit der höchsten Speicheradresse vorhergeht.
  9. Verfahren nach einem der Ansprüche 1 bis 8, bei dem der Rahmen wenigstens einen ersten, einen zweiten und einen dritten Befehisschlitz umfaßt.
  10. Verfahren nach einem der Ansprüche 1 bis 9, bei dem die Lenkungsbits wenigstens teilweise in Kompilierungszeit bestimmt werden.
  11. Prozessor, der umfaßt: eine Befehlsmenge, die Register adressierende Befehle (W0–W7, 1017) umfaßt, wovon jeder einer von mehreren Befehlstypen ist, wobei die Befehle (W0-W7, 1017) in Rahmen codiert sind, wovon jeder mehrere Befehlsschlitze und Lenkungsbits umfaßt, die Befehlsgruppengrenzen innerhalb des Rahmens spezifizieren, wobei eine Befehlsgruppe eine Menge statisch aneinandergrenzender Befehle (W0–W7, 1017), die konkurrent ausgeführt werden, umfaßt; mehrere Ausführungseinheiten (0, ..., 7), wovon jede einer von mehreren Ausführungseinheit-Typen ist, wovon jeder in einem Ausführungseinheit-Typ ausgeführt wird; gekennzeichnet durch ein Kreuzschienen-Schaltmittel (100), das mit den mehreren Ausführungseinheiten (0, ..., 7) gekoppelt ist, wobei das Kreuzschienen-Schaltmittel (100) so konfiguriert ist, daß es in Reaktion auf die Lenkungsbits Befehle (W0–W7, 1017) in der Befehlsgruppe parallel an Ausführungseinheiten (0, ..., 7) der mehreren Ausführungseinheiten (0, ..., 7) ausgibt.
  12. Prozessor nach Anspruch 11, bei dem das Kreuzschienen-Schaltmittel (100) außerdem so konfiguriert ist, daß es in Reaktion auf die Lenkungsbits die Befehlsschlitze mit den Ausführungseinheit-Typen koppelt.
  13. Prozessor nach Anspruch 11 oder 12, bei dem die Befehlstypen Ganzzahl-Befehle und Gleitkomma-Befehle umfassen.
  14. Prozessor nach einem der Ansprüche 11 bis 13, bei dem die Befehlstypen Ladebefehle und Speicherbefehle umfassen.
  15. Prozessor nach einem der Ansprüche 11 bis 14, bei dem die Ausführungseinheiten (0, ..., 7) eine Arithmetik-Logik-Einheit und eine Gleitkommaeinheit umfassen.
  16. Prozessor nach einem der Ansprüche 11 bis 15, bei dem die Lenkungsbits 4 Bits umfassen.
  17. Prozessor nach einem der Ansprüche 11 bis 16, der ferner einen Speicher (70, 74, 200) umfaßt, der die Rahmen speichert, wobei eine Byte-Reihenfolge der Rahmen im Speicher in einem Little-Endian-Format oder in einem Big-Endian-Format vorliegt.
  18. Prozessor nach einem der Ansprüche 11 bis 17, bei dem ein Befehl (W0–W7, 1017) im Rahmen mit der niedrigsten Speicheradresse einem Befehl in den Rahmen mit der höchsten Speicheradresse vorhergeht.
  19. Prozessor nach einem der Ansprüche 11 bis 18, bei dem der Rahmen wenigstens einen ersten, einen zweiten und einen dritten Befehlsschlitz umfaßt.
  20. Prozessor nach einem der Ansprüche 11 bis 19, bei dem die Lenkungsbits wenigstens teilweise in Kompilierungszeit bestimmt werden.
  21. Cache-Speicher, der umfaßt: einen Rahmen aus Befehlen (W0–W7, 1017), wobei der Rahmen mehrere Befehle (W0–W7, 1017) und Lenkungsbits, die Befehlsgruppengrenzen innerhalb des Rahmens spezifizieren, umfaßt, wobei jeder Befehl (W0–W7, 1017) einer von mehreren Befehlstypen ist und wobei eine Befehlsgruppe eine Menge von statisch aneinandergrenzenden Befehlen (W0–W7, 1017), die konkurrent ausgeführt werden, umfaßt; wobei jeder Befehlstyp in einer von mehreren Ausführungseinheiten (0, ..., 7) auszuführen ist, wobei jede Ausführungseinheit (0, ..., 7) einer von mehreren Ausführungseinheit-Typen ist; dadurch gekennzeichnet, daß Befehle (W0–W7, 1017) in der Befehlsgruppe in Reaktion auf die Lenkungsbits durch Kreuzschienen-Schaltmittel (100) parallel an Ausführungseinheiten (0, ..., 7) der mehreren Ausführungseinheiten (0, ..., 7) ausgegeben werden.
  22. Cache-Speicher nach Anspruch 21, bei dem die Befehle (W0–W7, 10-17) außerdem in Reaktion auf die Lenkungsbits durch das Kreuzschienen-Schaltmittel (100) an Ausführungseinheiten geeigneten Typs ausgegeben werden.
  23. Cache-Speicher nach den Ansprüchen 21 oder 22, bei dem die Befehlstypen Ganzzahl-Befehle und Gleitkomma-Befehle umfassen.
  24. Cache-Speicher nach einem der Ansprüche 21 bis 23, bei dem die Befehlstypen Ladebefehle und Speicherbefehle umfassen.
  25. Cache-Speicher nach einem der Ansprüche 21 bis 24, bei dem die Ausführungseinheiten (0, ..., 7) eine Arithmetik-Logik-Einheit und eine Gleitkomma-Einheit umfassen.
  26. Cache-Speicher nach einem der Ansprüche 21 bis 25, bei dem die Lenkungsbits 4 Bits umfassen.
  27. Cache-Speicher nach einem der Ansprüche 21 bis 26, bei dem eine Byte-Reihenfolge von Befehlen (W0–W7, 1017) im Rahmen von Befehlen in einem Little-Endian-Format oder in einem Big-Endian-Format gespeichert wird.
  28. Cache-Speicher nach. einem der Ansprüche 21 bis 27, bei dem ein Befehl (W0–W7, 1017) im Rahmen mit der niedrigsten Speicheradresse einem Befehl im Rahmen mit der höchsten Speicheradresse vorhergeht.
  29. Cache-Speicher nach einem der Ansprüche 21 bis 28, bei dem die Rahmen wenigstens einen ersten, einen zweiten und einen dritten Befehlsschlitz umfassen.
  30. Cache-Speicher nach einem der Ansprüche 21 bis 29, bei dem die Lenkungsbits wenigstens teilweise in Kompilierungszeit bestimmt werden.
DE69433124T 1993-11-05 1994-10-27 Befehlsspeicher mit assoziativem Kreuzschienenschalter Expired - Lifetime DE69433124T2 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14779793A 1993-11-05 1993-11-05
US147797 1993-11-05

Publications (2)

Publication Number Publication Date
DE69433124D1 DE69433124D1 (de) 2003-10-09
DE69433124T2 true DE69433124T2 (de) 2004-05-27

Family

ID=22522934

Family Applications (3)

Application Number Title Priority Date Filing Date
DE69424370T Expired - Lifetime DE69424370T2 (de) 1993-11-05 1994-10-27 Befehlscachespeicher mit Kreuzschienenschalter
DE69433124T Expired - Lifetime DE69433124T2 (de) 1993-11-05 1994-10-27 Befehlsspeicher mit assoziativem Kreuzschienenschalter
DE69430018T Expired - Lifetime DE69430018T2 (de) 1993-11-05 1994-10-27 Befehlscachespeicher mit assoziativem Kreuzschienenschalter

Family Applications Before (1)

Application Number Title Priority Date Filing Date
DE69424370T Expired - Lifetime DE69424370T2 (de) 1993-11-05 1994-10-27 Befehlscachespeicher mit Kreuzschienenschalter

Family Applications After (1)

Application Number Title Priority Date Filing Date
DE69430018T Expired - Lifetime DE69430018T2 (de) 1993-11-05 1994-10-27 Befehlscachespeicher mit assoziativem Kreuzschienenschalter

Country Status (4)

Country Link
US (3) US5794003A (de)
EP (4) EP0652509B1 (de)
DE (3) DE69424370T2 (de)
HK (1) HK1044056B (de)

Families Citing this family (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5742840A (en) * 1995-08-16 1998-04-21 Microunity Systems Engineering, Inc. General purpose, multiple precision parallel operation, programmable media processor
US6643765B1 (en) 1995-08-16 2003-11-04 Microunity Systems Engineering, Inc. Programmable processor with group floating point operations
KR19980032776A (ko) * 1996-10-16 1998-07-25 가나이 츠토무 데이타 프로세서 및 데이타 처리시스템
US5819058A (en) * 1997-02-28 1998-10-06 Vm Labs, Inc. Instruction compression and decompression system and method for a processor
US7581077B2 (en) 1997-10-30 2009-08-25 Commvault Systems, Inc. Method and system for transferring data in a storage operation
US6418478B1 (en) * 1997-10-30 2002-07-09 Commvault Systems, Inc. Pipelined high speed data transfer mechanism
EP0924603A3 (de) * 1997-12-16 2001-02-07 Lucent Technologies Inc. Compilergesteuerte dynamische Ablauffolgeplanung von Programmbefehlen
US6178500B1 (en) * 1998-06-25 2001-01-23 International Business Machines Corporation Vector packing and saturation detection in the vector permute unit
US6128094A (en) * 1998-07-08 2000-10-03 Hewlett-Packard Company Printer having processor with instruction cache and compressed program store
US6378060B1 (en) 1998-08-24 2002-04-23 Microunity Systems Engineering, Inc. System to implement a cross-bar switch of a broadband processor
US6742110B2 (en) * 1998-10-06 2004-05-25 Texas Instruments Incorporated Preventing the execution of a set of instructions in parallel based on an indication that the instructions were erroneously pre-coded for parallel execution
US6418527B1 (en) * 1998-10-13 2002-07-09 Motorola, Inc. Data processor instruction system for grouping instructions with or without a common prefix and data processing system that uses two or more instruction grouping methods
EP1236090A1 (de) * 1999-02-12 2002-09-04 Microunity Systems Engineering, Inc. System und verfahren zum implementieren eines cross-barschalters eines breitbandprozessors
US6748589B1 (en) 1999-10-20 2004-06-08 Transmeta Corporation Method for increasing the speed of speculative execution
US6351802B1 (en) * 1999-12-03 2002-02-26 Intel Corporation Method and apparatus for constructing a pre-scheduled instruction cache
US6591343B1 (en) * 2000-02-22 2003-07-08 Ip-First, Llc Predecode in parallel with TLB compare
DE10043003A1 (de) * 2000-09-01 2002-03-14 Infineon Technologies Ag Programmgesteuerte Einheit
GB2370380B (en) 2000-12-19 2003-12-31 Picochip Designs Ltd Processor architecture
US7162621B2 (en) 2001-02-21 2007-01-09 Mips Technologies, Inc. Virtual instruction expansion based on template and parameter selector information specifying sign-extension or concentration
US7181484B2 (en) 2001-02-21 2007-02-20 Mips Technologies, Inc. Extended-precision accumulation of multiplier output
US7711763B2 (en) 2001-02-21 2010-05-04 Mips Technologies, Inc. Microprocessor instructions for performing polynomial arithmetic operations
US7599981B2 (en) 2001-02-21 2009-10-06 Mips Technologies, Inc. Binary polynomial multiplier
US6763421B2 (en) * 2001-10-11 2004-07-13 International Business Machines Corporation Instruction pair detection and pseudo ports for cache array
WO2003046712A2 (en) * 2001-11-26 2003-06-05 Koninklijke Philips Electronics N.V. Wlim architecture with power down instruction
EP1367484B1 (de) * 2002-05-31 2013-07-24 STMicroelectronics Limited Instruktionssteuerung
US7877435B2 (en) * 2002-06-20 2011-01-25 International Business Machines Corporation Method and system for transaction pipeline decomposition
EP1378824A1 (de) * 2002-07-02 2004-01-07 STMicroelectronics S.r.l. Verfahren zur Ausführung von Programmen in einem Mehrprozessorsystem, und entsprechenes Prozessorsystem
GB2409553B (en) * 2002-09-16 2007-04-04 Commvault Systems Inc System and method for optimizing storage operations
CA2544063C (en) * 2003-11-13 2013-09-10 Commvault Systems, Inc. System and method for combining data streams in pilelined storage operations in a storage network
US7401328B2 (en) * 2003-12-18 2008-07-15 Lsi Corporation Software-implemented grouping techniques for use in a superscalar data processing system
US7580914B2 (en) * 2003-12-24 2009-08-25 Intel Corporation Method and apparatus to improve execution of a stored program
AT501213B1 (de) * 2004-12-03 2006-10-15 On Demand Microelectronics Gmb Verfahren zum steuern der zyklischen zuführung von instruktionswörtern zu rechenelementen und datenverarbeitungseinrichtung mit einer solchen steuerung
GB2420884B (en) * 2004-12-03 2009-04-15 Picochip Designs Ltd Processor architecture
US7673119B2 (en) * 2005-05-13 2010-03-02 Texas Instruments Incorporated VLIW optional fetch packet header extends instruction set space
US7454597B2 (en) * 2007-01-02 2008-11-18 International Business Machines Corporation Computer processing system employing an instruction schedule cache
TW200910195A (en) * 2007-08-20 2009-03-01 Sunplus Technology Co Ltd A device of using serial bits to determine instruction length at a multi-mode processor and the method thereof
GB2454865B (en) * 2007-11-05 2012-06-13 Picochip Designs Ltd Power control
GB2466661B (en) * 2009-01-05 2014-11-26 Intel Corp Rake receiver
JP2010257199A (ja) * 2009-04-24 2010-11-11 Renesas Electronics Corp プロセッサ及びプロセッサにおける命令発行の制御方法
GB2470037B (en) 2009-05-07 2013-07-10 Picochip Designs Ltd Methods and devices for reducing interference in an uplink
GB2470891B (en) 2009-06-05 2013-11-27 Picochip Designs Ltd A method and device in a communication network
GB2470771B (en) 2009-06-05 2012-07-18 Picochip Designs Ltd A method and device in a communication network
GB2474071B (en) 2009-10-05 2013-08-07 Picochip Designs Ltd Femtocell base station
GB2482869B (en) 2010-08-16 2013-11-06 Picochip Designs Ltd Femtocell access control
GB2483903A (en) * 2010-09-24 2012-03-28 Advanced Risc Mach Ltd Instruction which specifies the type of the next instruction to be executed
US8787368B2 (en) * 2010-12-07 2014-07-22 Advanced Micro Devices, Inc. Crossbar switch with primary and secondary pickers
GB2489919B (en) 2011-04-05 2018-02-14 Intel Corp Filter
GB2489716B (en) 2011-04-05 2015-06-24 Intel Corp Multimode base system
GB2491098B (en) 2011-05-16 2015-05-20 Intel Corp Accessing a base station
WO2013080289A1 (ja) * 2011-11-28 2013-06-06 富士通株式会社 信号処理装置及び信号処理方法
US8898433B2 (en) * 2012-04-26 2014-11-25 Avago Technologies General Ip (Singapore) Pte. Ltd. Efficient extraction of execution sets from fetch sets
US9830164B2 (en) * 2013-01-29 2017-11-28 Advanced Micro Devices, Inc. Hardware and software solutions to divergent branches in a parallel pipeline
US9904481B2 (en) 2015-01-23 2018-02-27 Commvault Systems, Inc. Scalable auxiliary copy processing in a storage management system using media agent resources
US9898213B2 (en) 2015-01-23 2018-02-20 Commvault Systems, Inc. Scalable auxiliary copy processing using media agent resources
GB2544814B (en) 2015-11-30 2019-06-19 Imagination Tech Ltd Modulo hardware generator
US11010261B2 (en) 2017-03-31 2021-05-18 Commvault Systems, Inc. Dynamically allocating streams during restoration of data
CN110413536B (zh) * 2019-06-12 2021-12-31 北京空间飞行器总体设计部 一种多数据格式高速并行NandFlash存储装置

Family Cites Families (118)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4295193A (en) * 1979-06-29 1981-10-13 International Business Machines Corporation Machine for multiple instruction execution
US4437149A (en) * 1980-11-17 1984-03-13 International Business Machines Corporation Cache memory architecture with decoding
US4434149A (en) * 1982-08-30 1984-02-28 Atlantic Richfield Company Acid melt treatment to activate carbon for use as reductant
US5021945A (en) * 1985-10-31 1991-06-04 Mcc Development, Ltd. Parallel processor system for processing natural concurrencies and method therefor
US4847755A (en) * 1985-10-31 1989-07-11 Mcc Development, Ltd. Parallel processing method and apparatus for increasing processing throughout by parallel processing low level instructions having natural concurrencies
US5051940A (en) * 1990-04-04 1991-09-24 International Business Machines Corporation Data dependency collapsing hardware apparatus
US4933837A (en) * 1986-12-01 1990-06-12 Advanced Micro Devices, Inc. Methods and apparatus for optimizing instruction processing in computer systems employing a combination of instruction cache and high speed consecutive transfer memories
US5179680A (en) * 1987-04-20 1993-01-12 Digital Equipment Corporation Instruction storage and cache miss recovery in a high speed multiprocessing parallel processing apparatus
US5057837A (en) * 1987-04-20 1991-10-15 Digital Equipment Corporation Instruction storage method with a compressed format using a mask word
US4920477A (en) * 1987-04-20 1990-04-24 Multiflow Computer, Inc. Virtual address table look aside buffer miss recovery method and apparatus
US4833599A (en) * 1987-04-20 1989-05-23 Multiflow Computer, Inc. Hierarchical priority branch handling for parallel execution in a parallel processor
US5276819A (en) * 1987-05-01 1994-01-04 Hewlett-Packard Company Horizontal computer having register multiconnect for operand address generation during execution of iterations of a loop of program code
US5297255A (en) * 1987-07-28 1994-03-22 Hitachi, Ltd. Parallel computer comprised of processor elements having a local memory and an enhanced data transfer mechanism
US5081575A (en) * 1987-11-06 1992-01-14 Oryx Corporation Highly parallel computer architecture employing crossbar switch with selectable pipeline delay
US4888679A (en) 1988-01-11 1989-12-19 Digital Equipment Corporation Method and apparatus using a cache and main memory for both vector processing and scalar processing by prefetching cache blocks including vector data elements
US5692139A (en) 1988-01-11 1997-11-25 North American Philips Corporation, Signetics Div. VLIW processing device including improved memory for avoiding collisions without an excessive number of ports
NL8800071A (nl) * 1988-01-13 1989-08-01 Philips Nv Dataprocessorsysteem en videoprocessorsysteem, voorzien van een dergelijk dataprocessorsysteem.
US5101341A (en) * 1988-08-25 1992-03-31 Edgcore Technology, Inc. Pipelined system for reducing instruction access time by accumulating predecoded instruction bits a FIFO
US5051885A (en) * 1988-10-07 1991-09-24 Hewlett-Packard Company Data processing system for concurrent dispatch of instructions to multiple functional units
US5226169A (en) * 1988-12-30 1993-07-06 International Business Machines Corp. System for execution of storage-immediate and storage-storage instructions within cache buffer storage
EP0394624B1 (de) * 1989-04-24 1997-06-18 International Business Machines Corporation Mehrfachsequenzprozessorsystem
US5129067A (en) * 1989-06-06 1992-07-07 Advanced Micro Devices, Inc. Multiple instruction decoder for minimizing register port requirements
US5197137A (en) * 1989-07-28 1993-03-23 International Business Machines Corporation Computer architecture for the concurrent execution of sequential programs
JP2725450B2 (ja) * 1989-08-28 1998-03-11 日本電気株式会社 マイクロプロセッサ
WO1991004536A1 (en) * 1989-09-20 1991-04-04 Dolphin Server Technology A/S Instruction cache architecture for parallel issuing of multiple instructions
JP2835103B2 (ja) * 1989-11-01 1998-12-14 富士通株式会社 命令指定方法及び命令実行方式
JP2839590B2 (ja) * 1989-11-10 1998-12-16 株式会社東芝 命令割り当て装置及び命令割り当て方法
US5239654A (en) * 1989-11-17 1993-08-24 Texas Instruments Incorporated Dual mode SIMD/MIMD processor providing reuse of MIMD instruction memories as data memories when operating in SIMD mode
US5471593A (en) 1989-12-11 1995-11-28 Branigin; Michael H. Computer processor with an efficient means of executing many instructions simultaneously
US5121502A (en) * 1989-12-20 1992-06-09 Hewlett-Packard Company System for selectively communicating instructions from memory locations simultaneously or from the same memory locations sequentially to plurality of processing
US5203002A (en) * 1989-12-27 1993-04-13 Wetzel Glen F System with a multiport memory and N processing units for concurrently/individually executing 2N-multi-instruction-words at first/second transitions of a single clock cycle
US5506974A (en) * 1990-03-23 1996-04-09 Unisys Corporation Method and means for concatenating multiple instructions
JP2818249B2 (ja) * 1990-03-30 1998-10-30 株式会社東芝 電子計算機
DE69132675T2 (de) * 1990-04-06 2002-06-13 Nec Corp Parallelfliessband-Befehlsverarbeitungssystem für sehr lange Befehlswörter
US5197135A (en) * 1990-06-26 1993-03-23 International Business Machines Corporation Memory management for scalable compound instruction set machines with in-memory compounding
US5303356A (en) * 1990-05-04 1994-04-12 International Business Machines Corporation System for issuing instructions for parallel execution subsequent to branch into a group of member instructions with compoundability in dictation tag
US5295249A (en) 1990-05-04 1994-03-15 International Business Machines Corporation Compounding preprocessor for cache for identifying multiple instructions which may be executed in parallel
US5504932A (en) * 1990-05-04 1996-04-02 International Business Machines Corporation System for executing scalar instructions in parallel based on control bits appended by compounding decoder
CA2037708C (en) * 1990-05-04 1998-01-20 Richard J. Eickemeyer General purpose compound apparatus for instruction-level parallel processors
US5448746A (en) * 1990-05-04 1995-09-05 International Business Machines Corporation System for comounding instructions in a byte stream prior to fetching and identifying the instructions for execution
EP0454985B1 (de) * 1990-05-04 1996-12-18 International Business Machines Corporation Maschinenarchitektur für skalaren Verbundbefehlssatz
US5214763A (en) 1990-05-10 1993-05-25 International Business Machines Corporation Digital computer system capable of processing two or more instructions in parallel and having a coche and instruction compounding mechanism
CA2038264C (en) * 1990-06-26 1995-06-27 Richard James Eickemeyer In-memory preprocessor for a scalable compound instruction set machine processor
US5151981A (en) * 1990-07-13 1992-09-29 International Business Machines Corporation Instruction sampling instrumentation
JPH04111127A (ja) * 1990-08-31 1992-04-13 Toshiba Corp 演算処理装置
US5689428A (en) 1990-09-28 1997-11-18 Texas Instruments Incorporated Integrated circuits, transistors, data processing systems, printed wiring boards, digital computers, smart power devices, and processes of manufacture
JP2532300B2 (ja) * 1990-10-17 1996-09-11 三菱電機株式会社 並列処理装置における命令供給装置
US5692169A (en) 1990-12-14 1997-11-25 Hewlett Packard Company Method and system for deferring exceptions generated during speculative execution
US5778219A (en) * 1990-12-14 1998-07-07 Hewlett-Packard Company Method and system for propagating exception status in data registers and for detecting exceptions from speculative operations with non-speculative operations
US5299321A (en) * 1990-12-18 1994-03-29 Oki Electric Industry Co., Ltd. Parallel processing device to operate with parallel execute instructions
US5446850A (en) 1991-01-15 1995-08-29 International Business Machines Corporation Cross-cache-line compounding algorithm for scism processors
EP0498067A2 (de) * 1991-02-08 1992-08-12 International Business Machines Corporation Mikrokodeerzeugung für eine Maschine mit skalierbarem Verbundbefehlssatz
DE69112372T2 (de) * 1991-02-19 1996-04-11 Inoue Mfg Planetenmischer.
US5287467A (en) * 1991-04-18 1994-02-15 International Business Machines Corporation Pipeline for removing and concurrently executing two or more branch instructions in synchronization with other instructions executing in the execution unit
US5386531A (en) * 1991-05-15 1995-01-31 International Business Machines Corporation Computer system accelerator for multi-word cross-boundary storage access
US5404469A (en) * 1992-02-25 1995-04-04 Industrial Technology Research Institute Multi-threaded microprocessor architecture utilizing static interleaving
US5337415A (en) * 1992-12-04 1994-08-09 Hewlett-Packard Company Predecoding instructions for supercalar dependency indicating simultaneous execution for increased operating frequency
US5819088A (en) * 1993-03-25 1998-10-06 Intel Corporation Method and apparatus for scheduling instructions for execution on a multi-issue architecture computer
DE69428004T2 (de) * 1993-11-05 2002-04-25 Intergraph Corp Superskalare Rechnerarchitektur mit Softwarescheduling
DE4430195B4 (de) * 1993-12-13 2004-09-23 Hewlett-Packard Co. (N.D.Ges.D.Staates Delaware), Palo Alto Verfahren zur Auswertung von Booleschen Ausdrücken
US5513363A (en) * 1994-08-22 1996-04-30 Hewlett-Packard Company Scalable register file organization for a computer architecture having multiple functional units or a large register file
GB2293670A (en) * 1994-08-31 1996-04-03 Hewlett Packard Co Instruction cache
US5664135A (en) * 1994-09-28 1997-09-02 Hewlett-Packard Company Apparatus and method for reducing delays due to branches
US5689653A (en) 1995-02-06 1997-11-18 Hewlett-Packard Company Vector memory operations
JP2931890B2 (ja) * 1995-07-12 1999-08-09 三菱電機株式会社 データ処理装置
US6179489B1 (en) * 1997-04-04 2001-01-30 Texas Instruments Incorporated Devices, methods, systems and software products for coordination of computer main microprocessor and second microprocessor coupled thereto
US5901318A (en) * 1996-05-06 1999-05-04 Hewlett-Packard Company Method and system for optimizing code
US5748936A (en) * 1996-05-30 1998-05-05 Hewlett-Packard Company Method and system for supporting speculative execution using a speculative look-aside table
US5999738A (en) 1996-11-27 1999-12-07 Hewlett-Packard Company Flexible scheduling of non-speculative instructions
US5943499A (en) * 1996-11-27 1999-08-24 Hewlett-Packard Company System and method for solving general global data flow predicated code problems
US5864692A (en) * 1996-12-16 1999-01-26 Hewlett-Packard Company Method and apparatus for protecting memory-mapped devices from side effects of speculative instructions
US5870576A (en) * 1996-12-16 1999-02-09 Hewlett-Packard Company Method and apparatus for storing and expanding variable-length program instructions upon detection of a miss condition within an instruction cache containing pointers to compressed instructions for wide instruction word processor architectures
US6065106A (en) * 1996-12-20 2000-05-16 Texas Instruments Incorporated Resuming normal execution by restoring without refetching instructions in multi-word instruction register interrupted by debug instructions loading and processing
US6081885A (en) * 1996-12-20 2000-06-27 Texas Instruments Incorporated Method and apparatus for halting a processor and providing state visibility on a pipeline phase basis
US6112298A (en) * 1996-12-20 2000-08-29 Texas Instruments Incorporated Method for managing an instruction execution pipeline during debugging of a data processing system
US6128725A (en) 1997-01-24 2000-10-03 Texas Instruments Incorporated Microprocessor with an instruction for setting or clearing a bit field
US6182203B1 (en) * 1997-01-24 2001-01-30 Texas Instruments Incorporated Microprocessor
US6078940A (en) * 1997-01-24 2000-06-20 Texas Instruments Incorporated Microprocessor with an instruction for multiply and left shift with saturate
US6061780A (en) * 1997-01-24 2000-05-09 Texas Instruments Incorporated Execution unit chaining for single cycle extract instruction having one serial shift left and one serial shift right execution units
US6112291A (en) * 1997-01-24 2000-08-29 Texas Instruments Incorporated Method and apparatus for performing a shift instruction with saturate by examination of an operand prior to shifting
US6055628A (en) * 1997-01-24 2000-04-25 Texas Instruments Incorporated Microprocessor with a nestable delayed branch instruction without branch related pipeline interlocks
US5958044A (en) * 1997-01-24 1999-09-28 Texas Instruments Incorporated Multicycle NOP
US6374346B1 (en) * 1997-01-24 2002-04-16 Texas Instruments Incorporated Processor with conditional execution of every instruction
US6058474A (en) * 1997-01-24 2000-05-02 Texas Instruments Incorporated Method and apparatus for DMA boot loading a microprocessor without an internal ROM
US5819058A (en) * 1997-02-28 1998-10-06 Vm Labs, Inc. Instruction compression and decompression system and method for a processor
US6105119A (en) * 1997-04-04 2000-08-15 Texas Instruments Incorporated Data transfer circuitry, DSP wrapper circuitry and improved processor devices, methods and systems
US5909559A (en) * 1997-04-04 1999-06-01 Texas Instruments Incorporated Bus bridge device including data bus of first width for a first processor, memory controller, arbiter circuit and second processor having a different second data width
US6125334A (en) * 1997-05-02 2000-09-26 Texas Instruments Incorporated Module-configurable full-chip power profiler
US5999739A (en) 1997-05-29 1999-12-07 Hewlett-Packard Company Method and apparatus for elimination of redundant branch instructions from a program
US5941983A (en) * 1997-06-24 1999-08-24 Hewlett-Packard Company Out-of-order execution using encoded dependencies between instructions in queues to determine stall values that control issurance of instructions from the queues
US6145027A (en) 1997-07-09 2000-11-07 Texas Instruments Incorporated DMA controller with split channel transfer capability and FIFO buffering allowing transmit channel to get ahead of corresponding receive channel by preselected number of elements
US6167466A (en) 1997-07-09 2000-12-26 Texas Instruments Incorporated Multi-channel serial port with programmable features
US5881280A (en) * 1997-07-25 1999-03-09 Hewlett-Packard Company Method and system for selecting instructions for re-execution for in-line exception recovery in a speculative execution processor
US5922065A (en) * 1997-10-13 1999-07-13 Institute For The Development Of Emerging Architectures, L.L.C. Processor utilizing a template field for encoding instruction sequences in a wide-word format
US6055649A (en) * 1997-11-19 2000-04-25 Texas Instruments Incorporated Processor test port with scan chains and data streaming
US5970241A (en) * 1997-11-19 1999-10-19 Texas Instruments Incorporated Maintaining synchronism between a processor pipeline and subsystem pipelines during debugging of a data processing system
US6016555A (en) * 1997-11-19 2000-01-18 Texas Instruments Incorporated Non-intrusive software breakpoints in a processor instruction execution pipeline
DE69737750T2 (de) * 1997-12-17 2008-03-06 Hewlett-Packard Development Co., L.P., Houston Erst- und Zweitprozessoren verwendetes Verfahren
US6376109B1 (en) * 1997-12-22 2002-04-23 Matsushita Electric Industrial Co., Ltd. Method and device for mounting cell
US6219796B1 (en) * 1997-12-23 2001-04-17 Texas Instruments Incorporated Power reduction for processors by software control of functional units
DE69838374T2 (de) * 1997-12-23 2008-05-29 Texas Instruments Inc., Dallas Prozessor und Verfahren zum Verringern von dessen Energieverbrauch
US6055828A (en) * 1997-12-30 2000-05-02 Closure Medical Corporation Treatment methods for glass medical adhesive applicators
US6381704B1 (en) * 1998-01-29 2002-04-30 Texas Instruments Incorporated Method and apparatus for altering timing relationships of non-overlapping clock signals in a microprocessor
US6253359B1 (en) * 1998-01-29 2001-06-26 Texas Instruments Incorporated Method for analyzing circuit delays caused by capacitive coupling in digital circuits
US6263470B1 (en) * 1998-02-03 2001-07-17 Texas Instruments Incorporated Efficient look-up table methods for Reed-Solomon decoding
US6173248B1 (en) * 1998-02-09 2001-01-09 Hewlett-Packard Company Method and apparatus for handling masked exceptions in an instruction interpreter
US5881260A (en) * 1998-02-09 1999-03-09 Hewlett-Packard Company Method and apparatus for sequencing and decoding variable length instructions with an instruction boundary marker within each instruction
US6105123A (en) * 1998-03-10 2000-08-15 Hewlett-Packard Company High speed register file organization for a pipelined computer architecture
US6026479A (en) * 1998-04-22 2000-02-15 Hewlett-Packard Company Apparatus and method for efficient switching of CPU mode between regions of high instruction level parallism and low instruction level parallism in computer programs
US6247172B1 (en) * 1998-07-02 2001-06-12 Hewlett-Packard Company Method for a translation system that aggressively optimizes and preserves full synchronous exception state
US6260190B1 (en) * 1998-08-11 2001-07-10 Hewlett-Packard Company Unified compiler framework for control and data speculation with recovery code
US6442701B1 (en) * 1998-11-25 2002-08-27 Texas Instruments Incorporated Power saving by disabling memory block access for aligned NOP slots during fetch of multiple instruction words
US6378109B1 (en) * 1999-07-15 2002-04-23 Texas Instruments Incorporated Method of simulation for gate oxide integrity check on an entire IC
US6374403B1 (en) * 1999-08-20 2002-04-16 Hewlett-Packard Company Programmatic method for reducing cost of control in parallel processes
US6438747B1 (en) * 1999-08-20 2002-08-20 Hewlett-Packard Company Programmatic iteration scheduling for parallel processors
US6385757B1 (en) * 1999-08-20 2002-05-07 Hewlett-Packard Company Auto design of VLIW processors
US6408428B1 (en) * 1999-08-20 2002-06-18 Hewlett-Packard Company Automated design of processor systems using feedback from internal measurements of candidate systems
US6363516B1 (en) * 1999-11-12 2002-03-26 Texas Instruments Incorporated Method for hierarchical parasitic extraction of a CMOS design

Also Published As

Publication number Publication date
EP1186995B1 (de) 2003-09-03
US20030079112A1 (en) 2003-04-24
EP0974894B1 (de) 2002-02-27
HK1044056B (zh) 2004-04-16
DE69424370T2 (de) 2001-02-15
EP0652509A1 (de) 1995-05-10
DE69430018T2 (de) 2002-11-21
EP0974894A2 (de) 2000-01-26
DE69433124D1 (de) 2003-10-09
HK1044056A1 (en) 2002-10-04
DE69430018D1 (de) 2002-04-04
EP0652509B1 (de) 2000-05-10
US6892293B2 (en) 2005-05-10
US20030191923A1 (en) 2003-10-09
EP1369775A1 (de) 2003-12-10
US5794003A (en) 1998-08-11
EP0974894A3 (de) 2000-08-02
DE69424370D1 (de) 2000-06-15
EP1186995A1 (de) 2002-03-13
US7039791B2 (en) 2006-05-02

Similar Documents

Publication Publication Date Title
DE69433124T2 (de) Befehlsspeicher mit assoziativem Kreuzschienenschalter
DE69431998T2 (de) Superskalare Rechnerarchitektur mit Softwarescheduling
DE69433339T2 (de) Lade-/Speicherfunktionseinheiten und Datencachespeicher für Mikroprozessoren
DE3716229C2 (de) Mikroprozessorchip mit einem Stapelrahmen-Cache
DE69833008T2 (de) Prozessor mit instruktionskodierung mittels eines schablonenfeldes
DE69332663T2 (de) Datenprozessor mit einem Cachespeicher
EP0908893B1 (de) Speicherarchitektur mit Mehrebenenhierarchie
DE3638572C2 (de)
DE4137515A1 (de) Integrierte halbleiterschaltungsvorrichtung mit darin integriertem speicher und operationseinheit
CH620779A5 (de)
EP0010185B1 (de) Virtuell-Adressiervorrichtung für einen Computer
DE2322674B2 (de) Mikroprogramm-Steuereinrichtung
DE2054830C3 (de) Informationsverarbeitungsanlage mit Mitteln zum Zugriff zu Speicher-Datenfeldern variabler Länge
DE10116639B4 (de) Schreib/Lese-Abgleichschema zur Portverringerung in Mehrfachport-SRAM-Zellen
DE69732793T2 (de) Acht-bit-mikrokontroller mit risc-architektur
DE3114921C2 (de) Mikroprogramm-Speicheranordnung
DE2640357A1 (de) Wortgruppenprioritaetsanordnung
EP0010186A1 (de) Vorrichtung zum Bearbeiten bezeichneter Hinweise
DE19526008A1 (de) Vertikal partitionierter, primärer Befehls-Cache-Speicher
DE2926351A1 (de) Look-ahead-speicheradressen- steuereinrichtung
DE3936339C2 (de) DMA-Controller
DE2121490A1 (de) Orthogonaler Datenspeicher
DE1774896C2 (de) Datenverarbeitungsanlage mit einem Rechenwerk, einem Hauptspeicher und einem Aktivspeicher
WO2006058358A2 (de) Verfahren zum steuern der zyklischen zuführung von instruktionswörtern zu rechenelementen und datenverarbeitungseinrichtung mit einer solchen steuerung
DE69723804T2 (de) Prozessor mit befehlscachespeicher

Legal Events

Date Code Title Description
8364 No opposition during term of opposition